DEF and Netlist
diff --git a/def/user_proj_example.def.gz b/def/user_proj_example.def.gz
new file mode 100644
index 0000000..352b3a3
--- /dev/null
+++ b/def/user_proj_example.def.gz
Binary files differ
diff --git a/def/user_proj_example_decap.def.gz b/def/user_proj_example_decap.def.gz
new file mode 100644
index 0000000..ff7816f
--- /dev/null
+++ b/def/user_proj_example_decap.def.gz
Binary files differ
diff --git a/verilog/gl/powered_netlist_decap.v.gz b/verilog/gl/powered_netlist_decap.v.gz
new file mode 100644
index 0000000..8a0eb3c
--- /dev/null
+++ b/verilog/gl/powered_netlist_decap.v.gz
Binary files differ
diff --git a/verilog/gl/user_proj_example_powered.v b/verilog/gl/user_proj_example_powered.v
new file mode 100644
index 0000000..458ba9f
--- /dev/null
+++ b/verilog/gl/user_proj_example_powered.v
@@ -0,0 +1,588125 @@
+/*
+###############################################################
+#  Generated by:      Cadence Innovus 20.10-p004_1
+#  OS:                Linux x86_64(Host ID merl-HP-Z840)
+#  Generated on:      Mon Jul 12 14:29:25 2021
+#  Design:            user_proj_example
+#  Command:           saveNetlist -excludeLeafCell -includePowerGround -flat -exportTopPGNets user_proj_example_powered.v
+###############################################################
+*/
+// Generated by Cadence Genus(TM) Synthesis Solution 20.11-s111_1
+// Generated on: Jul  2 2021 11:59:17 PKT (Jul  2 2021 06:59:17 UTC)
+// Verification Directory fv/user_proj_example 
+module user_proj_example (
+	wb_clk_i, 
+	wb_rst_i, 
+	wbs_stb_i, 
+	wbs_cyc_i, 
+	wbs_we_i, 
+	wbs_sel_i, 
+	wbs_dat_i, 
+	wbs_adr_i, 
+	wbs_ack_o, 
+	wbs_dat_o, 
+	la_data_in, 
+	la_data_out, 
+	la_oenb, 
+	io_in, 
+	io_out, 
+	io_oeb, 
+	analog_io, 
+	user_clock2, 
+	user_irq, 
+	vccd1, 
+	vssd1);
+   input wb_clk_i;
+   input wb_rst_i;
+   input wbs_stb_i;
+   input wbs_cyc_i;
+   input wbs_we_i;
+   input [3:0] wbs_sel_i;
+   input [31:0] wbs_dat_i;
+   input [31:0] wbs_adr_i;
+   output wbs_ack_o;
+   output [31:0] wbs_dat_o;
+   input [127:0] la_data_in;
+   output [127:0] la_data_out;
+   input [127:0] la_oenb;
+   input [37:0] io_in;
+   output [37:0] io_out;
+   output [37:0] io_oeb;
+   inout [28:0] analog_io;
+   input user_clock2;
+   output [2:0] user_irq;
+   inout vccd1;
+   inout vssd1;
+
+   // Internal wires
+   wire CTS_13;
+   wire CTS_12;
+   wire CTS_11;
+   wire CTS_10;
+   wire CTS_9;
+   wire CTS_8;
+   wire CTS_7;
+   wire CTS_6;
+   wire CTS_5;
+   wire CTS_4;
+   wire CTS_3;
+   wire CTS_2;
+   wire CTS_1;
+   wire FE_DBTN6_brqrv_top_brqrv_trigger_pkt_any_117;
+   wire FE_DBTN5_brqrv_top_brqrv_trigger_pkt_any_79;
+   wire FE_DBTN4_brqrv_top_brqrv_trigger_pkt_any_41;
+   wire FE_DBTN3_brqrv_top_brqrv_trigger_pkt_any_3;
+   wire FE_DBTN2_n_29875;
+   wire FE_DBTN1_n_29873;
+   wire FE_DBTN0_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en;
+   wire [155:0] \brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank ;
+   wire [35:4] \brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank ;
+   wire [155:0] \brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout ;
+   wire [155:0] \brqrv_top_mem_iccm.iccm_iccm_bank_dout ;
+   wire [3:0] brqrv_top_brqrv_dec_tlu_mhpmc_inc_r;
+   wire [3:0] brqrv_top_brqrv_dbg_sbaddress0_incr;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_dicad0;
+   wire [2:0] brqrv_top_brqrv_div_p;
+   wire [19:0] brqrv_top_brqrv_mul_p;
+   wire [31:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in;
+   wire [31:0] brqrv_top_brqrv_dma_ctrl_fifo_addr_in;
+   wire [31:0] brqrv_top_brqrv_dec_dec_i0_instr_d;
+   wire [31:0] \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in ;
+   wire [31:0] \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff ;
+   wire [31:0] brqrv_top_brqrv_dbg_dmcontrol_reg;
+   wire [6:0] brqrv_top_dmi_reg_addr;
+   wire [34:0] \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder2_out ;
+   wire [32:0] \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff ;
+   wire [2:0] \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff ;
+   wire [31:0] brqrv_top_brqrv_dec_decode_i0_result_x;
+   wire [13:0] brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_r_in;
+   wire [13:0] brqrv_top_brqrv_lsu_lsu_pkt_m;
+   wire [31:0] brqrv_top_brqrv_lsu_lsu_ld_data_m;
+   wire [31:0] brqrv_top_brqrv_lsu_bus_read_data_m;
+   wire [127:0] brqrv_top_brqrv_lsu_stbuf_stbuf_data;
+   wire [31:0] brqrv_top_brqrv_lsu_store_data_hi_r;
+   wire [31:0] brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw;
+   wire [31:0] brqrv_top_brqrv_lsu_store_data_lo_r;
+   wire [31:0] brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff;
+   wire [31:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data;
+   wire [127:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data;
+   wire [13:0] brqrv_top_brqrv_lsu_lsu_pkt_r;
+   wire [31:0] brqrv_top_brqrv_dma_mem_addr;
+   wire [31:0] brqrv_top_brqrv_lsu_lsu_addr_m;
+   wire [15:0] brqrv_top_brqrv_lsu_stbuf_stbuf_byteen;
+   wire [8:2] brqrv_top_brqrv_ifu_i0_bp_index;
+   wire [31:0] brqrv_top_brqrv_lsu_end_addr_r;
+   wire [55:0] brqrv_top_brqrv_dec_i0_predict_p_d;
+   wire [12:1] brqrv_top_brqrv_dec_decode_last_br_immed_d;
+   wire [31:1] brqrv_top_brqrv_ifu_i0_pc;
+   wire [31:0] brqrv_top_brqrv_lsu_lsu_addr_r;
+   wire [31:0] brqrv_top_brqrv_lsu_end_addr_m;
+   wire [47:0] brqrv_top_brqrv_lsu_stbuf_stbuf_addr;
+   wire [31:1] brqrv_top_brqrv_ifu_aln_q0pc;
+   wire [1:0] brqrv_top_brqrv_ifu_aln_f0val;
+   wire [31:0] brqrv_top_brqrv_exu_alu_result_x;
+   wire [31:1] brqrv_top_brqrv_ifu_aln_q2pc;
+   wire [31:1] brqrv_top_brqrv_ifu_aln_q1pc;
+   wire [159:0] brqrv_top_brqrv_dma_ctrl_fifo_addr;
+   wire [4:0] brqrv_top_brqrv_dma_ctrl_fifo_write;
+   wire [4:0] brqrv_top_brqrv_dma_ctrl_fifo_dbg;
+   wire [39:0] brqrv_top_brqrv_dma_ctrl_fifo_byteen;
+   wire [3:0] brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill;
+   wire [3:0] brqrv_top_brqrv_lsu_stbuf_stbuf_vld;
+   wire [23:0] brqrv_top_brqrv_dec_decode_x_d;
+   wire [3:1] \brqrv_top_mem_iccm.iccm_iccm_rd_addr_lo_q ;
+   wire [31:1] brqrv_top_brqrv_ifu_ifc_fetch_addr_bf;
+   wire [11:1] brqrv_top_iccm_rw_addr;
+   wire [2:0] brqrv_top_brqrv_dma_mem_sz;
+   wire [1:0] brqrv_top_brqrv_ifu_ic_fetch_val_f;
+   wire [31:1] brqrv_top_brqrv_dec_tlu_dpc_ns;
+   wire [31:1] brqrv_top_brqrv_dec_tlu_npc_r;
+   wire [31:1] brqrv_top_brqrv_exu_i0_flush_path_upper_r;
+   wire [33:0] \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder1_out ;
+   wire [3:0] \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_byteenff_din_new ;
+   wire [3:0] \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_byteenff_din_new ;
+   wire [3:0] \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_byteenff_din_new ;
+   wire [3:0] \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_byteenff_din_new ;
+   wire [127:0] brqrv_top_brqrv_lsu_stbuf_stbuf_datain;
+   wire [2:0] brqrv_top_brqrv_ifu_mem_ctl_miss_state;
+   wire [3:0] brqrv_top_brqrv_lsu_stbuf_stbuf_reset;
+   wire [3:0] brqrv_top_brqrv_lsu_stbuf_stbuf_wr_en;
+   wire [1:0] brqrv_top_brqrv_lsu_stbuf_WrPtr;
+   wire [47:0] brqrv_top_brqrv_lsu_stbuf_stbuf_addrin;
+   wire [31:0] brqrv_top_brqrv_picm_wr_data;
+   wire [39:0] brqrv_top_brqrv_dec_decode_cam_raw;
+   wire [31:0] brqrv_top_brqrv_dbg_data0_din;
+   wire [31:0] brqrv_top_brqrv_dbg_abstractcs_reg;
+   wire [31:0] brqrv_top_dmi_reg_wdata;
+   wire [31:0] brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in;
+   wire [11:0] brqrv_top_dccm_rd_addr_hi;
+   wire [3:0] brqrv_top_brqrv_lsu_lsu_lsc_ctl_exc_mscause_d;
+   wire [31:0] brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in;
+   wire [11:0] brqrv_top_dccm_rd_addr_lo;
+   wire [39:0] brqrv_top_brqrv_dec_decode_cam_in;
+   wire [6:0] brqrv_top_brqrv_ifu_ifu_bp_fghr_f;
+   wire [31:0] brqrv_top_brqrv_exu_i_alu_result;
+   wire [3:0] brqrv_top_brqrv_dbg_dbg_state;
+   wire [1:0] brqrv_top_brqrv_lsu_stbuf_RdPtr;
+   wire [13:0] brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in;
+   wire [1:0] brqrv_top_brqrv_dec_ctl_en;
+   wire [16:0] brqrv_top_brqrv_dec_decode_d_t;
+   wire [319:0] brqrv_top_brqrv_dma_ctrl_fifo_data;
+   wire [6:0] brqrv_top_brqrv_exu_ghr_d;
+   wire [31:0] brqrv_top_brqrv_exu_i0_rs1_d;
+   wire [31:0] brqrv_top_brqrv_exu_i0_rs2_d;
+   wire [31:0] brqrv_top_brqrv_dbg_dmi_reg_rdata_din;
+   wire [31:1] brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f;
+   wire [1:0] brqrv_top_brqrv_lsu_nonblock_load_tag_m;
+   wire [1:0] brqrv_top_brqrv_dec_data_en;
+   wire [31:0] brqrv_top_brqrv_dbg_sbdata0_reg;
+   wire [31:0] brqrv_top_brqrv_dbg_sbdata1_reg;
+   wire [31:1] brqrv_top_brqrv_ifu_mem_ctl_imb_ff;
+   wire [13:0] brqrv_top_brqrv_lsu_lsu_pkt_d;
+   wire [31:0] brqrv_top_brqrv_dbg_data1_reg;
+   wire [31:0] brqrv_top_brqrv_dbg_sbaddress0_reg;
+   wire [55:0] brqrv_top_brqrv_exu_i0_predict_p_d;
+   wire [50:0] brqrv_top_brqrv_i0_brp;
+   wire [3:0] brqrv_top_brqrv_dbg_sb_state;
+   wire [31:0] brqrv_top_brqrv_dbg_command_reg;
+   wire [2:0] brqrv_top_brqrv_dma_ctrl_RspPtr;
+   wire [4:0] brqrv_top_brqrv_dma_ctrl_fifo_reset;
+   wire [31:1] brqrv_top_brqrv_dec_tlu_pc_r;
+   wire [39:0] brqrv_top_brqrv_lsu_error_pkt_r;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_mtval_ns;
+   wire [31:0] brqrv_top_brqrv_dbg_sbcs_reg;
+   wire [1:0] \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_error_dff_din_new ;
+   wire [1:0] \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_error_dff_din_new ;
+   wire [1:0] \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_error_dff_din_new ;
+   wire [1:0] \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_error_dff_din_new ;
+   wire [1:0] \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_error_dff_din_new ;
+   wire [31:1] brqrv_top_brqrv_exu_i_alu_pcout;
+   wire [1:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_WrPtr1_m;
+   wire [31:0] brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in;
+   wire [31:0] brqrv_top_brqrv_dbg_cmd_wrdata;
+   wire [1:0] brqrv_top_brqrv_dbg_abstractauto_reg;
+   wire [3:0] brqrv_top_brqrv_ifu_ifc_fb_write_ns;
+   wire [6:0] \brqrv_top_brqrv_ifu_bpred.bp_fghr_ns ;
+   wire [31:0] brqrv_top_brqrv_dec_csr_rddata_d;
+   wire [31:0] brqrv_top_brqrv_dec_dec_csr_wrdata_r;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns;
+   wire [31:0] brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d;
+   wire [2:0] brqrv_top_brqrv_dma_ctrl_WrPtr;
+   wire [4:0] brqrv_top_brqrv_dma_ctrl_fifo_data_en;
+   wire [31:0] brqrv_top_brqrv_dec_dec_illegal_inst;
+   wire [15:2] brqrv_top_brqrv_dec_tlu_dcsr;
+   wire [15:2] brqrv_top_brqrv_dec_tlu_dcsr_ns;
+   wire [4:0] brqrv_top_brqrv_dec_tlu_exc_cause_r;
+   wire [31:1] brqrv_top_brqrv_dec_tlu_npc_r_d1;
+   wire [3:0] brqrv_top_brqrv_dec_tlu_int_timers_mitctl1;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns;
+   wire [5:0] brqrv_top_brqrv_ifu_i0_bp_btag;
+   wire [31:0] brqrv_top_brqrv_dec_decode_write_csr_data;
+   wire [94:0] brqrv_top_brqrv_dec_decode_i0_dp_raw;
+   wire [1023:32] brqrv_top_brqrv_dec_arf_gpr_in;
+   wire [4:0] brqrv_top_brqrv_dma_ctrl_fifo_valid;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_mcause_ns;
+   wire [9:0] brqrv_top_brqrv_dec_tlu_mtdata1_t1_ns;
+   wire [32:0] \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in ;
+   wire [31:1] brqrv_top_brqrv_dec_tlu_pc_r_d1;
+   wire [3:0] brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill_en;
+   wire [3:0] brqrv_top_brqrv_dec_tlu_mscause_ns;
+   wire [31:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in;
+   wire [16:0] brqrv_top_brqrv_dec_decode_r_t;
+   wire [16:0] brqrv_top_brqrv_dec_decode_x_t;
+   wire [3:0] brqrv_top_brqrv_dec_tlu_mscause;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_mtval;
+   wire [19:15] brqrv_top_brqrv_dbg_sbcs_reg_int;
+   wire [2:0] brqrv_top_brqrv_dec_tlu_int_timers_mitctl0;
+   wire [151:0] brqrv_top_brqrv_trigger_pkt_any;
+   wire [9:0] brqrv_top_brqrv_dec_tlu_mtdata1_t0_ns;
+   wire [9:0] brqrv_top_brqrv_dec_tlu_mtdata1_t0;
+   wire [9:0] brqrv_top_brqrv_dec_tlu_mtdata1_t3_ns;
+   wire [1:0] brqrv_top_brqrv_ifu_ifc_state;
+   wire [1:0] brqrv_top_brqrv_ifu_ifc_next_state;
+   wire [9:0] brqrv_top_brqrv_dec_tlu_mtdata1_t1;
+   wire [9:0] brqrv_top_brqrv_dec_tlu_mtdata1_t3;
+   wire [31:0] brqrv_top_brqrv_dbg_data1_din;
+   wire [127:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in;
+   wire [2:0] brqrv_top_brqrv_ifu_aln_qwen;
+   wire [31:0] brqrv_top_brqrv_dec_decode_csr_rddata_x;
+   wire [31:0] brqrv_top_brqrv_exu_csr_rs1_x;
+   wire [31:0] brqrv_top_brqrv_dec_decode_write_csr_data_in;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_mcause;
+   wire [31:1] brqrv_top_brqrv_dec_tlu_mepc_ns;
+   wire [3:0] brqrv_top_brqrv_dec_tlu_i0_trigger_chain_masked_r;
+   wire [5:0] brqrv_top_brqrv_dec_tlu_mie_ns;
+   wire [31:1] brqrv_top_brqrv_dec_tlu_flush_path_r;
+   wire [6:0] brqrv_top_brqrv_exu_ghr_x;
+   wire [9:0] brqrv_top_brqrv_dec_tlu_mtdata1_t2_ns;
+   wire [31:1] brqrv_top_brqrv_exu_pred_correct_npc_r;
+   wire [6:0] brqrv_top_brqrv_exu_ghr_d_ns;
+   wire [23:0] brqrv_top_brqrv_dec_decode_d_d;
+   wire [127:0] brqrv_top_brqrv_lsu_trigger_lsu_match_data;
+   wire [1:0] brqrv_top_brqrv_dbg_cmd_size;
+   wire [9:0] brqrv_top_brqrv_dec_tlu_mtdata1_t2;
+   wire [15:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen;
+   wire [31:0] brqrv_top_brqrv_dbg_sbaddress0_reg_din;
+   wire [2:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_timer_in;
+   wire [2:0] brqrv_top_brqrv_dma_mem_tag;
+   wire [7:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen_in;
+   wire [31:0] brqrv_top_brqrv_picm_rdaddr;
+   wire [43:0] brqrv_top_brqrv_i0_ap;
+   wire [9:0] brqrv_top_brqrv_dec_tlu_mcgc_ns;
+   wire [2:0] \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_in ;
+   wire [9:0] brqrv_top_brqrv_dma_ctrl_fifo_error;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_micect;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_micect_ns;
+   wire [2:0] brqrv_top_brqrv_dma_ctrl_dma_nack_count;
+   wire [6:0] brqrv_top_brqrv_dec_tlu_mcountinhibit;
+   wire [31:0] brqrv_top_brqrv_dbg_command_din;
+   wire [3:0] brqrv_top_brqrv_ifu_ifc_fb_write_f;
+   wire [1:0] brqrv_top_brqrv_lsu_fir_error;
+   wire [31:1] brqrv_top_brqrv_dec_tlu_mepc;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_mrac_ff_0;
+   wire [9:0] brqrv_top_brqrv_dec_tlu_mcgc_int;
+   wire [1:0] brqrv_top_brqrv_dec_tlu_mtsel;
+   wire [1:0] brqrv_top_brqrv_dec_tlu_mtsel_ns;
+   wire [14:0] brqrv_top_brqrv_dma_ctrl_fifo_sz;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_mcyclel;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_minstretl;
+   wire [4:0] brqrv_top_brqrv_dma_ctrl_fifo_cmd_en;
+   wire [4:0] brqrv_top_brqrv_dma_ctrl_fifo_done_bus;
+   wire [4:0] brqrv_top_brqrv_dma_ctrl_fifo_done;
+   wire [4:0] brqrv_top_brqrv_dma_ctrl_fifo_done_en;
+   wire [4:0] brqrv_top_brqrv_dma_ctrl_fifo_done_bus_en;
+   wire [4:0] brqrv_top_brqrv_dec_dec_i0_waddr_r;
+   wire [3:0] brqrv_top_brqrv_dec_tlu_meipt;
+   wire [2:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_timer;
+   wire [3:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteen;
+   wire [11:0] brqrv_top_brqrv_dec_dec_csr_wraddr_r;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_miccmect_ns;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_mdccmect_ns;
+   wire [5:0] brqrv_top_brqrv_dec_tlu_mip;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_mdccmect;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_miccmect;
+   wire [3:0] brqrv_top_brqrv_dec_tlu_meicurpl;
+   wire [3:2] \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff ;
+   wire [31:0] \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed ;
+   wire [31:0] brqrv_top_brqrv_ifu_ic_data_f;
+   wire [32:0] \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff ;
+   wire [1:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_sz;
+   wire [7:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz;
+   wire [3:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sideeffect;
+   wire [127:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in;
+   wire [15:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rsp_pickage;
+   wire [15:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspage_in;
+   wire [1:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_WrPtr1_r;
+   wire [3:0] brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_m;
+   wire [3:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_samedw;
+   wire [3:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write;
+   wire [3:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_unsign;
+   wire [89:0] brqrv_top_brqrv_dec_tlu_ic_diag_pkt;
+   wire [15:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspageQ;
+   wire [31:0] brqrv_top_brqrv_picm_wraddr;
+   wire [31:1] brqrv_top_brqrv_exu_i0_flush_path_x;
+   wire [31:1] brqrv_top_brqrv_exu_i0_pc_x;
+   wire [1:0] brqrv_top_brqrv_lsu_nonblock_load_inv_tag_r;
+   wire [1:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_tag;
+   wire [32:0] \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in ;
+   wire [6:0] brqrv_top_brqrv_ifu_i0_bp_fghr;
+   wire [1:0] \brqrv_top_brqrv_ifu_bpred.bp_vwayhit_f ;
+   wire [51:0] brqrv_top_brqrv_ifu_aln_misc0;
+   wire [51:0] brqrv_top_brqrv_ifu_aln_misc1;
+   wire [51:0] brqrv_top_brqrv_ifu_aln_misc2;
+   wire [15:0] brqrv_top_brqrv_ifu_aln_brdata2;
+   wire [15:0] brqrv_top_brqrv_ifu_aln_brdata1;
+   wire [15:0] brqrv_top_brqrv_ifu_aln_brdata0;
+   wire [63:0] brqrv_top_brqrv_iccm_dma_rdata;
+   wire [3:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rst;
+   wire [1:0] brqrv_top_brqrv_ifu_aln_wrptr_in;
+   wire [32:0] \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in ;
+   wire [1:0] brqrv_top_brqrv_ifu_ic_access_fault_f;
+   wire [1:0] brqrv_top_brqrv_ifu_aln_rdptr_in;
+   wire [319:0] brqrv_top_brqrv_dma_ctrl_fifo_data_in;
+   wire [31:1] brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1;
+   wire [31:1] brqrv_top_brqrv_pred_correct_npc_x;
+   wire [7:0] brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid;
+   wire [13:0] brqrv_top_brqrv_lsu_p;
+   wire [16:0] brqrv_top_brqrv_dec_decode_x_t_in;
+   wire [3:0] brqrv_top_brqrv_dec_tlu_meipt_ns;
+   wire [3:0] brqrv_top_brqrv_dec_tlu_meicurpl_ns;
+   wire [5:0] brqrv_top_brqrv_dec_tlu_mfdht;
+   wire [31:1] brqrv_top_brqrv_dec_arf_gpr_wr_en;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_mhpmc6h_ns;
+   wire [23:0] brqrv_top_brqrv_dec_decode_x_d_in;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_mhpmc5_ns;
+   wire [3:0] brqrv_top_brqrv_dec_decode_i0_pipe_en;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_mhpmc3_ns;
+   wire [32:0] brqrv_top_brqrv_exu_i_mul_rs1_ext_in;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_mhpmc6_ns;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_mcycleh_ns;
+   wire [3:0] brqrv_top_brqrv_lsu_stbuf_stbuf_numvld_any;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_mhpmc4_ns;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_minstreth_ns;
+   wire [6:0] brqrv_top_brqrv_exu_ghr_x_ns;
+   wire [31:1] brqrv_top_brqrv_ifu_mem_ctl_imb_in;
+   wire [4:0] brqrv_top_brqrv_dec_decode_csrimm_x;
+   wire [1:0] brqrv_top_brqrv_ifu_aln_wrptr;
+   wire [31:0] brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_mhpmc5h_ns;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_mhpmc3h_ns;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_mhpmc4h_ns;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_minstretl_ns;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_mcyclel_ns;
+   wire [1:0] brqrv_top_brqrv_dec_tlu_mfdhs;
+   wire [31:0] brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d;
+   wire [1:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dualtag;
+   wire [7:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag;
+   wire [2:0] brqrv_top_brqrv_iccm_dma_rtag;
+   wire [5:0] brqrv_top_brqrv_dec_tlu_mie;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_mhpmc6;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_mhpmc3;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_mhpmc5;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_mhpmc4;
+   wire [1:0] brqrv_top_brqrv_ifu_i0_icaf_type;
+   wire [31:1] brqrv_top_brqrv_exu_i0_flush_path_d;
+   wire [31:0] brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d;
+   wire [1:0] brqrv_top_brqrv_ifu_aln_rdptr;
+   wire [32:0] brqrv_top_brqrv_exu_i_mul_rs2_ext_in;
+   wire [7:0] brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error;
+   wire [7:0] brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error_in;
+   wire [7:0] brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid_in;
+   wire [3:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_nomerge;
+   wire [31:0] brqrv_top_brqrv_dbg_cmd_addr;
+   wire [31:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr;
+   wire [3:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualhi;
+   wire [3:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dual;
+   wire [4:0] brqrv_top_brqrv_dma_ctrl_fifo_pend_en;
+   wire [2:0] brqrv_top_brqrv_dec_decode_i0_d_c;
+   wire [11:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state;
+   wire [31:0] brqrv_top_iccm_instr_wdata;
+   wire [3:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_en;
+   wire [31:0] brqrv_top_brqrv_ifu_aln_uncompress0;
+   wire [31:0] brqrv_top_brqrv_ifu_aln_q2;
+   wire [31:0] brqrv_top_brqrv_ifu_aln_q0eff;
+   wire [31:0] brqrv_top_brqrv_ifu_aln_q0;
+   wire [31:0] brqrv_top_brqrv_ifu_aln_q1;
+   wire [1:0] brqrv_top_brqrv_ifu_aln_f1val;
+   wire [31:3] brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r;
+   wire [3:0] brqrv_top_brqrv_dec_decode_lsu_trigger_match_r;
+   wire [1:0] brqrv_top_brqrv_dec_tlu_mstatus;
+   wire [23:0] brqrv_top_brqrv_dec_decode_r_d;
+   wire [22:0] \brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data ;
+   wire [55:0] brqrv_top_brqrv_exu_i0_predict_p_x;
+   wire [7:0] brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_ext_m;
+   wire [3:0] brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_r;
+   wire [127:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr;
+   wire [4:0] brqrv_top_brqrv_dec_div_waddr_wb;
+   wire [31:3] brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m;
+   wire [23:0] brqrv_top_brqrv_dec_decode_wbd;
+   wire [3:0] brqrv_top_brqrv_lsu_trigger_lsu_trigger_data_match;
+   wire [3:0] brqrv_top_brqrv_lsu_trigger_match_m;
+   wire [31:1] brqrv_top_brqrv_ifu_ifc_fetch_addr_f;
+   wire [31:1] brqrv_top_brqrv_ifu_ifu_bp_btb_target_f;
+   wire [15:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ;
+   wire [2:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag1;
+   wire [2:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag0;
+   wire [19:0] brqrv_top_brqrv_exu_predpipe_x;
+   wire [55:0] brqrv_top_brqrv_exu_mp_pkt;
+   wire [5:0] brqrv_top_brqrv_exu_mp_btag;
+   wire [35:4] \brqrv_top_mem_iccm.iccm_addr_bank ;
+   wire [11:1] \brqrv_top_mem_iccm.iccm_addr_bank_inc ;
+   wire [9:0] brqrv_top_brqrv_dec_tlu_mhpme5;
+   wire [1:0] brqrv_top_brqrv_ifu_aln_f2val;
+   wire [1:0] brqrv_top_brqrv_ifu_aln_f0val_in;
+   wire [1:0] brqrv_top_brqrv_ifu_aln_f2val_in;
+   wire [1:0] brqrv_top_brqrv_ifu_aln_f1val_in;
+   wire [9:0] brqrv_top_brqrv_dec_tlu_mhpme4;
+   wire [9:0] brqrv_top_brqrv_dec_tlu_mhpme6;
+   wire [9:0] brqrv_top_brqrv_dec_tlu_mhpme3;
+   wire [36:0] \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff ;
+   wire [35:0] \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder3_out ;
+   wire [36:0] \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder4_out ;
+   wire [36:0] \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder6_out ;
+   wire [36:0] \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder7_out ;
+   wire [31:0] brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff;
+   wire [31:0] brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff;
+   wire [1:0] brqrv_top_brqrv_ifu_ifu_bp_hist1_f;
+   wire [23:1] \brqrv_top_brqrv_ifu_bpred.bp_genblk1.sv2v_tmp_BEF15 ;
+   wire [1:0] \brqrv_top_brqrv_ifu_bpred.bp_bht_bank1_rd_data_f ;
+   wire [23:1] \brqrv_top_brqrv_ifu_bpred.bp_genblk1.sv2v_tmp_F09CF ;
+   wire [8:2] brqrv_top_brqrv_exu_i0_br_index_r;
+   wire [8:2] \brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f ;
+   wire [5:0] \brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f ;
+   wire [22:0] \brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_f ;
+   wire [22:0] \brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_f ;
+   wire [2943:0] \brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out ;
+   wire [2943:0] \brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out ;
+   wire [31:0] brqrv_top_brqrv_pic_ctrl_inst_intenable_reg;
+   wire [63:0] brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg;
+   wire [127:0] brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg;
+   wire [1023:32] brqrv_top_brqrv_dec_arf_gpr_out;
+   wire [31:0] brqrv_top_brqrv_dec_i0_result_r;
+   wire [31:2] brqrv_top_brqrv_dec_tlu_meihap;
+   wire [4:0] brqrv_top_brqrv_dec_dec_nonblock_load_waddr;
+   wire [2:0] brqrv_top_brqrv_dec_decode_i0_x_c;
+   wire [2:0] brqrv_top_brqrv_dec_decode_i0_r_c;
+   wire [31:0] brqrv_top_brqrv_lsu_imprecise_error_addr_any;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_dicad0_ns;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_dicad0h_ns;
+   wire [6:0] \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_ff ;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_mscratch;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_mhpmc3h;
+   wire [30:0] brqrv_top_brqrv_dec_tlu_mtvec;
+   wire [5:0] \brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_p1_f ;
+   wire [8:2] \brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f ;
+   wire [511:0] \brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out ;
+   wire [1:0] brqrv_top_brqrv_ifu_ifu_bp_hist0_f;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_mhpmc4h;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_mhpmc5h;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_mhpmc6h;
+   wire [31:2] \brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior ;
+   wire [16:1] \brqrv_top_brqrv_ifu_bpred.bp_btb_sel_data_f ;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_mrac_in;
+   wire [31:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in;
+   wire [3:0] brqrv_top_brqrv_pic_ctrl_inst_pl_in_q;
+   wire [1631:0] \brqrv_top_brqrv_pic_ctrl_inst_genblock.level_intpend_id ;
+   wire [1:0] brqrv_top_brqrv_ifu_ifu_bp_way_f;
+   wire [127:0] \brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f ;
+   wire [13:0] brqrv_top_iccm_instr_addr;
+   wire [4:0] \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift ;
+   wire [6:0] \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_in ;
+   wire [1:0] brqrv_top_brqrv_dec_tlu_mstatus_ns;
+   wire [7:0] brqrv_top_brqrv_pic_ctrl_inst_intenable_clk_enable_grp;
+   wire [2:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_timer;
+   wire [4:0] \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff ;
+   wire [31:0] brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we;
+   wire [31:0] brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we;
+   wire [127:0] \brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns ;
+   wire [31:0] brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we;
+   wire [31:0] brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we;
+   wire [15:0] \brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken ;
+   wire [15:0] brqrv_top_brqrv_dec_tlu_mfdc_int;
+   wire [15:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in;
+   wire [7:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen;
+   wire [3:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ldfwd;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_force_halt_ctr_f;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_force_halt_ctr;
+   wire [1:0] brqrv_top_brqrv_ifu_ifu_bp_ret_f;
+   wire [8:2] \brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb ;
+   wire [3:0] brqrv_top_brqrv_dec_tlu_meicidpl;
+   wire [3:0] brqrv_top_brqrv_dec_tlu_meicidpl_ns;
+   wire [31:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr;
+   wire [1:0] brqrv_top_brqrv_ifu_ifu_bp_pc4_f;
+   wire [1:0] \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_fir_error_m ;
+   wire [1:0] brqrv_top_brqrv_ifu_ifu_bp_valid_f;
+   wire [36:0] \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder5_out ;
+   wire [255:0] \brqrv_top_brqrv_ifu_bpred.bp_rets_out ;
+   wire [11:0] brqrv_top_brqrv_ifu_ifu_bp_poffset_f;
+   wire [1:1] \brqrv_top_brqrv_ifu_bpred.bp_bp_total_branch_offset_f ;
+   wire [1:0] \brqrv_top_brqrv_ifu_bpred.bp_bloc_f ;
+   wire [31:1] brqrv_top_brqrv_lsu_fir_addr;
+   wire [31:1] brqrv_top_brqrv_dec_tlu_dpc;
+   wire [31:1] brqrv_top_brqrv_dec_dec_tlu_i0_pc_r;
+   wire [16:0] brqrv_top_brqrv_dec_tlu_dicawics;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_dicad0h;
+   wire [63:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_mdseac;
+   wire [6:0] brqrv_top_brqrv_dec_tlu_dicad1_raw;
+   wire [5:0] brqrv_top_brqrv_exu_i_alu_shift_amount;
+   wire [3:0] brqrv_top_brqrv_pic_pl;
+   wire [31:0] brqrv_top_brqrv_dbg_sbdata1_din;
+   wire [31:0] brqrv_top_brqrv_dbg_sbdata0_din;
+   wire [1:1] brqrv_top_brqrv_dec_tlu_mpmc_b_ns;
+   wire [1:1] brqrv_top_brqrv_dec_tlu_mpmc_b;
+   wire [31:0] brqrv_top_brqrv_dec_decode_i0_inst_d;
+   wire [3:0] brqrv_top_brqrv_dec_dec_trigger_dec_i0_trigger_data_match;
+   wire [31:0] brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r;
+   wire [3:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_error;
+   wire [31:0] \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U1_Plus1_0.lsu_ld_datafn_corr_r ;
+   wire [31:0] brqrv_top_brqrv_lsu_lsu_ld_data_corr_r;
+   wire [12:1] brqrv_top_brqrv_dec_decode_last_br_immed_x;
+   wire [4:0] brqrv_top_brqrv_dma_ctrl_fifo_rpend;
+   wire [2:0] brqrv_top_brqrv_dccm_dma_rtag;
+   wire [9:0] brqrv_top_brqrv_dec_tlu_event_r;
+   wire [11:0] brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_offset_d;
+   wire [6:0] brqrv_top_brqrv_dec_tlu_dicad1_ns;
+   wire [127:0] brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data;
+   wire [255:0] \brqrv_top_brqrv_ifu_bpred.bp_rets_in ;
+   wire [2:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_timer_in;
+   wire [63:0] lsu_axi_wdata;
+   wire [32:0] brqrv_top_brqrv_exu_i_mul_rs2_x;
+   wire [32:0] brqrv_top_brqrv_exu_i_mul_rs1_x;
+   wire [31:0] brqrv_top_brqrv_lsu_end_addr_d;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_mcycleh;
+   wire [31:0] brqrv_top_brqrv_dec_tlu_minstreth;
+   wire [31:0] brqrv_top_dmi_reg_rdata;
+   wire [7:0] brqrv_top_brqrv_pic_claimid;
+   wire [6:0] brqrv_top_brqrv_dec_tlu_syncro_ff_din_ff1;
+   wire [0:0] \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_done_bus_dff_din_new ;
+   wire [0:0] \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_done_dff_din_new ;
+   wire [0:0] \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_rpend_dff_din_new ;
+   wire [0:0] \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_valid_dff_din_new ;
+   wire [0:0] \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_done_bus_dff_din_new ;
+   wire [0:0] \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_done_dff_din_new ;
+   wire [0:0] \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_rpend_dff_din_new ;
+   wire [0:0] \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_valid_dff_din_new ;
+   wire [0:0] \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_done_bus_dff_din_new ;
+   wire [0:0] \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_done_dff_din_new ;
+   wire [0:0] \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_rpend_dff_din_new ;
+   wire [0:0] \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_valid_dff_din_new ;
+   wire [0:0] \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_done_bus_dff_din_new ;
+   wire [0:0] \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_done_dff_din_new ;
+   wire [0:0] \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_rpend_dff_din_new ;
+   wire [0:0] \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_valid_dff_din_new ;
+   wire [0:0] \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_done_bus_dff_din_new ;
+   wire [0:0] \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_done_dff_din_new ;
+   wire [0:0] \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_rpend_dff_din_new ;
+   wire [0:0] \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_valid_dff_din_new ;
+   wire [0:0] \brqrv_top_brqrv_dma_ctrl_rdbuf_vldff_genblk1.dffsc_din_new ;
+   wire [0:0] \brqrv_top_brqrv_dma_ctrl_wrbuf_data_vldff_genblk1.dffsc_din_new ;
+   wire [0:0] \brqrv_top_brqrv_dma_ctrl_wrbuf_vldff_genblk1.dffsc_din_new ;
+   wire [6:0] brqrv_top_brqrv_exu_i0_br_fghr_r;
+   wire [1:0] brqrv_top_brqrv_ifu_ic_access_fault_type_f;
+   wire [15:0] \brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk ;
+   wire [0:0] \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_errorff_din_new ;
+   wire [0:0] \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_errorff_din_new ;
+   wire [0:0] \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_errorff_din_new ;
+   wire [0:0] \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_errorff_din_new ;
+   wire [0:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_valid_ff_din_new;
+   wire [0:0] brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_valid_ff_din_new;
+   wire [3:0] brqrv_top_brqrv_lsu_lsu_lsc_ctl_exc_mscause_m;
+   wire [0:0] \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_killff_din_new ;
+   wire [0:0] \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_vldff_din_new ;
+   wire [0:0] \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_killff_din_new ;
+   wire [0:0] \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_vldff_din_new ;
+   wire [0:0] \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_killff_din_new ;
+   wire [0:0] \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_vldff_din_new ;
+   wire [0:0] \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_killff_din_new ;
+   wire [0:0] \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_vldff_din_new ;
+   wire [7:0] brqrv_top_brqrv_pic_ctrl_inst_gw_clk;
+   wire [2:0] brqrv_top_dmi_wrapper_i_dmi_jtag_to_core_sync_rden;
+   wire [2:0] brqrv_top_dmi_wrapper_i_dmi_jtag_to_core_sync_wren;
+   wire [40:0] brqrv_top_dmi_wrapper_i_jtag_tap_sr;
+   wire [4:0] brqrv_top_dmi_wrapper_i_jtag_tap_ir;
+   wire [3:0] brqrv_top_dmi_wrapper_i_jtag_tap_state;
+   wire [1:0] brqrv_top_iccm_controller_byte_count;
+   wire [7:0] brqrv_top_rx_byte_i;
+   wire [1:0] brqrv_top_iccm_controller_ctrl_fsm_cs;
+   wire [2:0] brqrv_top_uart_rx_m_r_Bit_Index;
+   wire [15:0] brqrv_top_uart_rx_m_r_Clock_Count;
+   wire [2:0] brqrv_top_uart_rx_m_r_SM_Main;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_0;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_2;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_4;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_6;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_8;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_10;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_12;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_14;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_16;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_18;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_20;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_22;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_24;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_26;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_28;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_30;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_32;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_34;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_36;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_38;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_40;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_42;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_44;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_46;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_48;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_50;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_52;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_54;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_56;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_58;
+   wire addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_60;
+   wire brqrv_top_active_l2clk;
+   wire brqrv_top_brqrv_active_cg1_logic_1_1_net;
+   wire brqrv_top_brqrv_active_clk;
+   wire brqrv_top_brqrv_active_state;
+   wire brqrv_top_brqrv_dbg_abstractauto_reg_wren;
+   wire brqrv_top_brqrv_dbg_add_5334_143_n_0;
+   wire brqrv_top_brqrv_dbg_add_5334_143_n_2;
+   wire brqrv_top_brqrv_dbg_add_5334_143_n_4;
+   wire brqrv_top_brqrv_dbg_add_5334_143_n_6;
+   wire brqrv_top_brqrv_dbg_add_5334_143_n_8;
+   wire brqrv_top_brqrv_dbg_add_5334_143_n_10;
+   wire brqrv_top_brqrv_dbg_add_5334_143_n_12;
+   wire brqrv_top_brqrv_dbg_add_5334_143_n_14;
+   wire brqrv_top_brqrv_dbg_add_5334_143_n_16;
+   wire brqrv_top_brqrv_dbg_add_5334_143_n_18;
+   wire brqrv_top_brqrv_dbg_add_5334_143_n_20;
+   wire brqrv_top_brqrv_dbg_add_5334_143_n_22;
+   wire brqrv_top_brqrv_dbg_add_5334_143_n_24;
+   wire brqrv_top_brqrv_dbg_add_5334_143_n_26;
+   wire brqrv_top_brqrv_dbg_add_5334_143_n_28;
+   wire brqrv_top_brqrv_dbg_add_5334_143_n_30;
+   wire brqrv_top_brqrv_dbg_add_5334_143_n_32;
+   wire brqrv_top_brqrv_dbg_add_5334_143_n_34;
+   wire brqrv_top_brqrv_dbg_add_5334_143_n_36;
+   wire brqrv_top_brqrv_dbg_add_5334_143_n_38;
+   wire brqrv_top_brqrv_dbg_add_5334_143_n_40;
+   wire brqrv_top_brqrv_dbg_add_5334_143_n_42;
+   wire brqrv_top_brqrv_dbg_add_5334_143_n_44;
+   wire brqrv_top_brqrv_dbg_add_5334_143_n_46;
+   wire brqrv_top_brqrv_dbg_add_5334_143_n_48;
+   wire brqrv_top_brqrv_dbg_add_5334_143_n_50;
+   wire brqrv_top_brqrv_dbg_add_5334_143_n_52;
+   wire brqrv_top_brqrv_dbg_add_5334_143_n_54;
+   wire brqrv_top_brqrv_dbg_add_5334_143_n_56;
+   wire brqrv_top_brqrv_dbg_add_5334_143_n_59;
+   wire brqrv_top_brqrv_dbg_add_5334_143_n_60;
+   wire brqrv_top_brqrv_dbg_add_5633_59_n_0;
+   wire brqrv_top_brqrv_dbg_add_5633_59_n_2;
+   wire brqrv_top_brqrv_dbg_add_5633_59_n_4;
+   wire brqrv_top_brqrv_dbg_add_5633_59_n_6;
+   wire brqrv_top_brqrv_dbg_add_5633_59_n_8;
+   wire brqrv_top_brqrv_dbg_add_5633_59_n_10;
+   wire brqrv_top_brqrv_dbg_add_5633_59_n_12;
+   wire brqrv_top_brqrv_dbg_add_5633_59_n_14;
+   wire brqrv_top_brqrv_dbg_add_5633_59_n_16;
+   wire brqrv_top_brqrv_dbg_add_5633_59_n_18;
+   wire brqrv_top_brqrv_dbg_add_5633_59_n_20;
+   wire brqrv_top_brqrv_dbg_add_5633_59_n_22;
+   wire brqrv_top_brqrv_dbg_add_5633_59_n_24;
+   wire brqrv_top_brqrv_dbg_add_5633_59_n_26;
+   wire brqrv_top_brqrv_dbg_add_5633_59_n_28;
+   wire brqrv_top_brqrv_dbg_add_5633_59_n_30;
+   wire brqrv_top_brqrv_dbg_add_5633_59_n_32;
+   wire brqrv_top_brqrv_dbg_add_5633_59_n_34;
+   wire brqrv_top_brqrv_dbg_add_5633_59_n_36;
+   wire brqrv_top_brqrv_dbg_add_5633_59_n_38;
+   wire brqrv_top_brqrv_dbg_add_5633_59_n_40;
+   wire brqrv_top_brqrv_dbg_add_5633_59_n_42;
+   wire brqrv_top_brqrv_dbg_add_5633_59_n_44;
+   wire brqrv_top_brqrv_dbg_add_5633_59_n_46;
+   wire brqrv_top_brqrv_dbg_add_5633_59_n_48;
+   wire brqrv_top_brqrv_dbg_add_5633_59_n_50;
+   wire brqrv_top_brqrv_dbg_add_5633_59_n_52;
+   wire brqrv_top_brqrv_dbg_add_5633_59_n_54;
+   wire brqrv_top_brqrv_dbg_add_5633_59_n_56;
+   wire brqrv_top_brqrv_dbg_add_5633_59_n_59;
+   wire brqrv_top_brqrv_dbg_add_5633_59_n_60;
+   wire brqrv_top_brqrv_dbg_cmd_valid;
+   wire brqrv_top_brqrv_dbg_cmd_write;
+   wire brqrv_top_brqrv_dbg_command_postexec_din;
+   wire brqrv_top_brqrv_dbg_command_regno_wren;
+   wire brqrv_top_brqrv_dbg_command_transfer_din;
+   wire brqrv_top_brqrv_dbg_command_wren;
+   wire brqrv_top_brqrv_dbg_data0_reg_wren;
+   wire brqrv_top_brqrv_dbg_data1_reg_wren;
+   wire brqrv_top_brqrv_dbg_dbg_abstractauto_reg_n_2;
+   wire brqrv_top_brqrv_dbg_dbg_abstractauto_reg_n_3;
+   wire brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk;
+   wire brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk;
+   wire brqrv_top_brqrv_dbg_dbg_dm_rst_l;
+   wire brqrv_top_brqrv_dbg_dbg_free_clk;
+   wire brqrv_top_brqrv_dbg_dbg_free_clken;
+   wire brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk;
+   wire brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk;
+   wire brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk;
+   wire brqrv_top_brqrv_dbg_dbg_state_reg_n_10;
+   wire brqrv_top_brqrv_dbg_dbg_state_reg_n_11;
+   wire brqrv_top_brqrv_dbg_dbg_state_reg_n_12;
+   wire brqrv_top_brqrv_dbg_dbg_state_reg_n_13;
+   wire brqrv_top_brqrv_dbg_dma_bubble;
+   wire brqrv_top_brqrv_dbg_dmabstractcs_busy_reg_n_4;
+   wire brqrv_top_brqrv_dbg_dmcommand_reg_l1clk;
+   wire brqrv_top_brqrv_dbg_dmcommand_regno_reg_l1clk;
+   wire brqrv_top_brqrv_dbg_dmcontrol_dmactive_ff_n_2;
+   wire brqrv_top_brqrv_dbg_dmcontrol_wren;
+   wire brqrv_top_brqrv_dbg_dmcontrol_wren_Q;
+   wire brqrv_top_brqrv_dbg_dmcontrolff_n_4;
+   wire brqrv_top_brqrv_dbg_dmcontrolff_n_5;
+   wire brqrv_top_brqrv_dbg_dmcontrolff_n_6;
+   wire brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk;
+   wire brqrv_top_brqrv_dbg_dmstatus_halted;
+   wire brqrv_top_brqrv_dbg_dmstatus_haveresetn;
+   wire brqrv_top_brqrv_dbg_dmstatus_haveresetn_reg_n_2;
+   wire brqrv_top_brqrv_dbg_dmstatus_haveresetn_wren;
+   wire brqrv_top_brqrv_dbg_dmstatus_resumeack;
+   wire brqrv_top_brqrv_dbg_dmstatus_resumeack_din;
+   wire brqrv_top_brqrv_dbg_dmstatus_resumeack_reg_n_2;
+   wire brqrv_top_brqrv_dbg_dmstatus_resumeack_wren;
+   wire brqrv_top_brqrv_dbg_execute_command;
+   wire brqrv_top_brqrv_dbg_execute_command_ns;
+   wire brqrv_top_brqrv_dbg_n_5;
+   wire brqrv_top_brqrv_dbg_n_1024;
+   wire brqrv_top_brqrv_dbg_n_1032;
+   wire brqrv_top_brqrv_dbg_n_1033;
+   wire brqrv_top_brqrv_dbg_n_1034;
+   wire brqrv_top_brqrv_dbg_n_1043;
+   wire brqrv_top_brqrv_dbg_n_4261;
+   wire brqrv_top_brqrv_dbg_n_4265;
+   wire brqrv_top_brqrv_dbg_n_4412;
+   wire brqrv_top_brqrv_dbg_n_4428;
+   wire brqrv_top_brqrv_dbg_n_4432;
+   wire brqrv_top_brqrv_dbg_n_4554;
+   wire brqrv_top_brqrv_dbg_n_4567;
+   wire brqrv_top_brqrv_dbg_n_4571;
+   wire brqrv_top_brqrv_dbg_n_4575;
+   wire brqrv_top_brqrv_dbg_n_4582;
+   wire brqrv_top_brqrv_dbg_n_4595;
+   wire brqrv_top_brqrv_dbg_n_4598;
+   wire brqrv_top_brqrv_dbg_sb_abmem_cmd_done;
+   wire brqrv_top_brqrv_dbg_sb_abmem_cmd_doneff_n_4;
+   wire brqrv_top_brqrv_dbg_sb_abmem_data_done;
+   wire brqrv_top_brqrv_dbg_sb_abmem_data_doneff_n_4;
+   wire brqrv_top_brqrv_dbg_sb_free_clk;
+   wire brqrv_top_brqrv_dbg_sb_free_clken;
+   wire brqrv_top_brqrv_dbg_sb_state_reg_n_0;
+   wire brqrv_top_brqrv_dbg_sb_state_reg_n_3;
+   wire brqrv_top_brqrv_dbg_sb_state_reg_n_4;
+   wire brqrv_top_brqrv_dbg_sb_state_reg_n_5;
+   wire brqrv_top_brqrv_dbg_sb_state_reg_n_6;
+   wire brqrv_top_brqrv_dbg_sbaddress0_reg_wren;
+   wire brqrv_top_brqrv_dbg_sbcs_error_reg_n_8;
+   wire brqrv_top_brqrv_dbg_sbcs_error_reg_n_9;
+   wire brqrv_top_brqrv_dbg_sbcs_error_reg_n_10;
+   wire brqrv_top_brqrv_dbg_sbcs_misc_reg_n_0;
+   wire brqrv_top_brqrv_dbg_sbcs_misc_reg_n_3;
+   wire brqrv_top_brqrv_dbg_sbcs_misc_reg_n_4;
+   wire brqrv_top_brqrv_dbg_sbcs_misc_reg_n_5;
+   wire brqrv_top_brqrv_dbg_sbcs_misc_reg_n_6;
+   wire brqrv_top_brqrv_dbg_sbcs_misc_reg_n_7;
+   wire brqrv_top_brqrv_dbg_sbcs_sbbusy_reg_n_2;
+   wire brqrv_top_brqrv_dbg_sbcs_sbbusyerror_din;
+   wire brqrv_top_brqrv_dbg_sbcs_sbbusyerror_reg_n_2;
+   wire brqrv_top_brqrv_dbg_sbcs_sbbusyerror_wren;
+   wire brqrv_top_brqrv_dbg_sbcs_sbreadonaddr_reg_n_2;
+   wire brqrv_top_brqrv_dbg_sbcs_wren;
+   wire brqrv_top_brqrv_dbg_sbdata0_reg_wren;
+   wire brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR;
+   wire \brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ;
+   wire \brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ;
+   wire \brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ;
+   wire \brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ;
+   wire \brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ;
+   wire \brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ;
+   wire \brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ;
+   wire \brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ;
+   wire \brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ;
+   wire \brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ;
+   wire \brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ;
+   wire \brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ;
+   wire \brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ;
+   wire \brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ;
+   wire \brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ;
+   wire \brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ;
+   wire \brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ;
+   wire \brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ;
+   wire \brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ;
+   wire \brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ;
+   wire \brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ;
+   wire \brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ;
+   wire \brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ;
+   wire \brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ;
+   wire \brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ;
+   wire \brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ;
+   wire \brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ;
+   wire \brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ;
+   wire \brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ;
+   wire \brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ;
+   wire \brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ;
+   wire brqrv_top_brqrv_dec_arf_n_9953;
+   wire brqrv_top_brqrv_dec_arf_n_10568;
+   wire brqrv_top_brqrv_dec_dec_csr_wen_unq_d;
+   wire brqrv_top_brqrv_dec_dec_debug_valid_d;
+   wire brqrv_top_brqrv_dec_dec_div_active;
+   wire brqrv_top_brqrv_dec_dec_ib0_valid_d;
+   wire brqrv_top_brqrv_dec_dec_pause_state;
+   wire brqrv_top_brqrv_dec_dec_tlu_debug_stall;
+   wire brqrv_top_brqrv_dec_dec_tlu_dec_clk_override;
+   wire brqrv_top_brqrv_dec_dec_tlu_flush_extint;
+   wire brqrv_top_brqrv_dec_dec_tlu_flush_pause_r;
+   wire brqrv_top_brqrv_dec_dec_tlu_i0_kill_writeb_wb;
+   wire brqrv_top_brqrv_dec_dec_tlu_i0_valid_r;
+   wire brqrv_top_brqrv_dec_dec_tlu_pipelining_disable;
+   wire brqrv_top_brqrv_dec_dec_tlu_wr_pause_r;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_0 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_2 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_3 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_4 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_5 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_6 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_7 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_8 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_9 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_10 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_11 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_12 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_13 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_14 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_15 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_16 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_17 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_18 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_19 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_20 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_21 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_22 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_23 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_24 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_25 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_26 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_27 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_28 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_29 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_30 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_31 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_32 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_33 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_34 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_35 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_36 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_37 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_38 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_39 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_40 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_41 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_42 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_43 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_44 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_45 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_46 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_47 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_48 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_49 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_50 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_51 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_52 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_53 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_54 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_55 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_56 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_57 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_58 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_59 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_60 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_61 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_62 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_63 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_64 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_65 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_66 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_67 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_68 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_69 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_70 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_71 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_72 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_73 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_74 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_75 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_76 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_77 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_78 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_79 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_80 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_81 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_82 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_83 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_84 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_85 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_86 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_87 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_88 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_89 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_90 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_91 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_92 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_93 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_94 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_95 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_96 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_97 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_98 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_99 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_100 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_101 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_102 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_103 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_104 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_105 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_106 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_0 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_2 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_3 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_4 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_5 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_6 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_7 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_8 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_9 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_10 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_11 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_12 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_13 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_14 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_15 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_16 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_17 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_18 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_19 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_20 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_21 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_22 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_23 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_24 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_25 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_26 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_27 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_28 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_29 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_30 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_31 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_32 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_33 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_34 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_35 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_36 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_37 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_38 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_39 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_40 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_41 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_42 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_43 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_44 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_45 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_46 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_47 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_48 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_49 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_50 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_51 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_52 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_53 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_54 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_55 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_56 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_57 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_58 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_59 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_60 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_61 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_62 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_63 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_64 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_65 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_66 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_67 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_68 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_69 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_70 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_71 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_72 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_73 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_74 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_75 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_76 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_77 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_78 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_79 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_80 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_81 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_82 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_83 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_84 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_85 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_86 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_87 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_88 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_89 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_90 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_91 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_92 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_93 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_94 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_95 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_96 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_97 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_98 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_99 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_100 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_101 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_102 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_103 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_104 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_105 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_106 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_0 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_2 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_3 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_4 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_5 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_6 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_7 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_8 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_9 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_10 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_11 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_12 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_13 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_14 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_15 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_16 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_17 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_18 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_19 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_20 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_21 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_22 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_23 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_24 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_25 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_26 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_27 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_28 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_29 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_30 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_31 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_32 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_33 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_34 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_35 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_36 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_37 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_38 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_39 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_40 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_41 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_42 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_43 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_44 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_45 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_46 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_47 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_48 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_49 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_50 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_51 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_52 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_53 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_54 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_55 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_56 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_57 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_58 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_59 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_60 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_61 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_62 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_63 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_64 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_65 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_66 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_67 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_68 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_69 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_70 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_71 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_72 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_73 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_74 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_75 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_76 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_77 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_78 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_79 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_80 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_81 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_82 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_83 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_84 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_85 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_86 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_87 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_88 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_89 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_90 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_91 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_92 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_93 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_94 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_95 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_96 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_97 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_98 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_99 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_100 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_101 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_102 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_103 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_104 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_105 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_106 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_0 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_2 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_3 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_4 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_5 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_6 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_7 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_8 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_9 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_10 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_11 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_12 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_13 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_14 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_15 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_16 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_17 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_18 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_19 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_20 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_21 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_22 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_23 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_24 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_25 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_26 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_27 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_28 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_29 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_30 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_31 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_32 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_33 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_34 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_35 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_36 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_37 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_38 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_39 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_40 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_41 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_42 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_43 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_44 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_45 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_46 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_47 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_48 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_49 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_50 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_51 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_52 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_53 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_54 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_55 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_56 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_57 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_58 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_59 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_60 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_61 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_62 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_63 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_64 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_65 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_66 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_67 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_68 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_69 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_70 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_71 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_72 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_73 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_74 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_75 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_76 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_77 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_78 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_79 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_80 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_81 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_82 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_83 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_84 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_85 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_86 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_87 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_88 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_89 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_90 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_91 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_92 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_93 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_94 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_95 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_96 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_97 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_98 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_99 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_100 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_101 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_102 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_103 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_104 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_105 ;
+   wire \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_106 ;
+   wire brqrv_top_brqrv_dec_decode_any_csr_d;
+   wire \brqrv_top_brqrv_dec_decode_cam_array[0].cam_ff_en ;
+   wire \brqrv_top_brqrv_dec_decode_cam_array[0].cam_ff_l1clk ;
+   wire \brqrv_top_brqrv_dec_decode_cam_array[1].cam_ff_en ;
+   wire \brqrv_top_brqrv_dec_decode_cam_array[1].cam_ff_l1clk ;
+   wire \brqrv_top_brqrv_dec_decode_cam_array[2].cam_ff_en ;
+   wire \brqrv_top_brqrv_dec_decode_cam_array[2].cam_ff_l1clk ;
+   wire \brqrv_top_brqrv_dec_decode_cam_array[3].cam_ff_en ;
+   wire \brqrv_top_brqrv_dec_decode_cam_array[3].cam_ff_l1clk ;
+   wire \brqrv_top_brqrv_dec_decode_cam_in[0]_906 ;
+   wire \brqrv_top_brqrv_dec_decode_cam_in[0]_916 ;
+   wire \brqrv_top_brqrv_dec_decode_cam_in[1]_907 ;
+   wire \brqrv_top_brqrv_dec_decode_cam_in[1]_917 ;
+   wire \brqrv_top_brqrv_dec_decode_cam_in[2]_908 ;
+   wire \brqrv_top_brqrv_dec_decode_cam_in[2]_918 ;
+   wire \brqrv_top_brqrv_dec_decode_cam_in[3]_909 ;
+   wire \brqrv_top_brqrv_dec_decode_cam_in[3]_919 ;
+   wire \brqrv_top_brqrv_dec_decode_cam_in[4]_910 ;
+   wire \brqrv_top_brqrv_dec_decode_cam_in[4]_920 ;
+   wire \brqrv_top_brqrv_dec_decode_cam_in[5]_911 ;
+   wire \brqrv_top_brqrv_dec_decode_cam_in[5]_921 ;
+   wire \brqrv_top_brqrv_dec_decode_cam_in[6]_912 ;
+   wire \brqrv_top_brqrv_dec_decode_cam_in[6]_922 ;
+   wire \brqrv_top_brqrv_dec_decode_cam_in[7]_913 ;
+   wire \brqrv_top_brqrv_dec_decode_cam_in[7]_923 ;
+   wire \brqrv_top_brqrv_dec_decode_cam_in[8]_914 ;
+   wire \brqrv_top_brqrv_dec_decode_cam_in[8]_924 ;
+   wire \brqrv_top_brqrv_dec_decode_cam_in[8]_926 ;
+   wire \brqrv_top_brqrv_dec_decode_cam_in[9]_915 ;
+   wire \brqrv_top_brqrv_dec_decode_cam_in[9]_925 ;
+   wire \brqrv_top_brqrv_dec_decode_cam_in[9]_927 ;
+   wire brqrv_top_brqrv_dec_decode_csr_clr_d;
+   wire brqrv_top_brqrv_dec_decode_csr_data_wen;
+   wire brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk;
+   wire brqrv_top_brqrv_dec_decode_csr_read_x;
+   wire brqrv_top_brqrv_dec_decode_csr_ren_qual_d;
+   wire brqrv_top_brqrv_dec_decode_csr_set_d;
+   wire brqrv_top_brqrv_dec_decode_csr_write_d;
+   wire brqrv_top_brqrv_dec_decode_debug_fence_i;
+   wire brqrv_top_brqrv_dec_decode_debug_valid_x;
+   wire brqrv_top_brqrv_dec_decode_div_active_in;
+   wire brqrv_top_brqrv_dec_decode_e1brpcff_l1clk;
+   wire brqrv_top_brqrv_dec_decode_e1ff_dff_extra_l1clk;
+   wire brqrv_top_brqrv_dec_decode_e1ff_dff_left_dff_l1clk;
+   wire brqrv_top_brqrv_dec_decode_e1ff_dff_left_final_en;
+   wire brqrv_top_brqrv_dec_decode_flush_final_r;
+   wire brqrv_top_brqrv_dec_decode_i0_br_error_all;
+   wire brqrv_top_brqrv_dec_decode_i0_br_unpred;
+   wire brqrv_top_brqrv_dec_decode_i0_dec_n_62;
+   wire brqrv_top_brqrv_dec_decode_i0_dec_n_72;
+   wire brqrv_top_brqrv_dec_decode_i0_dec_n_75;
+   wire brqrv_top_brqrv_dec_decode_i0_dec_n_186;
+   wire brqrv_top_brqrv_dec_decode_i0_dec_n_483;
+   wire brqrv_top_brqrv_dec_decode_i0_icaf_d;
+   wire brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_extra_l1clk;
+   wire brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_l1clk;
+   wire brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_final_en;
+   wire brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_0;
+   wire brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_1;
+   wire brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_2;
+   wire brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_3;
+   wire brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_4;
+   wire brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_5;
+   wire brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_6;
+   wire brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_7;
+   wire brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_8;
+   wire brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_9;
+   wire brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_10;
+   wire brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_11;
+   wire brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_12;
+   wire brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_13;
+   wire brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_14;
+   wire brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_15;
+   wire brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_16;
+   wire brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_17;
+   wire brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_18;
+   wire brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_19;
+   wire brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_20;
+   wire brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_21;
+   wire brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_22;
+   wire brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_23;
+   wire brqrv_top_brqrv_dec_decode_i0_r_c_ff_n_0;
+   wire brqrv_top_brqrv_dec_decode_i0_r_c_ff_n_3;
+   wire brqrv_top_brqrv_dec_decode_i0_r_c_ff_n_4;
+   wire brqrv_top_brqrv_dec_decode_i0_r_c_ff_n_5;
+   wire brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk;
+   wire brqrv_top_brqrv_dec_decode_i0_wb_ctl_en;
+   wire brqrv_top_brqrv_dec_decode_i0_x_c_ff_n_3;
+   wire brqrv_top_brqrv_dec_decode_i0_x_c_ff_n_4;
+   wire brqrv_top_brqrv_dec_decode_i0_x_c_ff_n_5;
+   wire brqrv_top_brqrv_dec_decode_i0rdff_l1clk;
+   wire brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk;
+   wire brqrv_top_brqrv_dec_decode_illegal_inst_en;
+   wire brqrv_top_brqrv_dec_decode_illegal_lockout;
+   wire brqrv_top_brqrv_dec_decode_illegal_lockout_in;
+   wire brqrv_top_brqrv_dec_decode_leak1_i0_stall;
+   wire brqrv_top_brqrv_dec_decode_leak1_i0_stall_in;
+   wire brqrv_top_brqrv_dec_decode_leak1_i1_stall_in;
+   wire brqrv_top_brqrv_dec_decode_leak1_mode;
+   wire brqrv_top_brqrv_dec_decode_lsu_idle;
+   wire brqrv_top_brqrv_dec_decode_misc1ff_en;
+   wire brqrv_top_brqrv_dec_decode_misc1ff_l1clk;
+   wire brqrv_top_brqrv_dec_decode_misc1ff_n_0;
+   wire brqrv_top_brqrv_dec_decode_misc1ff_n_1;
+   wire brqrv_top_brqrv_dec_decode_misc1ff_n_2;
+   wire brqrv_top_brqrv_dec_decode_misc1ff_n_3;
+   wire brqrv_top_brqrv_dec_decode_misc1ff_n_4;
+   wire brqrv_top_brqrv_dec_decode_misc1ff_n_5;
+   wire brqrv_top_brqrv_dec_decode_misc1ff_n_6;
+   wire brqrv_top_brqrv_dec_decode_misc1ff_n_7;
+   wire brqrv_top_brqrv_dec_decode_misc1ff_n_8;
+   wire brqrv_top_brqrv_dec_decode_misc1ff_n_9;
+   wire brqrv_top_brqrv_dec_decode_misc2ff_en;
+   wire brqrv_top_brqrv_dec_decode_misc2ff_l1clk;
+   wire brqrv_top_brqrv_dec_decode_misc2ff_n_0;
+   wire brqrv_top_brqrv_dec_decode_misc2ff_n_1;
+   wire brqrv_top_brqrv_dec_decode_misc2ff_n_2;
+   wire brqrv_top_brqrv_dec_decode_misc2ff_n_3;
+   wire brqrv_top_brqrv_dec_decode_misc2ff_n_4;
+   wire brqrv_top_brqrv_dec_decode_misc2ff_n_5;
+   wire brqrv_top_brqrv_dec_decode_misc2ff_n_6;
+   wire brqrv_top_brqrv_dec_decode_misc2ff_n_7;
+   wire brqrv_top_brqrv_dec_decode_misc2ff_n_8;
+   wire brqrv_top_brqrv_dec_decode_misc2ff_n_9;
+   wire brqrv_top_brqrv_dec_decode_n_762;
+   wire brqrv_top_brqrv_dec_decode_n_962;
+   wire brqrv_top_brqrv_dec_decode_n_964;
+   wire brqrv_top_brqrv_dec_decode_n_1216;
+   wire brqrv_top_brqrv_dec_decode_n_1234;
+   wire brqrv_top_brqrv_dec_decode_n_1428;
+   wire brqrv_top_brqrv_dec_decode_n_1461;
+   wire brqrv_top_brqrv_dec_decode_n_1558;
+   wire brqrv_top_brqrv_dec_decode_n_1983_BAR;
+   wire brqrv_top_brqrv_dec_decode_nonblock_load_valid_m_delay;
+   wire brqrv_top_brqrv_dec_decode_pause_state_in;
+   wire brqrv_top_brqrv_dec_decode_postsync_stall;
+   wire brqrv_top_brqrv_dec_decode_ps_stall_in;
+   wire brqrv_top_brqrv_dec_decode_r_d_ff_dff_extra_l1clk;
+   wire brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_dff_l1clk;
+   wire brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_final_en;
+   wire brqrv_top_brqrv_dec_decode_r_d_ff_n_0;
+   wire brqrv_top_brqrv_dec_decode_r_d_ff_n_1;
+   wire brqrv_top_brqrv_dec_decode_r_d_ff_n_2;
+   wire brqrv_top_brqrv_dec_decode_r_d_ff_n_3;
+   wire brqrv_top_brqrv_dec_decode_r_d_ff_n_4;
+   wire brqrv_top_brqrv_dec_decode_r_d_ff_n_5;
+   wire brqrv_top_brqrv_dec_decode_r_d_ff_n_6;
+   wire brqrv_top_brqrv_dec_decode_r_d_ff_n_7;
+   wire brqrv_top_brqrv_dec_decode_r_d_ff_n_8;
+   wire brqrv_top_brqrv_dec_decode_r_d_ff_n_9;
+   wire brqrv_top_brqrv_dec_decode_r_d_ff_n_10;
+   wire brqrv_top_brqrv_dec_decode_r_d_ff_n_11;
+   wire brqrv_top_brqrv_dec_decode_r_d_ff_n_12;
+   wire brqrv_top_brqrv_dec_decode_r_d_ff_n_13;
+   wire brqrv_top_brqrv_dec_decode_r_d_ff_n_14;
+   wire brqrv_top_brqrv_dec_decode_r_d_ff_n_15;
+   wire brqrv_top_brqrv_dec_decode_tlu_wr_pause_r1;
+   wire brqrv_top_brqrv_dec_decode_tlu_wr_pause_r2;
+   wire brqrv_top_brqrv_dec_decode_trap_r_ff_dff_extra_l1clk;
+   wire brqrv_top_brqrv_dec_decode_trap_r_ff_dff_left_dff_l1clk;
+   wire brqrv_top_brqrv_dec_decode_trap_r_ff_dff_left_final_en;
+   wire brqrv_top_brqrv_dec_decode_trap_xff_dff_extra_l1clk;
+   wire brqrv_top_brqrv_dec_decode_trap_xff_dff_left_dff_l1clk;
+   wire brqrv_top_brqrv_dec_decode_trap_xff_dff_left_final_en;
+   wire \brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[0]_80 ;
+   wire \brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[1]_81 ;
+   wire \brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[2]_82 ;
+   wire \brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[3]_83 ;
+   wire \brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[4]_84 ;
+   wire \brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[5]_85 ;
+   wire \brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[6]_86 ;
+   wire \brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[7]_87 ;
+   wire \brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[8]_88 ;
+   wire \brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[10]_90 ;
+   wire \brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[11]_91 ;
+   wire \brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[14]_94 ;
+   wire brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_l1clk;
+   wire brqrv_top_brqrv_dec_decode_wbff_dff_left_final_en;
+   wire brqrv_top_brqrv_dec_decode_wbff_dff_left_n_0;
+   wire brqrv_top_brqrv_dec_decode_wbff_dff_left_n_1;
+   wire brqrv_top_brqrv_dec_decode_wbff_dff_left_n_2;
+   wire brqrv_top_brqrv_dec_decode_wbff_dff_left_n_3;
+   wire brqrv_top_brqrv_dec_decode_wbff_dff_left_n_4;
+   wire brqrv_top_brqrv_dec_decode_wbff_dff_left_n_5;
+   wire brqrv_top_brqrv_dec_decode_wbff_dff_left_n_6;
+   wire brqrv_top_brqrv_dec_decode_wbff_dff_left_n_7;
+   wire brqrv_top_brqrv_dec_decode_wbff_dff_left_n_8;
+   wire brqrv_top_brqrv_dec_decode_wbff_dff_left_n_9;
+   wire brqrv_top_brqrv_dec_decode_wbff_dff_left_n_10;
+   wire brqrv_top_brqrv_dec_decode_wbff_dff_left_n_11;
+   wire brqrv_top_brqrv_dec_decode_wbff_dff_left_n_12;
+   wire brqrv_top_brqrv_dec_decode_wbff_dff_left_n_13;
+   wire brqrv_top_brqrv_dec_decode_wbff_dff_left_n_14;
+   wire brqrv_top_brqrv_dec_decode_wbff_dff_left_n_15;
+   wire brqrv_top_brqrv_dec_decode_wbnbloaddelayff_n_2;
+   wire brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk;
+   wire brqrv_top_brqrv_dec_extint_stall;
+   wire brqrv_top_brqrv_dec_i0_alu_decode_d;
+   wire brqrv_top_brqrv_dec_i0_branch_d;
+   wire brqrv_top_brqrv_dec_i0_decode_d;
+   wire brqrv_top_brqrv_dec_lsu_valid_raw_d;
+   wire brqrv_top_brqrv_dec_tlu_add_9285_51_n_0;
+   wire brqrv_top_brqrv_dec_tlu_add_9285_51_n_2;
+   wire brqrv_top_brqrv_dec_tlu_add_9285_51_n_4;
+   wire brqrv_top_brqrv_dec_tlu_add_9285_51_n_6;
+   wire brqrv_top_brqrv_dec_tlu_add_9285_51_n_8;
+   wire brqrv_top_brqrv_dec_tlu_add_9285_51_n_10;
+   wire brqrv_top_brqrv_dec_tlu_add_9285_51_n_12;
+   wire brqrv_top_brqrv_dec_tlu_add_9285_51_n_14;
+   wire brqrv_top_brqrv_dec_tlu_add_9285_51_n_16;
+   wire brqrv_top_brqrv_dec_tlu_add_9285_51_n_18;
+   wire brqrv_top_brqrv_dec_tlu_add_9285_51_n_20;
+   wire brqrv_top_brqrv_dec_tlu_add_9285_51_n_22;
+   wire brqrv_top_brqrv_dec_tlu_add_9285_51_n_24;
+   wire brqrv_top_brqrv_dec_tlu_add_9285_51_n_26;
+   wire brqrv_top_brqrv_dec_tlu_add_9285_51_n_28;
+   wire brqrv_top_brqrv_dec_tlu_add_9285_51_n_30;
+   wire brqrv_top_brqrv_dec_tlu_add_9285_51_n_32;
+   wire brqrv_top_brqrv_dec_tlu_add_9285_51_n_34;
+   wire brqrv_top_brqrv_dec_tlu_add_9285_51_n_36;
+   wire brqrv_top_brqrv_dec_tlu_add_9285_51_n_38;
+   wire brqrv_top_brqrv_dec_tlu_add_9285_51_n_40;
+   wire brqrv_top_brqrv_dec_tlu_add_9285_51_n_42;
+   wire brqrv_top_brqrv_dec_tlu_add_9285_51_n_44;
+   wire brqrv_top_brqrv_dec_tlu_add_9285_51_n_46;
+   wire brqrv_top_brqrv_dec_tlu_add_9285_51_n_48;
+   wire brqrv_top_brqrv_dec_tlu_add_9285_51_n_50;
+   wire brqrv_top_brqrv_dec_tlu_add_9285_51_n_52;
+   wire brqrv_top_brqrv_dec_tlu_add_9285_51_n_54;
+   wire brqrv_top_brqrv_dec_tlu_add_9285_51_n_56;
+   wire brqrv_top_brqrv_dec_tlu_bpred_disable;
+   wire brqrv_top_brqrv_dec_tlu_ce_int;
+   wire brqrv_top_brqrv_dec_tlu_core_empty;
+   wire brqrv_top_brqrv_dec_tlu_cpu_halt_status;
+   wire brqrv_top_brqrv_dec_tlu_csr_wr_clk;
+   wire brqrv_top_brqrv_dec_tlu_dbg_halt_req_held;
+   wire brqrv_top_brqrv_dec_tlu_dbg_halt_req_held_ns;
+   wire brqrv_top_brqrv_dec_tlu_dbg_halt_state_f;
+   wire brqrv_top_brqrv_dec_tlu_dbg_halt_state_ns;
+   wire brqrv_top_brqrv_dec_tlu_dbg_halted;
+   wire brqrv_top_brqrv_dec_tlu_dbg_run_state_f;
+   wire brqrv_top_brqrv_dec_tlu_dbg_run_state_ns;
+   wire brqrv_top_brqrv_dec_tlu_dbg_tlu_halted;
+   wire brqrv_top_brqrv_dec_tlu_dcsr_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_dcsr_single_step_done;
+   wire brqrv_top_brqrv_dec_tlu_dcsr_single_step_done_f;
+   wire brqrv_top_brqrv_dec_tlu_dcsr_single_step_running;
+   wire brqrv_top_brqrv_dec_tlu_dcsr_single_step_running_f;
+   wire brqrv_top_brqrv_dec_tlu_debug_brkpt_status_f;
+   wire brqrv_top_brqrv_dec_tlu_debug_brkpt_status_ns;
+   wire brqrv_top_brqrv_dec_tlu_debug_brkpt_valid;
+   wire brqrv_top_brqrv_dec_tlu_debug_halt_req;
+   wire brqrv_top_brqrv_dec_tlu_debug_halt_req_d1;
+   wire brqrv_top_brqrv_dec_tlu_debug_halt_req_ns;
+   wire brqrv_top_brqrv_dec_tlu_debug_mode;
+   wire brqrv_top_brqrv_dec_tlu_debug_resume_req;
+   wire brqrv_top_brqrv_dec_tlu_debug_resume_req_f_raw;
+   wire brqrv_top_brqrv_dec_tlu_dec_pause_state_f;
+   wire brqrv_top_brqrv_dec_tlu_dec_timer_t0_pulse;
+   wire brqrv_top_brqrv_dec_tlu_dec_timer_t1_pulse;
+   wire brqrv_top_brqrv_dec_tlu_dec_tlu_flush_noredir_r_d1;
+   wire brqrv_top_brqrv_dec_tlu_dec_tlu_flush_pause_r_d1;
+   wire brqrv_top_brqrv_dec_tlu_dec_tlu_pmu_fw_halted;
+   wire brqrv_top_brqrv_dec_tlu_dec_tlu_wr_pause_r_d1;
+   wire brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_dicawics_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_e4e5_clk;
+   wire brqrv_top_brqrv_dec_tlu_e4e5_int_clk;
+   wire brqrv_top_brqrv_dec_tlu_e5_valid;
+   wire brqrv_top_brqrv_dec_tlu_ebreak_to_debug_mode_r_BAR;
+   wire brqrv_top_brqrv_dec_tlu_ebreak_to_debug_mode_r_d1;
+   wire brqrv_top_brqrv_dec_tlu_enter_debug_halt_req;
+   wire brqrv_top_brqrv_dec_tlu_exc_or_int_valid_r;
+   wire brqrv_top_brqrv_dec_tlu_exc_or_int_valid_r_d1;
+   wire brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_en;
+   wire brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_n_19;
+   wire brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_n_20;
+   wire brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_n_21;
+   wire brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_n_22;
+   wire brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_n_23;
+   wire brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_n_24;
+   wire brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_n_26;
+   wire brqrv_top_brqrv_dec_tlu_ext_int_freeze;
+   wire brqrv_top_brqrv_dec_tlu_ext_int_freeze_d1;
+   wire brqrv_top_brqrv_dec_tlu_external_ldfwd_disable;
+   wire brqrv_top_brqrv_dec_tlu_exthaltff_en;
+   wire brqrv_top_brqrv_dec_tlu_exthaltff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_exthaltff_n_0;
+   wire brqrv_top_brqrv_dec_tlu_exthaltff_n_1;
+   wire brqrv_top_brqrv_dec_tlu_exthaltff_n_2;
+   wire brqrv_top_brqrv_dec_tlu_exthaltff_n_3;
+   wire brqrv_top_brqrv_dec_tlu_exthaltff_n_4;
+   wire brqrv_top_brqrv_dec_tlu_exthaltff_n_5;
+   wire brqrv_top_brqrv_dec_tlu_exthaltff_n_6;
+   wire brqrv_top_brqrv_dec_tlu_exthaltff_n_7;
+   wire brqrv_top_brqrv_dec_tlu_fence_i_r;
+   wire brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_extra_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_final_en;
+   wire brqrv_top_brqrv_dec_tlu_flush_lower_r;
+   wire brqrv_top_brqrv_dec_tlu_flush_lower_wb;
+   wire brqrv_top_brqrv_dec_tlu_flush_noredir_r;
+   wire brqrv_top_brqrv_dec_tlu_force_halt;
+   wire brqrv_top_brqrv_dec_tlu_force_halt_4648;
+   wire brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_freeff_en;
+   wire brqrv_top_brqrv_dec_tlu_freeff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_freeff_n_0;
+   wire brqrv_top_brqrv_dec_tlu_freeff_n_1;
+   wire brqrv_top_brqrv_dec_tlu_freeff_n_2;
+   wire brqrv_top_brqrv_dec_tlu_freeff_n_3;
+   wire brqrv_top_brqrv_dec_tlu_freeff_n_4;
+   wire brqrv_top_brqrv_dec_tlu_freeff_n_5;
+   wire brqrv_top_brqrv_dec_tlu_freeff_n_6;
+   wire brqrv_top_brqrv_dec_tlu_freeff_n_7;
+   wire brqrv_top_brqrv_dec_tlu_freeff_n_8;
+   wire brqrv_top_brqrv_dec_tlu_freeff_n_9;
+   wire brqrv_top_brqrv_dec_tlu_fw_halted;
+   wire brqrv_top_brqrv_dec_tlu_fw_halted_ns;
+   wire \brqrv_top_brqrv_dec_tlu_genblk4.dicad1_ff_l1clk ;
+   wire \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_en ;
+   wire \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_l1clk ;
+   wire \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_n_37 ;
+   wire \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_n_38 ;
+   wire \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_n_39 ;
+   wire \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_n_40 ;
+   wire \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_n_41 ;
+   wire \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_n_62 ;
+   wire brqrv_top_brqrv_dec_tlu_halt_ff_en;
+   wire brqrv_top_brqrv_dec_tlu_halt_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_halt_ff_n_1;
+   wire brqrv_top_brqrv_dec_tlu_halt_ff_n_2;
+   wire brqrv_top_brqrv_dec_tlu_halt_ff_n_3;
+   wire brqrv_top_brqrv_dec_tlu_halt_ff_n_4;
+   wire brqrv_top_brqrv_dec_tlu_halt_ff_n_5;
+   wire brqrv_top_brqrv_dec_tlu_halt_ff_n_6;
+   wire brqrv_top_brqrv_dec_tlu_halt_ff_n_7;
+   wire brqrv_top_brqrv_dec_tlu_halt_ff_n_8;
+   wire brqrv_top_brqrv_dec_tlu_halt_ff_n_9;
+   wire brqrv_top_brqrv_dec_tlu_halt_ff_n_10;
+   wire brqrv_top_brqrv_dec_tlu_halt_ff_n_11;
+   wire brqrv_top_brqrv_dec_tlu_halt_ff_n_12;
+   wire brqrv_top_brqrv_dec_tlu_halt_ff_n_13;
+   wire brqrv_top_brqrv_dec_tlu_halt_ff_n_14;
+   wire brqrv_top_brqrv_dec_tlu_halt_ff_n_15;
+   wire brqrv_top_brqrv_dec_tlu_halt_ff_n_16;
+   wire brqrv_top_brqrv_dec_tlu_halt_ff_n_17;
+   wire brqrv_top_brqrv_dec_tlu_halt_ff_n_18;
+   wire brqrv_top_brqrv_dec_tlu_halt_ff_n_19;
+   wire brqrv_top_brqrv_dec_tlu_halt_ff_n_20;
+   wire brqrv_top_brqrv_dec_tlu_halt_ff_n_21;
+   wire brqrv_top_brqrv_dec_tlu_halt_ff_n_22;
+   wire brqrv_top_brqrv_dec_tlu_halt_ff_n_23;
+   wire brqrv_top_brqrv_dec_tlu_halt_taken;
+   wire brqrv_top_brqrv_dec_tlu_halt_taken_f;
+   wire brqrv_top_brqrv_dec_tlu_i0_exception_valid_r;
+   wire brqrv_top_brqrv_dec_tlu_i0_kill_writeb_r;
+   wire brqrv_top_brqrv_dec_tlu_i0_trigger_hit_raw_r;
+   wire brqrv_top_brqrv_dec_tlu_i0_valid_no_ebreak_ecall_r;
+   wire brqrv_top_brqrv_dec_tlu_icache_rd_valid;
+   wire brqrv_top_brqrv_dec_tlu_icache_wr_valid;
+   wire brqrv_top_brqrv_dec_tlu_ifu_miss_state_idle_f;
+   wire brqrv_top_brqrv_dec_tlu_illegal_r;
+   wire brqrv_top_brqrv_dec_tlu_inst_acc_r;
+   wire brqrv_top_brqrv_dec_tlu_int_timer0_int_hold;
+   wire brqrv_top_brqrv_dec_tlu_int_timer0_int_hold_f;
+   wire brqrv_top_brqrv_dec_tlu_int_timer1_int_hold;
+   wire brqrv_top_brqrv_dec_tlu_int_timer1_int_hold_f;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_33;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_34;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_35;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_36;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_37;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_38;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_39;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_40;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_41;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_42;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_43;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_44;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_45;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_46;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_47;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_48;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_49;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_50;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_51;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_52;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_53;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_54;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_55;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_56;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_57;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_58;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_59;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_60;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_61;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_33;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_34;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_35;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_36;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_37;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_38;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_39;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_40;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_41;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_42;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_43;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_44;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_45;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_46;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_47;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_48;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_49;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_50;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_51;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_52;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_53;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_54;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_55;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_56;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_57;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_58;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_59;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_60;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_61;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffa_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_inc_cout;
+   wire \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[0]_279 ;
+   wire \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[1]_280 ;
+   wire \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[2]_281 ;
+   wire \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[3]_282 ;
+   wire \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[4]_283 ;
+   wire \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[5]_284 ;
+   wire \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[6]_285 ;
+   wire \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[7]_286 ;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffa_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_inc_cout;
+   wire \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[0]_289 ;
+   wire \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[1]_290 ;
+   wire \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[2]_291 ;
+   wire \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[3]_292 ;
+   wire \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[4]_293 ;
+   wire \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[5]_294 ;
+   wire \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[6]_295 ;
+   wire \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[7]_296 ;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_mitctl0_0_b;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_mitctl0_0_b_ns;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_mitctl0_ff_n_9;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_mitctl0_ff_n_10;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_mitctl1_0_b;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_mitctl1_0_b_ns;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_mitctl1_ff_n_11;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_mitctl1_ff_n_12;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_mitctl1_ff_n_13;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_n_44;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_n_275;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_n_287;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_n_288;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_n_297;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_n_298;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_n_308;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_n_309;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_n_310;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_n_313;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_n_314;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_n_315;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_n_316;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_n_319;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_n_326;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_n_327;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_n_328;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_n_329;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_wr_mitb0_r;
+   wire brqrv_top_brqrv_dec_tlu_int_timers_wr_mitb1_r;
+   wire brqrv_top_brqrv_dec_tlu_internal_dbg_halt_mode;
+   wire brqrv_top_brqrv_dec_tlu_internal_dbg_halt_mode_f2;
+   wire brqrv_top_brqrv_dec_tlu_internal_pmu_fw_halt_mode;
+   wire brqrv_top_brqrv_dec_tlu_internal_pmu_fw_halt_mode_f;
+   wire brqrv_top_brqrv_dec_tlu_interrupt_valid_r;
+   wire brqrv_top_brqrv_dec_tlu_interrupt_valid_r_d1;
+   wire brqrv_top_brqrv_dec_tlu_lsu_clk_override;
+   wire brqrv_top_brqrv_dec_tlu_lsu_exc_st_r;
+   wire brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r;
+   wire brqrv_top_brqrv_dec_tlu_lsu_idle_any_f;
+   wire brqrv_top_brqrv_dec_tlu_lsu_pmu_load_external_r;
+   wire brqrv_top_brqrv_dec_tlu_lsu_pmu_store_external_r;
+   wire brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mcgc_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mcountinhibit_ff_n_14;
+   wire brqrv_top_brqrv_dec_tlu_mcountinhibit_ff_n_15;
+   wire brqrv_top_brqrv_dec_tlu_mcountinhibit_ff_n_16;
+   wire brqrv_top_brqrv_dec_tlu_mcountinhibit_ff_n_17;
+   wire brqrv_top_brqrv_dec_tlu_mcountinhibit_ff_n_18;
+   wire brqrv_top_brqrv_dec_tlu_mcountinhibit_ff_n_19;
+   wire brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mcyclel_aff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mcyclel_bff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mcyclel_cout;
+   wire brqrv_top_brqrv_dec_tlu_mcyclel_cout_f;
+   wire \brqrv_top_brqrv_dec_tlu_mcyclel_ns[0]_3316 ;
+   wire \brqrv_top_brqrv_dec_tlu_mcyclel_ns[1]_3317 ;
+   wire \brqrv_top_brqrv_dec_tlu_mcyclel_ns[2]_3318 ;
+   wire \brqrv_top_brqrv_dec_tlu_mcyclel_ns[3]_3319 ;
+   wire \brqrv_top_brqrv_dec_tlu_mcyclel_ns[4]_3320 ;
+   wire \brqrv_top_brqrv_dec_tlu_mcyclel_ns[5]_3321 ;
+   wire \brqrv_top_brqrv_dec_tlu_mcyclel_ns[6]_3322 ;
+   wire \brqrv_top_brqrv_dec_tlu_mcyclel_ns[7]_3323 ;
+   wire brqrv_top_brqrv_dec_tlu_mcyclela_cout;
+   wire brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mdseac_en_BAR;
+   wire brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mdseac_locked_f;
+   wire brqrv_top_brqrv_dec_tlu_mdseac_locked_ns;
+   wire brqrv_top_brqrv_dec_tlu_meihap_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_meivt_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mfdc_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mfdhs_ff_n_6;
+   wire brqrv_top_brqrv_dec_tlu_mfdhs_ff_n_7;
+   wire brqrv_top_brqrv_dec_tlu_mfdht_ff_n_14;
+   wire brqrv_top_brqrv_dec_tlu_mfdht_ff_n_15;
+   wire brqrv_top_brqrv_dec_tlu_mfdht_ff_n_16;
+   wire brqrv_top_brqrv_dec_tlu_mfdht_ff_n_17;
+   wire brqrv_top_brqrv_dec_tlu_mfdht_ff_n_18;
+   wire brqrv_top_brqrv_dec_tlu_mfdht_ff_n_19;
+   wire brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mhpmc3_wr_en;
+   wire brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mhpmc3h_wr_en;
+   wire brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mhpmc4_wr_en;
+   wire brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mhpmc4h_wr_en;
+   wire brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mhpmc5_wr_en;
+   wire brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mhpmc5h_wr_en;
+   wire brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mhpmc6_wr_en;
+   wire brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mhpmc6h_wr_en;
+   wire brqrv_top_brqrv_dec_tlu_mhpme3_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mhpme4_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mhpme5_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mhpme6_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_micect_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_minstret_enable;
+   wire brqrv_top_brqrv_dec_tlu_minstret_enable_f;
+   wire brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_minstretl_aff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_minstretl_bff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_minstretl_cout;
+   wire brqrv_top_brqrv_dec_tlu_minstretl_cout_f;
+   wire brqrv_top_brqrv_dec_tlu_minstretl_cout_ns;
+   wire brqrv_top_brqrv_dec_tlu_minstretl_couta;
+   wire \brqrv_top_brqrv_dec_tlu_minstretl_ns[0]_3327 ;
+   wire \brqrv_top_brqrv_dec_tlu_minstretl_ns[1]_3328 ;
+   wire \brqrv_top_brqrv_dec_tlu_minstretl_ns[2]_3329 ;
+   wire \brqrv_top_brqrv_dec_tlu_minstretl_ns[3]_3330 ;
+   wire \brqrv_top_brqrv_dec_tlu_minstretl_ns[4]_3331 ;
+   wire \brqrv_top_brqrv_dec_tlu_minstretl_ns[5]_3332 ;
+   wire \brqrv_top_brqrv_dec_tlu_minstretl_ns[6]_3333 ;
+   wire \brqrv_top_brqrv_dec_tlu_minstretl_ns[7]_3334 ;
+   wire brqrv_top_brqrv_dec_tlu_misc_clk_override;
+   wire brqrv_top_brqrv_dec_tlu_mpc_debug_run_ack_f;
+   wire brqrv_top_brqrv_dec_tlu_mpc_debug_run_req_sync;
+   wire brqrv_top_brqrv_dec_tlu_mpc_debug_run_req_sync_f;
+   wire brqrv_top_brqrv_dec_tlu_mpc_run_state_f;
+   wire brqrv_top_brqrv_dec_tlu_mpc_run_state_ns;
+   wire brqrv_top_brqrv_dec_tlu_mpvhalt_ff_dff_logic_1_1_net;
+   wire brqrv_top_brqrv_dec_tlu_mpvhalt_ff_en;
+   wire brqrv_top_brqrv_dec_tlu_mpvhalt_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_0;
+   wire brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_1;
+   wire brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_2;
+   wire brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_3;
+   wire brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_4;
+   wire brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_5;
+   wire brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_6;
+   wire brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_7;
+   wire brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_8;
+   wire brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_9;
+   wire brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_10;
+   wire brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_11;
+   wire brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mstatus_mie_ns;
+   wire brqrv_top_brqrv_dec_tlu_mtdata1_t0_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mtdata1_t1_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mtdata1_t2_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mtdata1_t3_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_n_373;
+   wire brqrv_top_brqrv_dec_tlu_n_396;
+   wire brqrv_top_brqrv_dec_tlu_n_397;
+   wire brqrv_top_brqrv_dec_tlu_n_398;
+   wire brqrv_top_brqrv_dec_tlu_n_444;
+   wire brqrv_top_brqrv_dec_tlu_n_473;
+   wire brqrv_top_brqrv_dec_tlu_n_499;
+   wire brqrv_top_brqrv_dec_tlu_n_3270;
+   wire brqrv_top_brqrv_dec_tlu_n_3271;
+   wire brqrv_top_brqrv_dec_tlu_n_3272;
+   wire brqrv_top_brqrv_dec_tlu_n_3314;
+   wire brqrv_top_brqrv_dec_tlu_n_3315;
+   wire brqrv_top_brqrv_dec_tlu_n_3324;
+   wire brqrv_top_brqrv_dec_tlu_n_3325;
+   wire brqrv_top_brqrv_dec_tlu_n_3326;
+   wire brqrv_top_brqrv_dec_tlu_n_3335;
+   wire brqrv_top_brqrv_dec_tlu_n_3336;
+   wire brqrv_top_brqrv_dec_tlu_n_3337;
+   wire brqrv_top_brqrv_dec_tlu_n_3338;
+   wire brqrv_top_brqrv_dec_tlu_n_3339;
+   wire brqrv_top_brqrv_dec_tlu_n_3388;
+   wire brqrv_top_brqrv_dec_tlu_n_3389;
+   wire brqrv_top_brqrv_dec_tlu_n_3390;
+   wire brqrv_top_brqrv_dec_tlu_n_3391;
+   wire brqrv_top_brqrv_dec_tlu_n_3392;
+   wire brqrv_top_brqrv_dec_tlu_n_3393;
+   wire brqrv_top_brqrv_dec_tlu_n_3394;
+   wire brqrv_top_brqrv_dec_tlu_n_3395;
+   wire brqrv_top_brqrv_dec_tlu_n_3396;
+   wire brqrv_top_brqrv_dec_tlu_n_3397;
+   wire brqrv_top_brqrv_dec_tlu_n_3468;
+   wire brqrv_top_brqrv_dec_tlu_n_3813;
+   wire brqrv_top_brqrv_dec_tlu_n_4284;
+   wire brqrv_top_brqrv_dec_tlu_n_4585;
+   wire brqrv_top_brqrv_dec_tlu_n_4856;
+   wire brqrv_top_brqrv_dec_tlu_n_5591;
+   wire brqrv_top_brqrv_dec_tlu_n_5592;
+   wire brqrv_top_brqrv_dec_tlu_n_5593;
+   wire brqrv_top_brqrv_dec_tlu_n_5594;
+   wire brqrv_top_brqrv_dec_tlu_n_5595;
+   wire brqrv_top_brqrv_dec_tlu_n_5596;
+   wire brqrv_top_brqrv_dec_tlu_n_5597;
+   wire brqrv_top_brqrv_dec_tlu_n_5598;
+   wire brqrv_top_brqrv_dec_tlu_n_5599;
+   wire brqrv_top_brqrv_dec_tlu_n_5600;
+   wire brqrv_top_brqrv_dec_tlu_n_5601;
+   wire brqrv_top_brqrv_dec_tlu_n_5602;
+   wire brqrv_top_brqrv_dec_tlu_n_5603;
+   wire brqrv_top_brqrv_dec_tlu_n_5604;
+   wire brqrv_top_brqrv_dec_tlu_n_5605;
+   wire brqrv_top_brqrv_dec_tlu_n_5606;
+   wire brqrv_top_brqrv_dec_tlu_n_5607;
+   wire brqrv_top_brqrv_dec_tlu_n_5608;
+   wire brqrv_top_brqrv_dec_tlu_n_5609;
+   wire brqrv_top_brqrv_dec_tlu_n_5610;
+   wire brqrv_top_brqrv_dec_tlu_n_5611;
+   wire brqrv_top_brqrv_dec_tlu_n_5612;
+   wire brqrv_top_brqrv_dec_tlu_n_5613;
+   wire brqrv_top_brqrv_dec_tlu_n_5614;
+   wire brqrv_top_brqrv_dec_tlu_n_5615;
+   wire brqrv_top_brqrv_dec_tlu_n_5616;
+   wire brqrv_top_brqrv_dec_tlu_n_5617;
+   wire brqrv_top_brqrv_dec_tlu_n_5618;
+   wire brqrv_top_brqrv_dec_tlu_n_5619;
+   wire brqrv_top_brqrv_dec_tlu_n_5620;
+   wire brqrv_top_brqrv_dec_tlu_n_6062;
+   wire brqrv_top_brqrv_dec_tlu_n_8029;
+   wire brqrv_top_brqrv_dec_tlu_n_12944;
+   wire brqrv_top_brqrv_dec_tlu_n_12947;
+   wire brqrv_top_brqrv_dec_tlu_n_12950;
+   wire brqrv_top_brqrv_dec_tlu_n_12976;
+   wire brqrv_top_brqrv_dec_tlu_n_13117;
+   wire brqrv_top_brqrv_dec_tlu_n_13199;
+   wire brqrv_top_brqrv_dec_tlu_n_13200;
+   wire brqrv_top_brqrv_dec_tlu_n_13273;
+   wire brqrv_top_brqrv_dec_tlu_nmi_int_detected;
+   wire brqrv_top_brqrv_dec_tlu_nmi_int_detected_f;
+   wire brqrv_top_brqrv_dec_tlu_nmi_lsu_load_type;
+   wire brqrv_top_brqrv_dec_tlu_nmi_lsu_load_type_f;
+   wire brqrv_top_brqrv_dec_tlu_nmi_lsu_store_type;
+   wire brqrv_top_brqrv_dec_tlu_nmi_lsu_store_type_f;
+   wire brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_extra_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_final_en;
+   wire brqrv_top_brqrv_dec_tlu_pause_expired_r;
+   wire brqrv_top_brqrv_dec_tlu_pause_expired_wb;
+   wire brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR;
+   wire brqrv_top_brqrv_dec_tlu_perfcnt_halted;
+   wire brqrv_top_brqrv_dec_tlu_pic_clk_override;
+   wire brqrv_top_brqrv_dec_tlu_pmu_fw_halt_req_f;
+   wire brqrv_top_brqrv_dec_tlu_pmu_fw_halt_req_ns;
+   wire brqrv_top_brqrv_dec_tlu_pmu_fw_tlu_halted;
+   wire brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_extra_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_l1clk;
+   wire brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_final_en;
+   wire brqrv_top_brqrv_dec_tlu_request_debug_mode_done;
+   wire brqrv_top_brqrv_dec_tlu_request_debug_mode_done_f;
+   wire brqrv_top_brqrv_dec_tlu_request_debug_mode_r;
+   wire brqrv_top_brqrv_dec_tlu_request_debug_mode_r_d1;
+   wire brqrv_top_brqrv_dec_tlu_reset_delayed;
+   wire brqrv_top_brqrv_dec_tlu_reset_detect;
+   wire brqrv_top_brqrv_dec_tlu_reset_detected;
+   wire brqrv_top_brqrv_dec_tlu_resume_ack;
+   wire brqrv_top_brqrv_dec_tlu_resume_ack_ns;
+   wire brqrv_top_brqrv_dec_tlu_sel_npc_r;
+   wire brqrv_top_brqrv_dec_tlu_sel_npc_resume;
+   wire brqrv_top_brqrv_dec_tlu_syncro_ff_sync_ff1_logic_1_1_net;
+   wire brqrv_top_brqrv_dec_tlu_take_ext_int_start_d1;
+   wire brqrv_top_brqrv_dec_tlu_take_ext_int_start_d2;
+   wire brqrv_top_brqrv_dec_tlu_take_ext_int_start_d3;
+   wire brqrv_top_brqrv_dec_tlu_take_int_timer0_int;
+   wire brqrv_top_brqrv_dec_tlu_take_nmi;
+   wire brqrv_top_brqrv_dec_tlu_take_nmi_r_d1;
+   wire brqrv_top_brqrv_dec_tlu_trigger_hit_dmode_r;
+   wire brqrv_top_brqrv_dec_tlu_trigger_hit_dmode_r_d1;
+   wire brqrv_top_brqrv_dec_tlu_trigger_hit_r_d1;
+   wire brqrv_top_brqrv_dec_tlu_wb_coalescing_disable;
+   wire brqrv_top_brqrv_dec_tlu_wr_dicawics_r;
+   wire brqrv_top_brqrv_dec_tlu_wr_mcgc_r;
+   wire brqrv_top_brqrv_dec_tlu_wr_mcycleh_r;
+   wire brqrv_top_brqrv_dec_tlu_wr_mdccmect_r;
+   wire brqrv_top_brqrv_dec_tlu_wr_meicpct_r;
+   wire brqrv_top_brqrv_dec_tlu_wr_meivt_r;
+   wire brqrv_top_brqrv_dec_tlu_wr_mfdc_r;
+   wire brqrv_top_brqrv_dec_tlu_wr_mhpme3_r;
+   wire brqrv_top_brqrv_dec_tlu_wr_mhpme4_r;
+   wire brqrv_top_brqrv_dec_tlu_wr_mhpme5_r;
+   wire brqrv_top_brqrv_dec_tlu_wr_mhpme6_r;
+   wire brqrv_top_brqrv_dec_tlu_wr_miccmect_r;
+   wire brqrv_top_brqrv_dec_tlu_wr_micect_r;
+   wire brqrv_top_brqrv_dec_tlu_wr_minstreth_r;
+   wire brqrv_top_brqrv_dec_tlu_wr_mrac_r;
+   wire brqrv_top_brqrv_dec_tlu_wr_mscratch_r;
+   wire brqrv_top_brqrv_dec_tlu_wr_mtdata2_t0_r;
+   wire brqrv_top_brqrv_dec_tlu_wr_mtdata2_t1_r;
+   wire brqrv_top_brqrv_dec_tlu_wr_mtdata2_t2_r;
+   wire brqrv_top_brqrv_dec_tlu_wr_mtdata2_t3_r;
+   wire brqrv_top_brqrv_dec_tlu_wr_mtvec_r;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_n_18 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_n_19 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_n_20 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_n_21 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_n_22 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_n_23 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_n_24 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_n_25 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_dbg_dff_n_2 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_done_bus_dff_n_0 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_done_dff_n_0 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_rpend_dff_n_0 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_sz_dff_n_8 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_sz_dff_n_9 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_sz_dff_n_10 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_valid_dff_n_0 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_write_dff_n_4 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_n_18 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_n_19 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_n_20 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_n_21 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_n_22 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_n_23 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_n_24 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_n_25 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_dbg_dff_n_2 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_done_bus_dff_n_0 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_done_dff_n_0 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_rpend_dff_n_0 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_sz_dff_n_8 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_sz_dff_n_9 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_sz_dff_n_10 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_valid_dff_n_0 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_write_dff_n_4 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_n_18 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_n_19 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_n_20 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_n_21 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_n_22 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_n_23 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_n_24 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_n_25 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_dbg_dff_n_2 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_done_bus_dff_n_0 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_done_dff_n_0 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_rpend_dff_n_0 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_sz_dff_n_8 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_sz_dff_n_9 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_sz_dff_n_10 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_valid_dff_n_0 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_write_dff_n_4 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_n_18 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_n_19 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_n_20 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_n_21 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_n_22 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_n_23 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_n_24 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_n_25 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_dbg_dff_n_2 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_done_bus_dff_n_0 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_done_dff_n_0 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_rpend_dff_n_0 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_sz_dff_n_8 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_sz_dff_n_9 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_sz_dff_n_10 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_valid_dff_n_0 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_write_dff_n_4 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_n_18 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_n_19 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_n_20 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_n_21 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_n_22 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_n_23 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_n_24 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_n_25 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_dbg_dff_n_2 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_done_bus_dff_n_0 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_done_dff_n_0 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_rpend_dff_n_0 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_sz_dff_n_8 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_sz_dff_n_9 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_sz_dff_n_10 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_valid_dff_n_0 ;
+   wire \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_write_dff_n_4 ;
+   wire \brqrv_top_brqrv_dma_ctrl_Gen_dccm_enable.addr_dccm_rangecheck_n_0 ;
+   wire \brqrv_top_brqrv_dma_ctrl_Gen_dccm_enable.addr_dccm_rangecheck_n_1 ;
+   wire \brqrv_top_brqrv_dma_ctrl_Gen_dccm_enable.addr_dccm_rangecheck_n_2 ;
+   wire \brqrv_top_brqrv_dma_ctrl_Gen_dccm_enable.addr_dccm_rangecheck_n_3 ;
+   wire \brqrv_top_brqrv_dma_ctrl_Gen_dccm_enable.addr_dccm_rangecheck_n_4 ;
+   wire \brqrv_top_brqrv_dma_ctrl_Gen_iccm_enable.addr_iccm_rangecheck_n_0 ;
+   wire \brqrv_top_brqrv_dma_ctrl_Gen_iccm_enable.addr_iccm_rangecheck_n_1 ;
+   wire \brqrv_top_brqrv_dma_ctrl_Gen_iccm_enable.addr_iccm_rangecheck_n_2 ;
+   wire \brqrv_top_brqrv_dma_ctrl_Gen_iccm_enable.addr_iccm_rangecheck_n_3 ;
+   wire \brqrv_top_brqrv_dma_ctrl_Gen_iccm_enable.addr_iccm_rangecheck_n_4 ;
+   wire \brqrv_top_brqrv_dma_ctrl_Gen_iccm_enable.addr_iccm_rangecheck_n_5 ;
+   wire \brqrv_top_brqrv_dma_ctrl_Gen_iccm_enable.addr_iccm_rangecheck_n_6 ;
+   wire brqrv_top_brqrv_dma_ctrl_RdPtr_dff_n_8;
+   wire brqrv_top_brqrv_dma_ctrl_RdPtr_dff_n_9;
+   wire brqrv_top_brqrv_dma_ctrl_RdPtr_dff_n_10;
+   wire brqrv_top_brqrv_dma_ctrl_RspPtr_dff_n_8;
+   wire brqrv_top_brqrv_dma_ctrl_RspPtr_dff_n_9;
+   wire brqrv_top_brqrv_dma_ctrl_RspPtr_dff_n_10;
+   wire brqrv_top_brqrv_dma_ctrl_WrPtr_dff_n_8;
+   wire brqrv_top_brqrv_dma_ctrl_WrPtr_dff_n_9;
+   wire brqrv_top_brqrv_dma_ctrl_WrPtr_dff_n_10;
+   wire brqrv_top_brqrv_dma_ctrl_addr_pic_rangecheck_n_0;
+   wire brqrv_top_brqrv_dma_ctrl_addr_pic_rangecheck_n_1;
+   wire brqrv_top_brqrv_dma_ctrl_addr_pic_rangecheck_n_2;
+   wire brqrv_top_brqrv_dma_ctrl_addr_pic_rangecheck_n_3;
+   wire brqrv_top_brqrv_dma_ctrl_addr_pic_rangecheck_n_4;
+   wire brqrv_top_brqrv_dma_ctrl_axi_mstr_priority;
+   wire brqrv_top_brqrv_dma_ctrl_bus_cmd_sent;
+   wire brqrv_top_brqrv_dma_ctrl_bus_cmd_valid;
+   wire brqrv_top_brqrv_dma_ctrl_dbg_dma_bubble_bus;
+   wire brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk;
+   wire brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clken;
+   wire brqrv_top_brqrv_dma_ctrl_dma_bus_cgc_logic_1_1_net;
+   wire brqrv_top_brqrv_dma_ctrl_dma_bus_clk;
+   wire brqrv_top_brqrv_dma_ctrl_dma_dbg_cmd_done_q;
+   wire brqrv_top_brqrv_dma_ctrl_dma_free_clk;
+   wire brqrv_top_brqrv_dma_ctrl_dma_free_clken;
+   wire brqrv_top_brqrv_dma_ctrl_dma_mem_addr_in_dccm;
+   wire brqrv_top_brqrv_dma_ctrl_dma_mem_addr_in_iccm;
+   wire brqrv_top_brqrv_dma_ctrl_dma_mem_addr_in_pic;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[0]_1468 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[0]_1534 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[0]_1600 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[1]_1469 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[1]_1535 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[1]_1601 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[2]_1470 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[2]_1536 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[2]_1602 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[3]_1471 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[3]_1537 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[3]_1603 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[4]_1472 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[4]_1538 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[4]_1604 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[5]_1473 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[5]_1539 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[5]_1605 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[6]_1474 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[6]_1540 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[6]_1606 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[7]_1475 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[7]_1541 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[7]_1607 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[8]_1476 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[8]_1542 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[8]_1608 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[9]_1477 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[9]_1543 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[9]_1609 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[10]_1478 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[10]_1544 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[10]_1610 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[11]_1479 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[11]_1545 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[11]_1611 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[12]_1480 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[12]_1546 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[12]_1612 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[13]_1481 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[13]_1547 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[13]_1613 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[14]_1482 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[14]_1548 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[14]_1614 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[15]_1483 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[15]_1549 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[15]_1615 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[16]_1484 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[16]_1550 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[16]_1616 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[17]_1485 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[17]_1551 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[17]_1617 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[18]_1486 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[18]_1552 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[18]_1618 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[19]_1487 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[19]_1553 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[19]_1619 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[20]_1488 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[20]_1554 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[20]_1620 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[21]_1489 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[21]_1555 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[21]_1621 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[22]_1490 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[22]_1556 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[22]_1622 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[23]_1491 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[23]_1557 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[23]_1623 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[24]_1492 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[24]_1558 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[24]_1624 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[25]_1493 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[25]_1559 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[25]_1625 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[26]_1494 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[26]_1560 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[26]_1626 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[27]_1495 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[27]_1561 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[27]_1627 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[28]_1496 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[28]_1562 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[28]_1628 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[29]_1497 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[29]_1563 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[29]_1629 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[30]_1498 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[30]_1564 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[30]_1630 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[31]_1499 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[31]_1565 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[31]_1631 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[32]_1500 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[32]_1566 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[32]_1632 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[33]_1501 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[33]_1567 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[33]_1633 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[34]_1502 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[34]_1568 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[34]_1634 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[35]_1503 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[35]_1569 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[35]_1635 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[36]_1504 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[36]_1570 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[36]_1636 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[37]_1505 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[37]_1571 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[37]_1637 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[38]_1506 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[38]_1572 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[38]_1638 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[39]_1507 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[39]_1573 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[39]_1639 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[40]_1508 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[40]_1574 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[40]_1640 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[41]_1509 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[41]_1575 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[41]_1641 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[42]_1510 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[42]_1576 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[42]_1642 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[43]_1511 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[43]_1577 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[43]_1643 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[44]_1512 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[44]_1578 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[44]_1644 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[45]_1513 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[45]_1579 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[45]_1645 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[46]_1514 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[46]_1580 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[46]_1646 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[47]_1515 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[47]_1581 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[47]_1647 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[48]_1516 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[48]_1582 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[48]_1648 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[49]_1517 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[49]_1583 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[49]_1649 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[50]_1518 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[50]_1584 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[50]_1650 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[51]_1519 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[51]_1585 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[51]_1651 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[52]_1520 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[52]_1586 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[52]_1652 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[53]_1521 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[53]_1587 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[53]_1653 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[54]_1522 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[54]_1588 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[54]_1654 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[55]_1523 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[55]_1589 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[55]_1655 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[56]_1524 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[56]_1590 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[56]_1656 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[57]_1525 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[57]_1591 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[57]_1657 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[58]_1526 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[58]_1592 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[58]_1658 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[59]_1527 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[59]_1593 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[59]_1659 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[60]_1528 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[60]_1594 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[60]_1660 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[61]_1529 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[61]_1595 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[61]_1661 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[62]_1530 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[62]_1596 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[62]_1662 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[63]_1531 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[63]_1597 ;
+   wire \brqrv_top_brqrv_dma_ctrl_fifo_data_in[63]_1663 ;
+   wire brqrv_top_brqrv_dma_ctrl_fifo_full_spec;
+   wire brqrv_top_brqrv_dma_ctrl_fifo_full_spec_bus;
+   wire \brqrv_top_brqrv_dma_ctrl_mstr_prtyff_genblock.dffs_n_2 ;
+   wire brqrv_top_brqrv_dma_ctrl_n_578;
+   wire brqrv_top_brqrv_dma_ctrl_n_583;
+   wire brqrv_top_brqrv_dma_ctrl_n_588;
+   wire brqrv_top_brqrv_dma_ctrl_n_593;
+   wire brqrv_top_brqrv_dma_ctrl_n_598;
+   wire brqrv_top_brqrv_dma_ctrl_n_603;
+   wire brqrv_top_brqrv_dma_ctrl_n_608;
+   wire brqrv_top_brqrv_dma_ctrl_n_613;
+   wire brqrv_top_brqrv_dma_ctrl_n_618;
+   wire brqrv_top_brqrv_dma_ctrl_n_623;
+   wire brqrv_top_brqrv_dma_ctrl_n_628;
+   wire brqrv_top_brqrv_dma_ctrl_n_633;
+   wire brqrv_top_brqrv_dma_ctrl_n_638;
+   wire brqrv_top_brqrv_dma_ctrl_n_643;
+   wire brqrv_top_brqrv_dma_ctrl_n_648;
+   wire brqrv_top_brqrv_dma_ctrl_n_653;
+   wire brqrv_top_brqrv_dma_ctrl_n_658;
+   wire brqrv_top_brqrv_dma_ctrl_n_663;
+   wire brqrv_top_brqrv_dma_ctrl_n_668;
+   wire brqrv_top_brqrv_dma_ctrl_n_673;
+   wire brqrv_top_brqrv_dma_ctrl_n_678;
+   wire brqrv_top_brqrv_dma_ctrl_n_683;
+   wire brqrv_top_brqrv_dma_ctrl_n_688;
+   wire brqrv_top_brqrv_dma_ctrl_n_693;
+   wire brqrv_top_brqrv_dma_ctrl_n_698;
+   wire brqrv_top_brqrv_dma_ctrl_n_703;
+   wire brqrv_top_brqrv_dma_ctrl_n_708;
+   wire brqrv_top_brqrv_dma_ctrl_n_713;
+   wire brqrv_top_brqrv_dma_ctrl_n_718;
+   wire brqrv_top_brqrv_dma_ctrl_n_723;
+   wire brqrv_top_brqrv_dma_ctrl_n_742;
+   wire brqrv_top_brqrv_dma_ctrl_n_747;
+   wire brqrv_top_brqrv_dma_ctrl_n_752;
+   wire brqrv_top_brqrv_dma_ctrl_n_777;
+   wire brqrv_top_brqrv_dma_ctrl_n_782;
+   wire brqrv_top_brqrv_dma_ctrl_n_787;
+   wire brqrv_top_brqrv_dma_ctrl_n_792;
+   wire brqrv_top_brqrv_dma_ctrl_n_797;
+   wire brqrv_top_brqrv_dma_ctrl_n_802;
+   wire brqrv_top_brqrv_dma_ctrl_n_807;
+   wire brqrv_top_brqrv_dma_ctrl_n_812;
+   wire brqrv_top_brqrv_dma_ctrl_n_823;
+   wire brqrv_top_brqrv_dma_ctrl_n_999;
+   wire brqrv_top_brqrv_dma_ctrl_n_1004;
+   wire brqrv_top_brqrv_dma_ctrl_n_1009;
+   wire brqrv_top_brqrv_dma_ctrl_n_1014;
+   wire brqrv_top_brqrv_dma_ctrl_n_1019;
+   wire brqrv_top_brqrv_dma_ctrl_n_1024;
+   wire brqrv_top_brqrv_dma_ctrl_n_1029;
+   wire brqrv_top_brqrv_dma_ctrl_n_1034;
+   wire brqrv_top_brqrv_dma_ctrl_n_1039;
+   wire brqrv_top_brqrv_dma_ctrl_n_1044;
+   wire brqrv_top_brqrv_dma_ctrl_n_1049;
+   wire brqrv_top_brqrv_dma_ctrl_n_1054;
+   wire brqrv_top_brqrv_dma_ctrl_n_1059;
+   wire brqrv_top_brqrv_dma_ctrl_n_1064;
+   wire brqrv_top_brqrv_dma_ctrl_n_1069;
+   wire brqrv_top_brqrv_dma_ctrl_n_1074;
+   wire brqrv_top_brqrv_dma_ctrl_n_1079;
+   wire brqrv_top_brqrv_dma_ctrl_n_1084;
+   wire brqrv_top_brqrv_dma_ctrl_n_1089;
+   wire brqrv_top_brqrv_dma_ctrl_n_1094;
+   wire brqrv_top_brqrv_dma_ctrl_n_1099;
+   wire brqrv_top_brqrv_dma_ctrl_n_1104;
+   wire brqrv_top_brqrv_dma_ctrl_n_1109;
+   wire brqrv_top_brqrv_dma_ctrl_n_1114;
+   wire brqrv_top_brqrv_dma_ctrl_n_1119;
+   wire brqrv_top_brqrv_dma_ctrl_n_1124;
+   wire brqrv_top_brqrv_dma_ctrl_n_1129;
+   wire brqrv_top_brqrv_dma_ctrl_n_1134;
+   wire brqrv_top_brqrv_dma_ctrl_n_1139;
+   wire brqrv_top_brqrv_dma_ctrl_n_1144;
+   wire brqrv_top_brqrv_dma_ctrl_n_1149;
+   wire brqrv_top_brqrv_dma_ctrl_n_1154;
+   wire brqrv_top_brqrv_dma_ctrl_n_1159;
+   wire brqrv_top_brqrv_dma_ctrl_n_1164;
+   wire brqrv_top_brqrv_dma_ctrl_n_1169;
+   wire brqrv_top_brqrv_dma_ctrl_n_1174;
+   wire brqrv_top_brqrv_dma_ctrl_n_1179;
+   wire brqrv_top_brqrv_dma_ctrl_n_1184;
+   wire brqrv_top_brqrv_dma_ctrl_n_1189;
+   wire brqrv_top_brqrv_dma_ctrl_n_1194;
+   wire brqrv_top_brqrv_dma_ctrl_n_1199;
+   wire brqrv_top_brqrv_dma_ctrl_n_1204;
+   wire brqrv_top_brqrv_dma_ctrl_n_1209;
+   wire brqrv_top_brqrv_dma_ctrl_n_1214;
+   wire brqrv_top_brqrv_dma_ctrl_n_1219;
+   wire brqrv_top_brqrv_dma_ctrl_n_1224;
+   wire brqrv_top_brqrv_dma_ctrl_n_1229;
+   wire brqrv_top_brqrv_dma_ctrl_n_1234;
+   wire brqrv_top_brqrv_dma_ctrl_n_1239;
+   wire brqrv_top_brqrv_dma_ctrl_n_1244;
+   wire brqrv_top_brqrv_dma_ctrl_n_1249;
+   wire brqrv_top_brqrv_dma_ctrl_n_1254;
+   wire brqrv_top_brqrv_dma_ctrl_n_1259;
+   wire brqrv_top_brqrv_dma_ctrl_n_1264;
+   wire brqrv_top_brqrv_dma_ctrl_n_1269;
+   wire brqrv_top_brqrv_dma_ctrl_n_1274;
+   wire brqrv_top_brqrv_dma_ctrl_n_1279;
+   wire brqrv_top_brqrv_dma_ctrl_n_1284;
+   wire brqrv_top_brqrv_dma_ctrl_n_1289;
+   wire brqrv_top_brqrv_dma_ctrl_n_1294;
+   wire brqrv_top_brqrv_dma_ctrl_n_1299;
+   wire brqrv_top_brqrv_dma_ctrl_n_1304;
+   wire brqrv_top_brqrv_dma_ctrl_n_1309;
+   wire brqrv_top_brqrv_dma_ctrl_n_1314;
+   wire brqrv_top_brqrv_dma_ctrl_n_1319;
+   wire brqrv_top_brqrv_dma_ctrl_n_1400;
+   wire brqrv_top_brqrv_dma_ctrl_n_1401;
+   wire brqrv_top_brqrv_dma_ctrl_n_1402;
+   wire brqrv_top_brqrv_dma_ctrl_n_1403;
+   wire brqrv_top_brqrv_dma_ctrl_n_1404;
+   wire brqrv_top_brqrv_dma_ctrl_n_1405;
+   wire brqrv_top_brqrv_dma_ctrl_n_1406;
+   wire brqrv_top_brqrv_dma_ctrl_n_1407;
+   wire brqrv_top_brqrv_dma_ctrl_n_1408;
+   wire brqrv_top_brqrv_dma_ctrl_n_1409;
+   wire brqrv_top_brqrv_dma_ctrl_n_1410;
+   wire brqrv_top_brqrv_dma_ctrl_n_1411;
+   wire brqrv_top_brqrv_dma_ctrl_n_1412;
+   wire brqrv_top_brqrv_dma_ctrl_n_1413;
+   wire brqrv_top_brqrv_dma_ctrl_n_1414;
+   wire brqrv_top_brqrv_dma_ctrl_n_1415;
+   wire brqrv_top_brqrv_dma_ctrl_n_1416;
+   wire brqrv_top_brqrv_dma_ctrl_n_1417;
+   wire brqrv_top_brqrv_dma_ctrl_n_1418;
+   wire brqrv_top_brqrv_dma_ctrl_n_1419;
+   wire brqrv_top_brqrv_dma_ctrl_n_1420;
+   wire brqrv_top_brqrv_dma_ctrl_n_1421;
+   wire brqrv_top_brqrv_dma_ctrl_n_1422;
+   wire brqrv_top_brqrv_dma_ctrl_n_1423;
+   wire brqrv_top_brqrv_dma_ctrl_n_1424;
+   wire brqrv_top_brqrv_dma_ctrl_n_1425;
+   wire brqrv_top_brqrv_dma_ctrl_n_1426;
+   wire brqrv_top_brqrv_dma_ctrl_n_1427;
+   wire brqrv_top_brqrv_dma_ctrl_n_1428;
+   wire brqrv_top_brqrv_dma_ctrl_n_1429;
+   wire brqrv_top_brqrv_dma_ctrl_n_1430;
+   wire brqrv_top_brqrv_dma_ctrl_n_1431;
+   wire brqrv_top_brqrv_dma_ctrl_n_1432;
+   wire brqrv_top_brqrv_dma_ctrl_n_1433;
+   wire brqrv_top_brqrv_dma_ctrl_n_1434;
+   wire brqrv_top_brqrv_dma_ctrl_n_1435;
+   wire brqrv_top_brqrv_dma_ctrl_n_1436;
+   wire brqrv_top_brqrv_dma_ctrl_n_1437;
+   wire brqrv_top_brqrv_dma_ctrl_n_1438;
+   wire brqrv_top_brqrv_dma_ctrl_n_1439;
+   wire brqrv_top_brqrv_dma_ctrl_n_1440;
+   wire brqrv_top_brqrv_dma_ctrl_n_1441;
+   wire brqrv_top_brqrv_dma_ctrl_n_1442;
+   wire brqrv_top_brqrv_dma_ctrl_n_1443;
+   wire brqrv_top_brqrv_dma_ctrl_n_1444;
+   wire brqrv_top_brqrv_dma_ctrl_n_1445;
+   wire brqrv_top_brqrv_dma_ctrl_n_1446;
+   wire brqrv_top_brqrv_dma_ctrl_n_1447;
+   wire brqrv_top_brqrv_dma_ctrl_n_1448;
+   wire brqrv_top_brqrv_dma_ctrl_n_1449;
+   wire brqrv_top_brqrv_dma_ctrl_n_1450;
+   wire brqrv_top_brqrv_dma_ctrl_n_1451;
+   wire brqrv_top_brqrv_dma_ctrl_n_1452;
+   wire brqrv_top_brqrv_dma_ctrl_n_1453;
+   wire brqrv_top_brqrv_dma_ctrl_n_1454;
+   wire brqrv_top_brqrv_dma_ctrl_n_1455;
+   wire brqrv_top_brqrv_dma_ctrl_n_1456;
+   wire brqrv_top_brqrv_dma_ctrl_n_1457;
+   wire brqrv_top_brqrv_dma_ctrl_n_1458;
+   wire brqrv_top_brqrv_dma_ctrl_n_1459;
+   wire brqrv_top_brqrv_dma_ctrl_n_1460;
+   wire brqrv_top_brqrv_dma_ctrl_n_1461;
+   wire brqrv_top_brqrv_dma_ctrl_n_1462;
+   wire brqrv_top_brqrv_dma_ctrl_n_1463;
+   wire brqrv_top_brqrv_dma_ctrl_n_1834;
+   wire brqrv_top_brqrv_dma_ctrl_n_2635;
+   wire brqrv_top_brqrv_dma_ctrl_n_3251;
+   wire brqrv_top_brqrv_dma_ctrl_n_3254;
+   wire brqrv_top_brqrv_dma_ctrl_nack_count_dff_n_8;
+   wire brqrv_top_brqrv_dma_ctrl_nack_count_dff_n_9;
+   wire brqrv_top_brqrv_dma_ctrl_nack_count_dff_n_10;
+   wire brqrv_top_brqrv_dma_ctrl_rdbuf_cmd_sent;
+   wire brqrv_top_brqrv_dma_ctrl_rdbuf_vld;
+   wire brqrv_top_brqrv_dma_ctrl_wrbuf_cmd_sent;
+   wire brqrv_top_brqrv_dma_ctrl_wrbuf_data_vld;
+   wire brqrv_top_brqrv_dma_ctrl_wrbuf_vld;
+   wire brqrv_top_brqrv_dma_dbg_cmd_done;
+   wire brqrv_top_brqrv_dma_dccm_req;
+   wire brqrv_top_brqrv_dma_iccm_req;
+   wire brqrv_top_brqrv_dma_iccm_stall_any;
+   wire brqrv_top_brqrv_dma_mem_write;
+   wire brqrv_top_brqrv_exu_flush_final;
+   wire brqrv_top_brqrv_exu_i0_br_error_r;
+   wire brqrv_top_brqrv_exu_i0_br_mp_r;
+   wire brqrv_top_brqrv_exu_i0_br_start_error_r;
+   wire brqrv_top_brqrv_exu_i0_br_valid_r;
+   wire brqrv_top_brqrv_exu_i0_br_way_r;
+   wire brqrv_top_brqrv_exu_i0_branch_x;
+   wire brqrv_top_brqrv_exu_i0_flush_upper_d;
+   wire brqrv_top_brqrv_exu_i0_flush_upper_x;
+   wire brqrv_top_brqrv_exu_i0_pred_correct_upper_d;
+   wire brqrv_top_brqrv_exu_i0_pred_correct_upper_r;
+   wire brqrv_top_brqrv_exu_i0_pred_correct_upper_x;
+   wire brqrv_top_brqrv_exu_i0_rs1_bypass_en_d;
+   wire brqrv_top_brqrv_exu_i0_taken_d;
+   wire brqrv_top_brqrv_exu_i0_taken_x;
+   wire brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_extra_l1clk;
+   wire brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_l1clk;
+   wire brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_final_en;
+   wire brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk;
+   wire brqrv_top_brqrv_exu_i_alu_lt;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_1;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_2;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_3;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_4;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_5;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_6;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_7;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_8;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_9;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_10;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_11;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_12;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_13;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_14;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_15;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_16;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_17;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_18;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_19;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_20;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_21;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_22;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_23;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_24;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_25;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_26;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_27;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_28;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_29;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_30;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_31;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_32;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_33;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_34;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_35;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_36;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_37;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_38;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_39;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_40;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_41;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_42;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_43;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_44;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_45;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_46;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_47;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_48;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_49;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_50;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_51;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_52;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_53;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_54;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_55;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_56;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_57;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_58;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_59;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_60;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_61;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_62;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_63;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_64;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_65;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_66;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_67;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_68;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_69;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_70;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_71;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_72;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_73;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_74;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_75;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_76;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_77;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_78;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_79;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_80;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_81;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_82;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_83;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_84;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_85;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_86;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_87;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_88;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_89;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_90;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_91;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_92;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_93;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_94;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_95;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_96;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_97;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_98;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_99;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_100;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_101;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_102;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_103;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_104;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_105;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_106;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_107;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_108;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_109;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_110;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_111;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_112;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_113;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_114;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_115;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_116;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_117;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_118;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_119;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_120;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_121;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_122;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_123;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_124;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_125;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_126;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_127;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_128;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_129;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_130;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_131;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_132;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_133;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_134;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_135;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_136;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_137;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_138;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_139;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_140;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_141;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_142;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_143;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_144;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_145;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_146;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_147;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_148;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_149;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_150;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_151;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_152;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_153;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_154;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_155;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_156;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_157;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_158;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_159;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_160;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_161;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_162;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_163;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_164;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_165;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_166;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_167;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_168;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_169;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_170;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_171;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_172;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_173;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_174;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_175;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_176;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_177;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_178;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_179;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_180;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_181;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_182;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_183;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_184;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_185;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_186;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_187;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_188;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_189;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_190;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_191;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_192;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_193;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_194;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_195;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_196;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_197;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_198;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_199;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_200;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_201;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_202;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_203;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_204;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_205;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_206;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_207;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_208;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_209;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_210;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_211;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_212;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_213;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_214;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_215;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_216;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_217;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_218;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_219;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_220;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_221;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_222;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_223;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_224;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_225;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_226;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_227;
+   wire brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_228;
+   wire brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_enable ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_0 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_1 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_3 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_5 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_7 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_9 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_11 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_13 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_15 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_17 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_19 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_21 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_23 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_25 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_27 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_29 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_31 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_33 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_35 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_37 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_39 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_41 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_43 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_45 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_47 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_49 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_51 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_53 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_55 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_57 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_59 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_61 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_63 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_66 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_0 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_1 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_3 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_5 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_7 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_9 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_11 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_13 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_15 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_17 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_19 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_21 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_23 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_25 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_27 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_29 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_31 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_33 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_35 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_37 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_39 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_41 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_43 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_45 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_47 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_49 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_51 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_53 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_55 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_57 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_59 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_61 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_63 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_66 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_1 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_2 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_4 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_6 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_8 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_10 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_12 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_14 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_16 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_18 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_20 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_22 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_24 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_26 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_28 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_30 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_32 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_34 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_36 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_38 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_40 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_42 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_44 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_46 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_48 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_50 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_52 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_54 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_56 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_58 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_60 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_62 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_64 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_67 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_69 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_1 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_3 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_5 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_7 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_9 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_11 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_13 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_15 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_17 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_19 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_21 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_23 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_25 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_27 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_29 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_31 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_33 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_35 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_37 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_39 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_41 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_43 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_45 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_47 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_49 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_51 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_53 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_55 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_57 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_59 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_61 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_63 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_65 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_67 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_69 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_72 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_1 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_3 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_5 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_7 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_9 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_11 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_13 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_15 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_17 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_19 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_21 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_23 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_25 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_27 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_29 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_31 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_33 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_35 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_37 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_39 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_41 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_43 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_45 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_47 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_49 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_51 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_53 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_55 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_57 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_59 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_61 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_63 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_65 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_67 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_70 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_0 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_1 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_3 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_5 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_7 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_9 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_11 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_13 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_15 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_17 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_19 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_21 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_23 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_25 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_27 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_29 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_31 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_33 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_35 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_37 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_39 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_41 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_43 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_45 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_47 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_49 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_51 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_53 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_55 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_57 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_59 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_61 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_63 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_65 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_67 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_69 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_71 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_enable ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case_ff ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_finish ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_finish_ff ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_l1clk ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_misc_enable ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_rq_enable ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_1 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_2 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_3 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_4 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_5 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_6 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_7 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_8 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_9 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_10 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_11 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_12 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_13 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_14 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_15 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_16 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_17 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_18 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_19 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_20 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_21 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_22 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_23 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_24 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_25 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_26 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_27 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_28 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_29 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_30 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_31 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_32 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_33 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_34 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_35 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_36 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_37 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_38 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_39 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_40 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_41 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_42 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_43 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_44 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_45 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_46 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_47 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_48 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_49 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_50 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_51 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_52 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_53 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_54 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_55 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_56 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_57 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_58 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_59 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_60 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_61 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_62 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_63 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_64 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_65 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_66 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_67 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_68 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_69 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_70 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_71 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_72 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_73 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_74 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_75 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_76 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_77 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_78 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_79 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_80 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_81 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_82 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_83 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_84 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_86 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_87 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_88 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_89 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_90 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_91 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_92 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_93 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_94 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_95 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_96 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_97 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_98 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_99 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_100 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_101 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_102 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_103 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_104 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_105 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_106 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_107 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_108 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_109 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_111 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_112 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_113 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_114 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_115 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_116 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_117 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_118 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_119 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_120 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_121 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_122 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_123 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_124 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_125 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_126 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_127 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_128 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_129 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_132 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_133 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_134 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_135 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_136 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_137 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_138 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_139 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_140 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_141 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_142 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_143 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_144 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_145 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_146 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_147 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_148 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_149 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_150 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_151 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_152 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_153 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_154 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_155 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_156 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_157 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_162 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_163 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_164 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_165 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_166 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_167 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_168 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_169 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_170 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_171 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_172 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_173 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_174 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_175 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_176 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_177 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_178 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_179 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_180 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_181 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_182 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_183 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_184 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_186 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_187 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_189 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_195 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_196 ;
+   wire \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_valid_ff ;
+   wire brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_extra_l1clk;
+   wire brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_l1clk;
+   wire brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_final_en;
+   wire brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_extra_l1clk;
+   wire brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_l1clk;
+   wire brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_final_en;
+   wire brqrv_top_brqrv_exu_i_flush_r_ff_n_0;
+   wire brqrv_top_brqrv_exu_i_flush_r_ff_n_1;
+   wire brqrv_top_brqrv_exu_i_flush_r_ff_n_2;
+   wire brqrv_top_brqrv_exu_i_flush_r_ff_n_3;
+   wire brqrv_top_brqrv_exu_i_flush_r_ff_n_4;
+   wire brqrv_top_brqrv_exu_i_flush_r_ff_n_5;
+   wire brqrv_top_brqrv_exu_i_flush_r_ff_n_6;
+   wire brqrv_top_brqrv_exu_i_flush_r_ff_n_7;
+   wire brqrv_top_brqrv_exu_i_flush_r_ff_n_8;
+   wire brqrv_top_brqrv_exu_i_flush_r_ff_n_9;
+   wire brqrv_top_brqrv_exu_i_flush_r_ff_n_10;
+   wire brqrv_top_brqrv_exu_i_flush_r_ff_n_11;
+   wire brqrv_top_brqrv_exu_i_flush_r_ff_n_12;
+   wire brqrv_top_brqrv_exu_i_flush_r_ff_n_13;
+   wire brqrv_top_brqrv_exu_i_flush_r_ff_n_14;
+   wire brqrv_top_brqrv_exu_i_flush_r_ff_n_15;
+   wire brqrv_top_brqrv_exu_i_flush_r_ff_n_16;
+   wire brqrv_top_brqrv_exu_i_flush_r_ff_n_17;
+   wire brqrv_top_brqrv_exu_i_flush_r_ff_n_18;
+   wire brqrv_top_brqrv_exu_i_flush_r_ff_n_19;
+   wire brqrv_top_brqrv_exu_i_flush_r_ff_n_20;
+   wire brqrv_top_brqrv_exu_i_flush_r_ff_n_21;
+   wire brqrv_top_brqrv_exu_i_flush_r_ff_n_22;
+   wire brqrv_top_brqrv_exu_i_flush_r_ff_n_23;
+   wire brqrv_top_brqrv_exu_i_misc_ff_en;
+   wire brqrv_top_brqrv_exu_i_misc_ff_l1clk;
+   wire brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk;
+   wire brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk;
+   wire brqrv_top_brqrv_exu_i_mul_low_x;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_0;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_3;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_4;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_5;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_6;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_7;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_8;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_9;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_10;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_11;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_12;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_13;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_14;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_15;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_16;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_17;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_18;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_19;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_20;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_21;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_22;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_23;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_24;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_25;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_26;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_27;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_28;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_29;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_30;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_31;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_32;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_33;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_34;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_35;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_36;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_37;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_38;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_39;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_40;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_41;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_42;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_43;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_44;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_45;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_46;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_47;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_48;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_49;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_50;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_51;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_52;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_53;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_54;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_55;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_56;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_57;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_58;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_59;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_60;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_61;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_62;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_63;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_64;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_65;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_66;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_67;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_68;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_69;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_70;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_71;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_72;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_73;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_74;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_75;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_77;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_78;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_79;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_80;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_81;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_82;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_83;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_84;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_85;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_86;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_87;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_88;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_89;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_90;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_91;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_92;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_93;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_94;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_95;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_96;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_97;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_98;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_99;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_100;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_102;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_103;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_104;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_105;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_106;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_107;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_108;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_109;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_110;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_111;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_112;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_113;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_114;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_115;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_116;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_117;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_118;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_119;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_120;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_121;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_122;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_123;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_124;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_125;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_126;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_127;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_128;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_129;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_130;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_131;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_132;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_133;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_134;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_135;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_136;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_137;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_138;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_139;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_140;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_141;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_142;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_143;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_144;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_145;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_146;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_147;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_148;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_149;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_150;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_151;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_152;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_153;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_154;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_155;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_156;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_157;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_158;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_159;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_160;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_161;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_162;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_163;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_164;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_165;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_166;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_167;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_168;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_169;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_170;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_171;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_172;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_173;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_174;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_175;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_176;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_177;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_178;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_179;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_180;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_181;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_182;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_183;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_184;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_185;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_186;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_187;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_188;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_189;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_190;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_191;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_192;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_193;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_194;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_195;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_196;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_197;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_198;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_199;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_200;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_201;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_202;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_203;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_204;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_205;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_206;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_207;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_208;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_209;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_210;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_211;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_212;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_213;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_214;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_215;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_216;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_217;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_218;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_219;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_220;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_221;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_222;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_223;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_224;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_225;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_226;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_227;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_228;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_229;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_230;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_231;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_232;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_233;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_234;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_235;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_236;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_237;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_238;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_239;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_240;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_241;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_242;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_243;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_244;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_245;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_246;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_247;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_248;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_249;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_250;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_251;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_252;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_253;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_254;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_255;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_256;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_257;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_258;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_259;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_260;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_261;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_262;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_263;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_264;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_265;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_266;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_267;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_268;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_269;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_270;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_271;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_272;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_273;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_274;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_275;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_276;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_277;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_278;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_279;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_280;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_281;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_282;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_283;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_284;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_285;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_286;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_287;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_288;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_289;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_290;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_291;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_292;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_293;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_294;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_295;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_296;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_297;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_298;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_299;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_300;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_301;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_302;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_303;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_304;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_305;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_306;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_307;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_308;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_309;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_310;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_311;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_312;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_313;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_314;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_315;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_316;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_317;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_318;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_319;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_320;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_321;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_322;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_323;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_324;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_325;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_326;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_327;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_328;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_329;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_330;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_331;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_332;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_333;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_334;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_335;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_336;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_337;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_338;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_339;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_340;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_341;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_342;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_343;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_344;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_345;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_346;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_347;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_348;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_349;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_350;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_351;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_352;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_353;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_354;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_355;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_356;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_357;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_358;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_359;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_360;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_361;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_362;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_363;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_364;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_365;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_366;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_367;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_368;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_369;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_370;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_371;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_372;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_373;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_374;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_375;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_376;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_377;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_378;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_379;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_380;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_381;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_382;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_383;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_384;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_385;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_386;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_387;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_388;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_389;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_390;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_391;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_392;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_393;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_394;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_395;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_396;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_397;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_398;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_399;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_400;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_401;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_402;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_403;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_404;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_405;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_406;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_407;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_408;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_409;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_410;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_411;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_412;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_413;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_414;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_415;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_416;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_417;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_418;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_419;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_420;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_421;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_422;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_423;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_424;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_425;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_426;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_427;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_428;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_429;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_430;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_431;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_432;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_433;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_434;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_435;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_436;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_437;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_438;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_439;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_440;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_441;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_442;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_443;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_444;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_445;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_446;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_447;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_448;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_449;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_450;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_451;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_452;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_453;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_454;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_455;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_456;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_457;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_458;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_459;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_460;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_461;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_462;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_463;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_464;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_465;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_466;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_467;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_468;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_469;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_470;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_471;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_472;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_473;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_474;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_475;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_476;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_477;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_478;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_479;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_480;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_481;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_482;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_483;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_484;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_485;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_486;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_487;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_488;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_489;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_490;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_491;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_492;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_493;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_494;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_495;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_496;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_497;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_498;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_499;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_500;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_501;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_502;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_503;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_504;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_505;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_506;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_507;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_508;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_509;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_510;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_511;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_512;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_513;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_514;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_515;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_516;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_517;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_518;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_519;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_520;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_521;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_522;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_523;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_524;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_525;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_526;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_527;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_528;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_529;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_530;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_531;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_532;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_533;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_534;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_535;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_536;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_537;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_538;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_539;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_540;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_541;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_542;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_543;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_544;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_545;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_546;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_547;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_548;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_549;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_550;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_551;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_552;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_553;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_554;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_555;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_556;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_557;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_558;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_559;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_560;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_561;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_562;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_563;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_564;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_565;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_566;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_567;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_568;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_569;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_570;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_571;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_572;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_573;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_574;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_575;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_576;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_577;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_578;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_579;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_580;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_581;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_582;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_583;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_584;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_585;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_586;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_587;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_588;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_589;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_590;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_591;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_592;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_593;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_594;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_595;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_596;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_597;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_598;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_599;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_600;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_601;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_602;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_603;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_604;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_605;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_606;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_607;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_608;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_609;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_610;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_611;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_612;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_613;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_614;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_615;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_616;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_617;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_618;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_619;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_620;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_621;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_622;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_623;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_624;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_625;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_626;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_627;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_628;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_629;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_630;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_631;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_632;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_633;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_634;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_635;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_636;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_637;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_638;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_639;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_640;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_641;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_642;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_643;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_644;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_645;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_646;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_647;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_648;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_649;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_650;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_651;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_652;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_653;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_654;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_655;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_656;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_657;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_658;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_659;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_660;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_661;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_662;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_663;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_664;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_665;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_666;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_667;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_668;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_669;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_670;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_671;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_672;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_673;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_674;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_675;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_676;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_677;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_678;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_679;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_680;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_681;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_682;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_683;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_684;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_685;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_686;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_687;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_688;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_689;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_690;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_691;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_692;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_693;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_694;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_695;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_696;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_697;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_698;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_699;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_700;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_701;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_702;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_703;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_704;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_705;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_706;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_707;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_708;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_709;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_710;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_711;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_712;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_713;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_714;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_715;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_716;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_717;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_718;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_719;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_720;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_721;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_722;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_723;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_724;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_725;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_726;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_727;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_728;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_729;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_730;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_731;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_732;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_733;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_734;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_735;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_736;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_737;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_738;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_739;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_740;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_741;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_742;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_743;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_744;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_745;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_746;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_747;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_748;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_749;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_750;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_751;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_752;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_753;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_754;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_755;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_756;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_757;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_758;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_759;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_760;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_761;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_762;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_763;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_764;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_765;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_766;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_767;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_768;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_769;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_770;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_771;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_772;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_773;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_774;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_775;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_776;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_777;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_778;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_779;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_780;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_781;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_782;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_783;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_784;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_785;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_786;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_787;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_788;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_789;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_790;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_791;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_792;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_793;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_794;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_795;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_796;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_797;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_798;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_799;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_800;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_801;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_802;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_803;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_804;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_805;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_806;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_807;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_808;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_809;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_810;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_811;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_812;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_813;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_814;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_815;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_816;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_817;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_818;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_819;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_820;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_821;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_822;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_823;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_824;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_825;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_826;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_827;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_828;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_829;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_830;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_831;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_832;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_833;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_834;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_835;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_836;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_837;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_838;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_839;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_840;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_841;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_842;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_843;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_844;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_845;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_846;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_847;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_848;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_849;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_850;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_851;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_852;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_853;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_854;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_855;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_856;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_857;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_858;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_859;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_860;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_861;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_862;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_863;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_864;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_865;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_866;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_867;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_868;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_869;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_870;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_871;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_872;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_873;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_874;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_875;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_876;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_877;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_878;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_879;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_880;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_881;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_882;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_883;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_884;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_885;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_886;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_887;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_888;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_889;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_890;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_891;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_892;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_893;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_894;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_895;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_896;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_897;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_898;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_899;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_900;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_901;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_902;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_903;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_904;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_905;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_906;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_907;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_908;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_909;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_910;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_911;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_912;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_913;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_914;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_915;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_916;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_917;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_918;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_919;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_920;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_921;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_922;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_923;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_924;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_925;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_926;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_927;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_928;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_929;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_930;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_931;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_932;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_933;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_934;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_935;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_936;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_937;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_938;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_939;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_940;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_941;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_942;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_943;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_944;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_945;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_946;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_947;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_948;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_949;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_950;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_951;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_952;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_953;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_954;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_955;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_956;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_957;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_958;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_959;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_960;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_961;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_962;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_963;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_964;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_965;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_966;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_967;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_968;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_969;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_970;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_971;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_972;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_973;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_974;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_975;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_976;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_977;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_978;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_979;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_980;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_981;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_982;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_983;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_984;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_985;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_986;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_987;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_988;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_989;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_990;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_991;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_992;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_993;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_994;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_995;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_996;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_997;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_998;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_999;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1000;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1001;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1002;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1003;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1004;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1005;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1006;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1007;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1008;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1009;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1010;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1011;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1012;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1013;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1014;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1015;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1016;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1017;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1018;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1019;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1020;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1021;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1022;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1023;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1024;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1025;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1026;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1027;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1028;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1029;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1030;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1031;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1032;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1033;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1034;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1035;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1036;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1037;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1038;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1039;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1040;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1041;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1042;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1043;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1044;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1045;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1046;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1047;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1048;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1049;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1050;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1051;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1052;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1053;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1054;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1055;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1056;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1057;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1058;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1059;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1060;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1061;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1062;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1063;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1064;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1065;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1066;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1067;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1068;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1069;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1070;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1071;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1072;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1073;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1074;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1075;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1076;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1077;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1078;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1079;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1080;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1081;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1082;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1083;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1084;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1085;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1086;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1087;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1088;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1089;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1090;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1091;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1092;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1093;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1094;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1095;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1096;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1097;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1098;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1099;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1100;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1101;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1102;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1103;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1104;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1105;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1106;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1107;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1108;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1109;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1110;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1111;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1112;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1113;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1114;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1115;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1116;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1117;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1118;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1119;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1120;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1121;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1122;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1123;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1124;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1125;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1126;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1127;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1128;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1129;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1130;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1131;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1132;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1133;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1134;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1135;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1136;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1137;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1138;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1139;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1140;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1141;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1142;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1143;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1144;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1145;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1146;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1147;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1148;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1149;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1150;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1151;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1152;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1153;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1154;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1155;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1156;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1157;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1158;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1159;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1160;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1161;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1162;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1163;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1164;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1165;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1166;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1167;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1168;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1169;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1170;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1171;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1172;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1173;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1174;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1175;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1176;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1177;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1178;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1179;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1180;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1181;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1182;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1183;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1184;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1185;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1186;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1187;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1188;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1189;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1190;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1191;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1192;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1193;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1194;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1195;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1196;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1197;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1198;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1199;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1200;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1201;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1202;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1203;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1204;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1205;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1206;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1207;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1208;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1209;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1210;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1211;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1212;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1213;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1214;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1215;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1216;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1217;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1218;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1219;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1220;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1221;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1222;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1223;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1224;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1225;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1226;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1227;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1228;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1229;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1230;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1231;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1232;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1233;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1234;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1235;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1236;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1237;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1238;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1239;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1240;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1241;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1242;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1243;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1244;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1245;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1246;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1247;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1248;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1249;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1250;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1251;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1252;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1253;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1254;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1255;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1256;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1257;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1258;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1259;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1260;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1261;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1262;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1263;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1264;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1265;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1266;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1267;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1268;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1269;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1270;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1271;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1272;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1273;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1274;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1275;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1276;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1277;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1278;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1279;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1280;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1281;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1282;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1283;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1284;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1285;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1286;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1287;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1288;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1289;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1290;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1291;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1292;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1293;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1294;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1295;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1296;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1297;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1298;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1299;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1300;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1301;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1302;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1303;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1304;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1305;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1306;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1307;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1308;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1309;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1310;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1311;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1312;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1313;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1314;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1315;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1316;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1317;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1318;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1319;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1320;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1321;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1322;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1323;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1324;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1325;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1326;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1327;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1328;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1329;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1330;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1331;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1332;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1333;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1334;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1335;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1336;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1337;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1338;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1339;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1340;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1341;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1342;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1343;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1344;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1345;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1346;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1347;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1348;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1349;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1350;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1351;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1352;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1353;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1354;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1355;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1356;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1357;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1358;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1359;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1360;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1361;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1362;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1363;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1364;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1365;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1366;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1367;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1369;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1370;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1371;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1372;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1373;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1374;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1375;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1376;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1377;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1378;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1379;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1380;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1381;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1382;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1383;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1384;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1385;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1386;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1387;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1388;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1389;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1390;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1391;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1392;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1393;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1394;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1395;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1396;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1397;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1398;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1399;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1400;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1401;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1402;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1403;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1404;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1405;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1406;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1407;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1408;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1409;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1410;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1411;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1412;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1413;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1414;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1415;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1416;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1417;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1418;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1419;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1420;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1421;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1422;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1423;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1424;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1425;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1426;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1427;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1428;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1429;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1430;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1431;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1432;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1433;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1434;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1435;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1436;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1437;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1438;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1439;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1440;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1441;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1442;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1443;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1444;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1445;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1446;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1447;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1448;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1449;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1450;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1451;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1452;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1453;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1454;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1455;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1456;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1457;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1458;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1459;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1460;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1461;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1462;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1463;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1464;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1465;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1466;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1467;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1468;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1469;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1470;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1471;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1472;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1473;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1474;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1475;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1476;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1477;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1478;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1479;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1480;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1481;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1482;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1483;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1484;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1485;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1486;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1487;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1488;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1489;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1490;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1491;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1492;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1493;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1494;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1495;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1496;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1497;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1498;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1499;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1500;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1501;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1502;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1503;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1504;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1505;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1506;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1507;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1508;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1509;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1510;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1511;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1512;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1513;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1514;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1515;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1516;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1517;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1518;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1519;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1520;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1521;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1522;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1523;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1524;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1525;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1526;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1527;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1528;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1529;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1530;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1531;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1532;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1533;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1534;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1535;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1536;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1537;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1538;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1539;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1540;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1541;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1542;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1543;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1544;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1545;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1546;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1547;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1548;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1549;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1550;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1551;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1552;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1553;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1554;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1555;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1556;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1557;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1558;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1559;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1560;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1561;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1562;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1563;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1564;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1565;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1566;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1567;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1568;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1569;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1570;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1571;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1572;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1573;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1574;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1575;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1576;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1577;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1578;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1579;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1580;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1581;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1582;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1583;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1584;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1585;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1586;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1587;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1588;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1589;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1590;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1591;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1592;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1593;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1594;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1595;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1596;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1597;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1598;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1599;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1600;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1601;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1602;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1603;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1604;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1605;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1606;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1607;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1608;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1609;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1610;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1611;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1612;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1613;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1614;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1615;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1616;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1617;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1618;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1619;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1620;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1621;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1622;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1623;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1624;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1625;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1626;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1627;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1628;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1629;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1630;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1631;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1632;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1633;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1634;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1635;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1636;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1637;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1638;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1639;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1640;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1641;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1642;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1643;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1644;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1645;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1646;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1647;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1648;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1649;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1650;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1651;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1652;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1653;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1654;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1655;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1656;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1657;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1658;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1659;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1660;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1661;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1662;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1663;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1664;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1665;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1666;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1667;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1668;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1669;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1670;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1671;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1672;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1673;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1674;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1675;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1676;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1677;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1678;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1679;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1680;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1681;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1682;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1683;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1684;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1685;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1686;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1687;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1688;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1689;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1690;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1691;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1692;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1693;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1694;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1695;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1697;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1698;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1699;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1700;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1701;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1702;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1703;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1704;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1705;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1706;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1707;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1708;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1709;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1710;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1711;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1712;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1713;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1714;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1715;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1716;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1717;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1718;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1719;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1720;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1721;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1722;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1723;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1724;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1725;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1726;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1727;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1728;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1729;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1730;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1731;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1732;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1733;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1734;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1735;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1736;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1737;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1738;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1739;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1740;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1741;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1742;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1743;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1744;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1745;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1746;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1747;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1748;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1749;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1750;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1751;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1752;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1753;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1754;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1755;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1756;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1757;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1758;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1759;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1761;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1762;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1763;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1764;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1765;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1766;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1767;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1768;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1769;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1770;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1771;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1772;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1773;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1774;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1775;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1776;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1777;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1778;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1779;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1780;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1781;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1782;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1783;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1784;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1785;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1786;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1787;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1788;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1789;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1790;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1791;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1792;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1793;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1794;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1795;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1796;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1797;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1798;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1799;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1800;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1801;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1802;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1803;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1804;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1805;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1806;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1807;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1808;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1809;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1810;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1811;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1812;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1813;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1814;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1815;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1816;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1817;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1818;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1819;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1820;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1821;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1822;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1823;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1824;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1825;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1826;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1827;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1828;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1829;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1830;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1831;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1832;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1833;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1834;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1835;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1836;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1837;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1838;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1839;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1840;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1841;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1842;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1843;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1844;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1845;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1846;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1847;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1848;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1849;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1850;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1851;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1852;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1853;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1854;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1855;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1856;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1857;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1858;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1859;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1860;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1861;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1862;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1863;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1864;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1865;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1866;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1867;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1868;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1869;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1870;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1871;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1872;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1873;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1874;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1875;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1876;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1877;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1878;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1879;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1880;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1881;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1882;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1883;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1884;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1885;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1886;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1887;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1888;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1889;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1890;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1891;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1892;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1893;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1894;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1895;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1896;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1897;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1898;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1899;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1900;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1901;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1902;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1903;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1904;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1905;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1906;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1907;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1908;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1909;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1910;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1911;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1912;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1913;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1914;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1915;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1916;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1917;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1918;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1919;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1920;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1921;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1922;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1923;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1924;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1925;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1926;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1927;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1928;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1929;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1930;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1931;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1932;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1933;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1934;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1935;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1936;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1937;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1938;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1939;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1940;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1941;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1942;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1943;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1944;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1945;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1946;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1947;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1948;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1949;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1950;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1951;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1952;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1953;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1954;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1955;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1956;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1957;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1958;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1959;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1960;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1962;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1963;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1964;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1965;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1966;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1967;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1968;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1969;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1970;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1971;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1972;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1973;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1974;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1975;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1976;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1977;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1978;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1979;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1980;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1981;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1982;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1983;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1984;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1985;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1986;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1987;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1988;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1989;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1990;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1991;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1992;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1993;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1994;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1995;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1996;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1997;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1998;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1999;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2000;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2001;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2002;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2003;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2004;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2005;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2006;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2007;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2008;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2009;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2010;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2011;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2012;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2013;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2014;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2015;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2016;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2018;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2019;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2020;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2021;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2022;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2023;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2024;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2025;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2026;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2027;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2028;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2029;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2030;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2031;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2032;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2033;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2034;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2035;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2036;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2037;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2038;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2039;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2040;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2041;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2042;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2043;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2044;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2045;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2046;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2047;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2048;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2049;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2050;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2051;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2052;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2053;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2054;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2055;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2056;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2057;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2058;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2059;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2060;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2061;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2062;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2063;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2064;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2065;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2066;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2067;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2068;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2069;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2070;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2071;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2072;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2073;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2074;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2075;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2076;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2077;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2078;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2079;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2080;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2081;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2082;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2083;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2084;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2085;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2086;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2087;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2088;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2089;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2090;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2091;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2092;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2093;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2094;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2095;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2096;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2097;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2098;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2099;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2100;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2101;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2102;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2103;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2104;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2105;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2106;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2107;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2108;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2109;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2110;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2111;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2112;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2113;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2114;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2115;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2116;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2117;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2118;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2119;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2120;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2121;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2122;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2123;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2124;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2125;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2126;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2127;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2128;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2129;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2130;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2131;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2132;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2133;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2134;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2135;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2136;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2137;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2138;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2139;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2140;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2141;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2142;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2143;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2144;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2145;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2146;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2147;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2148;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2149;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2150;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2151;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2152;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2153;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2154;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2155;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2156;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2157;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2158;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2159;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2160;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2161;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2162;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2163;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2164;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2165;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2166;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2167;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2168;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2169;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2170;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2171;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2172;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2173;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2174;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2175;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2177;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2178;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2179;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2180;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2181;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2182;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2183;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2184;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2185;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2186;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2187;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2188;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2189;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2190;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2191;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2192;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2193;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2194;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2195;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2196;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2197;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2198;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2199;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2200;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2201;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2202;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2203;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2204;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2205;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2206;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2207;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2208;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2209;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2210;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2211;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2212;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2213;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2214;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2215;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2216;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2217;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2218;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2219;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2220;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2221;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2222;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2223;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2224;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2225;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2226;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2227;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2228;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2230;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2231;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2232;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2233;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2234;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2235;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2236;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2237;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2238;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2239;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2240;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2241;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2242;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2243;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2244;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2245;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2246;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2247;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2248;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2249;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2250;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2251;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2252;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2253;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2254;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2255;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2256;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2257;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2258;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2259;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2260;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2261;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2262;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2263;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2264;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2265;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2266;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2267;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2268;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2269;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2270;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2271;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2272;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2273;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2275;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2276;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2277;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2278;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2279;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2280;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2281;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2282;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2283;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2284;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2285;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2286;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2287;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2288;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2289;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2290;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2291;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2292;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2293;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2294;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2295;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2296;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2297;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2298;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2299;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2300;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2301;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2302;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2303;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2304;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2305;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2306;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2307;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2308;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2309;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2310;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2311;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2312;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2313;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2314;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2315;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2316;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2318;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2319;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2320;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2321;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2322;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2323;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2324;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2325;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2326;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2327;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2328;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2329;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2330;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2331;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2332;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2333;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2334;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2335;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2336;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2337;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2338;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2339;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2340;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2341;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2342;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2343;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2344;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2345;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2347;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2348;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2349;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2350;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2351;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2352;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2353;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2354;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2355;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2356;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2357;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2358;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2359;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2360;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2361;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2362;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2363;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2364;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2365;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2366;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2367;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2368;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2370;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2371;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2372;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2373;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2375;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2376;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2378;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2379;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2381;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2382;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2384;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2385;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2387;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2388;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2390;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2391;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2393;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2394;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2396;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2397;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2399;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2400;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2402;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2403;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2405;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2406;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2408;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2409;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2411;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2412;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2414;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2415;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2417;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2418;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2420;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2421;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2423;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2424;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2426;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2427;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2429;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2430;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2432;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2433;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2435;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2436;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2438;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2439;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2441;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2442;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2444;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2445;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2447;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2448;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2450;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2451;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2453;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2454;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2456;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2457;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2459;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2460;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2462;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2463;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2465;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2466;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2468;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2469;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2471;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2472;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2474;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2475;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2477;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2478;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2480;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2481;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2483;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2484;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2486;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2487;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2489;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2490;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2492;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2493;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2495;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2496;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2498;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2499;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2501;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2502;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2504;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2505;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2507;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2508;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2509;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2511;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2513;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2515;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2517;
+   wire brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2519;
+   wire brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_extra_l1clk;
+   wire brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_l1clk;
+   wire brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_final_en;
+   wire brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_l1clk;
+   wire brqrv_top_brqrv_exu_i_predpipe_r_ff_l1clk;
+   wire brqrv_top_brqrv_exu_i_predpipe_x_ff_l1clk;
+   wire brqrv_top_brqrv_exu_i_r_ff0_dff_left_l1clk;
+   wire brqrv_top_brqrv_exu_i_x_ff_l1clk;
+   wire brqrv_top_brqrv_exu_mul_valid_x;
+   wire brqrv_top_brqrv_exu_n_254;
+   wire brqrv_top_brqrv_exu_n_887;
+   wire brqrv_top_brqrv_exu_n_888;
+   wire brqrv_top_brqrv_exu_n_889;
+   wire brqrv_top_brqrv_exu_n_890;
+   wire brqrv_top_brqrv_exu_n_891;
+   wire brqrv_top_brqrv_exu_n_892;
+   wire brqrv_top_brqrv_exu_n_893;
+   wire brqrv_top_brqrv_exu_n_894;
+   wire brqrv_top_brqrv_exu_n_895;
+   wire brqrv_top_brqrv_exu_n_896;
+   wire brqrv_top_brqrv_exu_n_897;
+   wire brqrv_top_brqrv_exu_n_898;
+   wire brqrv_top_brqrv_exu_n_899;
+   wire brqrv_top_brqrv_exu_n_900;
+   wire brqrv_top_brqrv_exu_n_901;
+   wire brqrv_top_brqrv_exu_n_902;
+   wire brqrv_top_brqrv_exu_n_903;
+   wire brqrv_top_brqrv_exu_n_904;
+   wire brqrv_top_brqrv_exu_n_905;
+   wire brqrv_top_brqrv_exu_n_906;
+   wire brqrv_top_brqrv_exu_n_907;
+   wire brqrv_top_brqrv_exu_n_908;
+   wire brqrv_top_brqrv_exu_n_909;
+   wire brqrv_top_brqrv_exu_n_910;
+   wire brqrv_top_brqrv_exu_n_911;
+   wire brqrv_top_brqrv_exu_n_912;
+   wire brqrv_top_brqrv_exu_n_913;
+   wire brqrv_top_brqrv_exu_n_914;
+   wire brqrv_top_brqrv_exu_n_917;
+   wire brqrv_top_brqrv_exu_n_1728;
+   wire brqrv_top_brqrv_exu_n_1745;
+   wire brqrv_top_brqrv_exu_n_1746;
+   wire brqrv_top_brqrv_exu_n_1748;
+   wire brqrv_top_brqrv_exu_pmu_i0_br_ataken;
+   wire brqrv_top_brqrv_exu_pmu_i0_pc4;
+   wire brqrv_top_brqrv_exu_r_data_en_q2;
+   wire brqrv_top_brqrv_exu_x_data_en_q1;
+   wire brqrv_top_brqrv_exu_x_data_en_q2;
+   wire brqrv_top_brqrv_free_cg1_logic_1_1_net;
+   wire brqrv_top_brqrv_free_cg2_logic_1_1_net;
+   wire brqrv_top_brqrv_free_clk;
+   wire brqrv_top_brqrv_iccm_dma_rvalid;
+   wire brqrv_top_brqrv_ifu_aln_bundle2ff_en;
+   wire brqrv_top_brqrv_ifu_aln_bundle2ff_l1clk;
+   wire brqrv_top_brqrv_ifu_aln_compress0_uimm5_0;
+   wire brqrv_top_brqrv_ifu_aln_first2B;
+   wire \brqrv_top_brqrv_ifu_aln_genblk1.brdata0ff_l1clk ;
+   wire \brqrv_top_brqrv_ifu_aln_genblk1.brdata1ff_l1clk ;
+   wire \brqrv_top_brqrv_ifu_aln_genblk1.brdata2ff_l1clk ;
+   wire \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ;
+   wire \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ;
+   wire \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ;
+   wire brqrv_top_brqrv_ifu_aln_n_2310;
+   wire brqrv_top_brqrv_ifu_aln_n_2316;
+   wire brqrv_top_brqrv_ifu_aln_n_2319;
+   wire brqrv_top_brqrv_ifu_aln_q0ff_l1clk;
+   wire brqrv_top_brqrv_ifu_aln_q0off;
+   wire brqrv_top_brqrv_ifu_aln_q0off_in;
+   wire brqrv_top_brqrv_ifu_aln_q0pcff_l1clk;
+   wire brqrv_top_brqrv_ifu_aln_q0ptr;
+   wire brqrv_top_brqrv_ifu_aln_q1ff_l1clk;
+   wire brqrv_top_brqrv_ifu_aln_q1off;
+   wire brqrv_top_brqrv_ifu_aln_q1off_in;
+   wire brqrv_top_brqrv_ifu_aln_q1pcff_l1clk;
+   wire brqrv_top_brqrv_ifu_aln_q1ptr;
+   wire brqrv_top_brqrv_ifu_aln_q2ff_l1clk;
+   wire brqrv_top_brqrv_ifu_aln_q2off;
+   wire brqrv_top_brqrv_ifu_aln_q2off_in;
+   wire brqrv_top_brqrv_ifu_aln_q2pcff_l1clk;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_btb_valid ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_exu_mp_way_f ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_extra_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_final_en ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_0 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_1 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_2 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_3 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_4 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_5 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_6 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_7 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_8 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_9 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_10 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_11 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_12 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_13 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_14 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_15 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_16 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_17 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_18 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_19 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_20 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_21 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_22 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_23 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_fetchghr_en ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_fetchghr_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_n_0 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_n_1 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_n_2 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_n_3 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_n_4 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_n_5 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_leak_one_f ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_leak_one_f_d1 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6610 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6858 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6859 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6860 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6861 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6862 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6863 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6864 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6865 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6866 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6867 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6868 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6869 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6870 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6871 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6872 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6873 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6874 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6875 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6876 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6877 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6878 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6879 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6880 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6881 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6882 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6883 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6884 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6885 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6886 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6887 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6888 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6889 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6890 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6891 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6892 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6893 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6894 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6895 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6896 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6897 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6898 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6899 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6900 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6901 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6902 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6903 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6904 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6905 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6906 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6907 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6908 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6909 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6910 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6911 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6912 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6913 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6914 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6915 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6916 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6917 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6918 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6919 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6920 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6921 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6922 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6923 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6924 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6925 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6926 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6927 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6928 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6929 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6930 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6931 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6932 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6933 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6934 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6935 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6936 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6937 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6938 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6939 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6940 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6941 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6942 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6943 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6944 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6945 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6946 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6947 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6948 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6949 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6950 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6951 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6952 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6953 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6954 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6955 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6956 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6957 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6958 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6959 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6960 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6961 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6962 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6963 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6964 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6965 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6966 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6967 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6968 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6969 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6970 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6971 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6972 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6973 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6974 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6975 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6976 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6977 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6978 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6979 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6980 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6981 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6982 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6983 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6984 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6985 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6986 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6987 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6988 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6989 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6990 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6991 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6992 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6993 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6994 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6995 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6996 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6997 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6998 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_6999 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7000 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7001 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7002 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7003 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7004 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7005 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7006 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7007 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7008 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7009 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7010 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7011 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7012 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7013 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7014 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7015 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7016 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7017 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7018 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7019 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7020 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7021 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7022 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7023 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7024 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7025 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7026 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7027 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7028 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7029 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7030 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7031 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7032 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7033 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7034 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7035 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7036 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7037 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7038 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7039 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7040 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7041 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7042 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7043 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7044 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7045 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7046 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7047 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7048 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7049 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7050 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7051 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7052 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7053 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7054 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7055 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7056 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7057 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7058 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7059 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7060 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7061 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7062 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7063 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7064 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7065 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7066 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7067 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7068 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7069 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7070 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7071 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7072 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7073 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7074 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7075 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7076 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7077 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7078 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7079 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7080 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7081 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7082 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7083 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7084 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7085 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7086 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7087 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7088 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7089 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7090 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7091 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7092 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7093 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7094 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7095 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7096 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7097 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7098 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7099 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7100 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7101 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7102 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7103 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7104 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7105 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7106 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7107 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7108 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7109 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7110 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7111 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7112 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_7113 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_n_10401 ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ;
+   wire \brqrv_top_brqrv_ifu_bpred.bp_rs_push ;
+   wire brqrv_top_brqrv_ifu_i0_pc4;
+   wire brqrv_top_brqrv_ifu_ic_debug_rd_data_valid;
+   wire brqrv_top_brqrv_ifu_ifc_dma_iccm_stall_any_f;
+   wire brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_extra_l1clk;
+   wire brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_l1clk;
+   wire brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_final_en;
+   wire brqrv_top_brqrv_ifu_ifc_fb_full_f;
+   wire brqrv_top_brqrv_ifu_ifc_fbwrite_ff_en;
+   wire brqrv_top_brqrv_ifu_ifc_fbwrite_ff_l1clk;
+   wire brqrv_top_brqrv_ifu_ifc_fetch_bf_en;
+   wire brqrv_top_brqrv_ifu_ifc_fetch_req_bf;
+   wire brqrv_top_brqrv_ifu_ifc_fetch_req_f;
+   wire brqrv_top_brqrv_ifu_ifc_fetch_uncacheable_bf;
+   wire \brqrv_top_brqrv_ifu_ifc_genblk2.iccm_acc_in_region_bf ;
+   wire \brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_n_0 ;
+   wire \brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_n_1 ;
+   wire \brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_n_3 ;
+   wire \brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_n_4 ;
+   wire \brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_n_5 ;
+   wire \brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_n_6 ;
+   wire brqrv_top_brqrv_ifu_ifc_iccm_access_bf;
+   wire brqrv_top_brqrv_ifu_ifc_miss_a;
+   wire brqrv_top_brqrv_ifu_ifc_miss_f;
+   wire brqrv_top_brqrv_ifu_ifc_n_451;
+   wire brqrv_top_brqrv_ifu_ifc_region_acc_fault_bf;
+   wire brqrv_top_brqrv_ifu_mem_ctl_bus_clk_f_logic_1_1_net;
+   wire brqrv_top_brqrv_ifu_mem_ctl_bus_clk_logic_1_1_net;
+   wire brqrv_top_brqrv_ifu_mem_ctl_bus_cmd_req_hold;
+   wire brqrv_top_brqrv_ifu_mem_ctl_bus_cmd_req_in;
+   wire brqrv_top_brqrv_ifu_mem_ctl_busclk;
+   wire brqrv_top_brqrv_ifu_mem_ctl_busclk_force;
+   wire brqrv_top_brqrv_ifu_mem_ctl_fetch_bf_f_c1_clk;
+   wire brqrv_top_brqrv_ifu_mem_ctl_fetch_bf_f_c1_clken;
+   wire brqrv_top_brqrv_ifu_mem_ctl_flush_final_f;
+   wire brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f;
+   wire brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f_delayed;
+   wire brqrv_top_brqrv_ifu_mem_ctl_ic_crit_wd_rdy_new_ff;
+   wire brqrv_top_brqrv_ifu_mem_ctl_ic_crit_wd_rdy_new_in;
+   wire brqrv_top_brqrv_ifu_mem_ctl_ic_debug_rd_en_ff;
+   wire \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ;
+   wire \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_en ;
+   wire \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_l1clk ;
+   wire \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_n_8 ;
+   wire \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_n_9 ;
+   wire \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_n_10 ;
+   wire \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_n_19 ;
+   wire \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rden ;
+   wire \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rvalid_in ;
+   wire brqrv_top_brqrv_ifu_mem_ctl_ifc_bus_ic_req_ff_in;
+   wire brqrv_top_brqrv_ifu_mem_ctl_ifc_dma_access_ok_d;
+   wire brqrv_top_brqrv_ifu_mem_ctl_ifc_dma_access_ok_prev;
+   wire brqrv_top_brqrv_ifu_mem_ctl_ifc_fetch_req_f_raw;
+   wire brqrv_top_brqrv_ifu_mem_ctl_ifc_fetch_req_qual_bf;
+   wire brqrv_top_brqrv_ifu_mem_ctl_ifc_iccm_access_f;
+   wire brqrv_top_brqrv_ifu_mem_ctl_ifc_region_acc_fault_final_f;
+   wire brqrv_top_brqrv_ifu_mem_ctl_ifu_bus_arvalid_ff;
+   wire brqrv_top_brqrv_ifu_mem_ctl_ifu_bus_cmd_valid;
+   wire brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_extra_l1clk;
+   wire brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_l1clk;
+   wire brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_final_en;
+   wire brqrv_top_brqrv_ifu_mem_ctl_ifu_pmu_bus_busy_in;
+   wire brqrv_top_brqrv_ifu_mem_ctl_ifu_pmu_bus_error_in;
+   wire brqrv_top_brqrv_ifu_mem_ctl_ifu_pmu_sigs_ff_en;
+   wire brqrv_top_brqrv_ifu_mem_ctl_ifu_pmu_sigs_ff_l1clk;
+   wire brqrv_top_brqrv_ifu_mem_ctl_ifu_pmu_sigs_ff_n_21;
+   wire brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_extra_l1clk;
+   wire brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_l1clk;
+   wire brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_final_en;
+   wire brqrv_top_brqrv_ifu_mem_ctl_misc1_ff_en;
+   wire brqrv_top_brqrv_ifu_mem_ctl_misc1_ff_l1clk;
+   wire \brqrv_top_brqrv_ifu_mem_ctl_misc_ff_dff_dout[0]_55 ;
+   wire \brqrv_top_brqrv_ifu_mem_ctl_misc_ff_dff_dout[5]_60 ;
+   wire brqrv_top_brqrv_ifu_mem_ctl_misc_ff_dff_logic_1_1_net;
+   wire brqrv_top_brqrv_ifu_mem_ctl_misc_ff_en;
+   wire brqrv_top_brqrv_ifu_mem_ctl_misc_ff_l1clk;
+   wire brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_0;
+   wire brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_1;
+   wire brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_3;
+   wire brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_4;
+   wire brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_5;
+   wire brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_6;
+   wire brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_7;
+   wire brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_8;
+   wire brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_9;
+   wire brqrv_top_brqrv_ifu_mem_ctl_miss_pending;
+   wire brqrv_top_brqrv_ifu_mem_ctl_miss_state_ff_n_8;
+   wire brqrv_top_brqrv_ifu_mem_ctl_miss_state_ff_n_9;
+   wire brqrv_top_brqrv_ifu_mem_ctl_miss_state_ff_n_10;
+   wire brqrv_top_brqrv_ifu_mem_ctl_n_666;
+   wire brqrv_top_brqrv_ifu_mem_ctl_n_1839;
+   wire brqrv_top_brqrv_ifu_mem_ctl_n_2291;
+   wire brqrv_top_brqrv_ifu_mem_ctl_n_2292;
+   wire brqrv_top_brqrv_ifu_mem_ctl_n_2294;
+   wire brqrv_top_brqrv_ifu_mem_ctl_n_3474;
+   wire brqrv_top_brqrv_ifu_mem_ctl_n_3477;
+   wire brqrv_top_brqrv_ifu_mem_ctl_reset_all_tags;
+   wire brqrv_top_brqrv_ifu_mem_ctl_reset_ic_ff;
+   wire brqrv_top_brqrv_ifu_mem_ctl_reset_ic_in;
+   wire brqrv_top_brqrv_ifu_mem_ctl_reset_tag_valid_for_miss;
+   wire brqrv_top_brqrv_ifu_mem_ctl_sel_mb_addr_ff;
+   wire brqrv_top_brqrv_ifu_mem_ctl_uncacheable_miss_ff;
+   wire brqrv_top_brqrv_ifu_mem_ctl_uncacheable_miss_in;
+   wire brqrv_top_brqrv_ifu_miss_state_idle;
+   wire brqrv_top_brqrv_ifu_pmu_bus_busy;
+   wire brqrv_top_brqrv_ifu_pmu_bus_error;
+   wire brqrv_top_brqrv_ifu_pmu_ic_miss;
+   wire brqrv_top_brqrv_lsu_addr_external_m;
+   wire brqrv_top_brqrv_lsu_addr_in_dccm_d;
+   wire brqrv_top_brqrv_lsu_addr_in_dccm_m;
+   wire brqrv_top_brqrv_lsu_addr_in_dccm_r;
+   wire brqrv_top_brqrv_lsu_addr_in_pic_d;
+   wire brqrv_top_brqrv_lsu_addr_in_pic_m;
+   wire brqrv_top_brqrv_lsu_addr_in_pic_r;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[0]_3270 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[0]_3354 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[1]_3271 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[1]_3355 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[2]_3272 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[2]_3356 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[3]_3273 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[3]_3357 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[4]_3274 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[4]_3358 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[5]_3275 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[5]_3359 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[6]_3276 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[6]_3360 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[7]_3277 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[7]_3361 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[8]_3278 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[8]_3362 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[9]_3279 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[9]_3363 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[10]_3280 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[10]_3364 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[11]_3281 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[11]_3365 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[12]_3282 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[12]_3366 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[13]_3283 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[13]_3367 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[14]_3284 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[14]_3368 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[15]_3285 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[15]_3369 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[16]_3286 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[16]_3370 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[17]_3287 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[17]_3371 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[18]_3288 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[18]_3372 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[19]_3289 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[19]_3373 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[20]_3290 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[20]_3374 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[21]_3291 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[21]_3375 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[22]_3292 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[22]_3376 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[23]_3293 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[23]_3377 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[24]_3294 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[24]_3378 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[25]_3295 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[25]_3379 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[26]_3296 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[26]_3380 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[27]_3297 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[27]_3381 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[28]_3298 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[28]_3382 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[29]_3299 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[29]_3383 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[30]_3300 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[30]_3384 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[31]_3301 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[31]_3385 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_byteenff_n_10 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_byteenff_n_11 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_byteenff_n_12 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_byteenff_n_13 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dualff_n_4 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dualhiff_n_4 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dualtagff_n_6 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dualtagff_n_7 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_ldfwdff_n_4 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_nomergeff_n_4 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_samedwff_n_4 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_sideeffectff_n_4 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_state_ff_n_8 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_state_ff_n_9 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_state_ff_n_10 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_szff_n_6 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_szff_n_7 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_unsignff_n_4 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_writeff_n_4 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_byteenff_n_10 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_byteenff_n_11 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_byteenff_n_12 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_byteenff_n_13 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dualff_n_4 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dualhiff_n_4 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dualtagff_n_6 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dualtagff_n_7 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_nomergeff_n_4 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_samedwff_n_4 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_sideeffectff_n_4 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_state_ff_n_8 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_state_ff_n_9 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_state_ff_n_10 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_szff_n_6 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_szff_n_7 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_unsignff_n_4 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_writeff_n_4 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_byteenff_n_10 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_byteenff_n_11 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_byteenff_n_12 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_byteenff_n_13 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dualff_n_4 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dualhiff_n_4 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dualtagff_n_6 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dualtagff_n_7 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_nomergeff_n_4 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_samedwff_n_4 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_sideeffectff_n_4 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_state_ff_n_8 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_state_ff_n_9 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_state_ff_n_10 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_szff_n_6 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_szff_n_7 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_unsignff_n_4 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_writeff_n_4 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_byteenff_n_10 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_byteenff_n_11 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_byteenff_n_12 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_byteenff_n_13 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dualff_n_4 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dualhiff_n_4 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dualtagff_n_6 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dualtagff_n_7 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_nomergeff_n_4 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_samedwff_n_4 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_sideeffectff_n_4 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_state_ff_n_8 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_state_ff_n_9 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_state_ff_n_10 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_szff_n_6 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_szff_n_7 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_unsignff_n_4 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_writeff_n_4 ;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteenff_n_10;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteenff_n_11;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteenff_n_12;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteenff_n_13;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dual;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dualff_n_2;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dualtagff_n_2;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dualtagff_n_3;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_nomerge;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_nomergeff_n_2;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_rst;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_samedw;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_samedwff_n_2;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_sideeffect;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_sideeffectff_n_2;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_szff_n_2;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_szff_n_3;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_tagff_n_6;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_tagff_n_7;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_unsign;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_unsignff_n_2;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_valid;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_valid_ff_n_0;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_wr_en;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_write;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_writeff_n_2;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ldst_samedw_r;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_lsu_nonblock_load_data_ready;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_lsu_nonblock_load_valid_r;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3187;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3215;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3220;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3227;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3304;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3311;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3388;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3448;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3460;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3467;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_5337;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_5424;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_5511;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_5601;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_7535;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_7539;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_7543;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_7547;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_n_3 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_n_4 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_n_5 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_n_6 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_n_7 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_n_8 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_n_9 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_n_10 ;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_cmd_done;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_cmd_done_in;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_done;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_done_in;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_merge;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_merge_en;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_mergeff_genblock.dffs_n_4 ;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_nosend;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_nosend_ff_n_2;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_nosend_in;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_rdrsp_pend;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_rdrsp_pend_in;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_rst;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_sideeffect;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_sideeffectff_genblock.dffs_n_4_BAR ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag0ff_genblock.dffs_n_8 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag0ff_genblock.dffs_n_9 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag0ff_genblock.dffs_n_10 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag1ff_genblock.dffs_n_8 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag1ff_genblock.dffs_n_9 ;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag1ff_genblock.dffs_n_10 ;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_valid;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_valid_ff_n_0;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_enQ;
+   wire brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_write;
+   wire \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_writeff_genblock.dffs_n_4 ;
+   wire brqrv_top_brqrv_lsu_bus_intf_clken_ff_logic_1_1_net;
+   wire brqrv_top_brqrv_lsu_bus_intf_is_sideeffects_r;
+   wire brqrv_top_brqrv_lsu_bus_intf_lsu_bus_clk_en_q;
+   wire brqrv_top_brqrv_lsu_bus_intf_no_dword_merge_r;
+   wire brqrv_top_brqrv_lsu_clkdomain_lsu_bus_buf_c1_clken;
+   wire brqrv_top_brqrv_lsu_clkdomain_lsu_bus_ibuf_c1_clken;
+   wire brqrv_top_brqrv_lsu_clkdomain_lsu_c1_m_clken;
+   wire brqrv_top_brqrv_lsu_clkdomain_lsu_c1_m_clken_q;
+   wire brqrv_top_brqrv_lsu_clkdomain_lsu_c1_r_clken;
+   wire brqrv_top_brqrv_lsu_clkdomain_lsu_c1_r_clken_q;
+   wire brqrv_top_brqrv_lsu_clkdomain_lsu_c2_m_clken;
+   wire brqrv_top_brqrv_lsu_clkdomain_lsu_c2_r_clken;
+   wire brqrv_top_brqrv_lsu_clkdomain_lsu_free_c1_clken;
+   wire brqrv_top_brqrv_lsu_clkdomain_lsu_free_c1_clken_q;
+   wire brqrv_top_brqrv_lsu_clkdomain_lsu_free_c2_clken;
+   wire brqrv_top_brqrv_lsu_clkdomain_lsu_stbuf_c1_clken;
+   wire brqrv_top_brqrv_lsu_clkdomain_lsu_store_c1_m_clken;
+   wire brqrv_top_brqrv_lsu_clkdomain_lsu_store_c1_r_clken;
+   wire brqrv_top_brqrv_lsu_clkdomain_n_0;
+   wire brqrv_top_brqrv_lsu_clkdomain_n_1;
+   wire brqrv_top_brqrv_lsu_clkdomain_n_30;
+   wire brqrv_top_brqrv_lsu_clkdomain_n_31;
+   wire \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ;
+   wire \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ;
+   wire brqrv_top_brqrv_lsu_dccm_ctl_n_1110;
+   wire brqrv_top_brqrv_lsu_dccm_ctl_n_1114;
+   wire brqrv_top_brqrv_lsu_dccm_ctl_n_1137;
+   wire brqrv_top_brqrv_lsu_idle_any;
+   wire brqrv_top_brqrv_lsu_is_sideeffects_m;
+   wire brqrv_top_brqrv_lsu_ldst_dual_r;
+   wire brqrv_top_brqrv_lsu_ldst_stbuf_reqvld_r;
+   wire brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk;
+   wire brqrv_top_brqrv_lsu_lsu_bus_buffer_empty_any;
+   wire brqrv_top_brqrv_lsu_lsu_bus_buffer_pend_any;
+   wire brqrv_top_brqrv_lsu_lsu_bus_ibuf_c1_clk;
+   wire brqrv_top_brqrv_lsu_lsu_bus_obuf_c1_clk;
+   wire brqrv_top_brqrv_lsu_lsu_busm_clk;
+   wire brqrv_top_brqrv_lsu_lsu_busreq_r;
+   wire brqrv_top_brqrv_lsu_lsu_c1_m_clk;
+   wire brqrv_top_brqrv_lsu_lsu_c1_r_clk;
+   wire brqrv_top_brqrv_lsu_lsu_c2_m_clk;
+   wire brqrv_top_brqrv_lsu_lsu_c2_r_clk;
+   wire brqrv_top_brqrv_lsu_lsu_exc_m;
+   wire brqrv_top_brqrv_lsu_lsu_free_c2_clk;
+   wire \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_access_fault_d;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_access_fault_m;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_addr_external_d;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_addr_external_r;
+   wire \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_0 ;
+   wire \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_1 ;
+   wire \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_2 ;
+   wire \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_3 ;
+   wire \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_5 ;
+   wire \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_6 ;
+   wire \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_0 ;
+   wire \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_1 ;
+   wire \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_2 ;
+   wire \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_3 ;
+   wire \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_5 ;
+   wire \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_6 ;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_in_dccm_d;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_in_dccm_region_d;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_in_pic_d;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_n_0;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_n_1;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_n_2;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_n_3;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_n_4;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_n_5;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_is_sideeffects_d;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_in_dccm_d;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_in_dccm_region_d;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_in_pic_d;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_in_pic_region_d;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_pic_rangecheck_n_0;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_pic_rangecheck_n_1;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_pic_rangecheck_n_3;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_pic_rangecheck_n_4;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_pic_rangecheck_n_5;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_l1clk;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_l1clk;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_fir_dccm_access_error_d;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_fir_dccm_access_error_m;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_fir_nondccm_access_error_d;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_fir_nondccm_access_error_m;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_26;
+   wire \brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_error_pkt_m[0] ;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_misaligned_fault_d;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_misaligned_fault_m;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_n_425;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_n_430;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_n_460;
+   wire brqrv_top_brqrv_lsu_lsu_lsc_ctl_n_468;
+   wire brqrv_top_brqrv_lsu_lsu_stbuf_c1_clk;
+   wire brqrv_top_brqrv_lsu_lsu_stbuf_empty_any;
+   wire brqrv_top_brqrv_lsu_lsu_store_c1_m_clk;
+   wire brqrv_top_brqrv_lsu_lsu_store_c1_r_clk;
+   wire brqrv_top_brqrv_lsu_n_1518;
+   wire brqrv_top_brqrv_lsu_nonblock_load_data_error;
+   wire brqrv_top_brqrv_lsu_nonblock_load_valid_m;
+   wire brqrv_top_brqrv_lsu_pmu_bus_error;
+   wire brqrv_top_brqrv_lsu_pmu_load_external_m;
+   wire brqrv_top_brqrv_lsu_pmu_misaligned_m;
+   wire brqrv_top_brqrv_lsu_pmu_store_external_m;
+   wire \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_addrff_l1clk ;
+   wire \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ;
+   wire \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_killff_n_0 ;
+   wire \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_vldff_n_0 ;
+   wire \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_addrff_l1clk ;
+   wire \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ;
+   wire \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_killff_n_0 ;
+   wire \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_vldff_n_0 ;
+   wire \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_addrff_l1clk ;
+   wire \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ;
+   wire \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_killff_n_0 ;
+   wire \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_vldff_n_0 ;
+   wire \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_addrff_l1clk ;
+   wire \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ;
+   wire \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_killff_n_0 ;
+   wire \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_vldff_n_0 ;
+   wire brqrv_top_brqrv_lsu_stbuf_RdPtrff_n_6;
+   wire brqrv_top_brqrv_lsu_stbuf_RdPtrff_n_7;
+   wire brqrv_top_brqrv_lsu_stbuf_WrPtrff_n_6;
+   wire brqrv_top_brqrv_lsu_stbuf_WrPtrff_n_7;
+   wire brqrv_top_brqrv_lsu_stbuf_n_580;
+   wire brqrv_top_brqrv_lsu_stbuf_n_584;
+   wire brqrv_top_brqrv_lsu_stbuf_n_588;
+   wire brqrv_top_brqrv_lsu_stbuf_n_592;
+   wire brqrv_top_brqrv_lsu_stbuf_n_596;
+   wire brqrv_top_brqrv_lsu_stbuf_n_600;
+   wire brqrv_top_brqrv_lsu_stbuf_n_604;
+   wire brqrv_top_brqrv_lsu_stbuf_n_608;
+   wire brqrv_top_brqrv_lsu_stbuf_n_612;
+   wire brqrv_top_brqrv_lsu_stbuf_n_616;
+   wire brqrv_top_brqrv_lsu_stbuf_n_865;
+   wire brqrv_top_brqrv_lsu_stbuf_n_1475;
+   wire brqrv_top_brqrv_lsu_stbuf_n_1476;
+   wire brqrv_top_brqrv_lsu_stbuf_reqvld_any;
+   wire brqrv_top_brqrv_lsu_stbuf_reqvld_flushed_any;
+   wire brqrv_top_brqrv_lsu_store_stall_any;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_0 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_1 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_3 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_4 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_5 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_6 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_7 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_8 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_9 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_10 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_11 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_12 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_13 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_14 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_15 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_16 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_17 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_18 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_19 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_20 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_21 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_22 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_23 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_24 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_25 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_26 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_27 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_28 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_29 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_30 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_31 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_32 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_33 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_34 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_35 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_36 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_37 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_38 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_39 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_40 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_41 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_42 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_43 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_44 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_45 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_46 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_47 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_48 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_49 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_50 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_51 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_52 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_53 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_54 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_55 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_56 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_57 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_58 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_59 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_60 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_61 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_62 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_63 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_64 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_65 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_66 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_67 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_68 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_69 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_70 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_71 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_72 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_73 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_74 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_75 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_76 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_77 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_78 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_79 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_80 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_81 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_82 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_83 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_84 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_85 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_86 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_87 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_88 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_89 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_90 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_91 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_92 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_93 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_94 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_95 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_96 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_97 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_98 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_99 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_100 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_101 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_102 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_103 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_104 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_105 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_106 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_107 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_108 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_109 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_110 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_111 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_112 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_0 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_1 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_3 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_4 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_5 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_6 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_7 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_8 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_9 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_10 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_11 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_12 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_13 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_14 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_15 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_16 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_17 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_18 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_19 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_20 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_21 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_22 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_23 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_24 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_25 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_26 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_27 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_28 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_29 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_30 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_31 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_32 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_33 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_34 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_35 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_36 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_37 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_38 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_39 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_40 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_41 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_42 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_43 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_44 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_45 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_46 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_47 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_48 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_49 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_50 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_51 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_52 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_53 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_54 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_55 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_56 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_57 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_58 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_59 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_60 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_61 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_62 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_63 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_64 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_65 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_66 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_67 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_68 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_69 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_70 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_71 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_72 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_73 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_74 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_75 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_76 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_77 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_78 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_79 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_80 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_81 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_82 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_83 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_84 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_85 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_86 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_87 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_88 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_89 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_90 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_91 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_92 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_93 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_94 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_95 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_96 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_97 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_98 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_99 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_100 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_101 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_102 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_103 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_104 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_105 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_106 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_107 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_108 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_109 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_110 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_111 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_112 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_0 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_1 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_3 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_4 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_5 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_6 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_7 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_8 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_9 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_10 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_11 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_12 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_13 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_14 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_15 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_16 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_17 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_18 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_19 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_20 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_21 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_22 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_23 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_24 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_25 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_26 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_27 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_28 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_29 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_30 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_31 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_32 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_33 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_34 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_35 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_36 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_37 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_38 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_39 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_40 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_41 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_42 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_43 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_44 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_45 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_46 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_47 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_48 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_49 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_50 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_51 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_52 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_53 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_54 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_55 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_56 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_57 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_58 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_59 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_60 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_61 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_62 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_63 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_64 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_65 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_66 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_67 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_68 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_69 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_70 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_71 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_72 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_73 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_74 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_75 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_76 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_77 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_78 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_79 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_80 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_81 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_82 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_83 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_84 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_85 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_86 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_87 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_88 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_89 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_90 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_91 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_92 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_93 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_94 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_95 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_96 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_97 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_98 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_99 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_100 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_101 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_102 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_103 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_104 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_105 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_106 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_107 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_108 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_109 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_110 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_111 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_112 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_0 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_1 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_3 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_4 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_5 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_6 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_7 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_8 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_9 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_10 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_11 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_12 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_13 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_14 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_15 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_16 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_17 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_18 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_19 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_20 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_21 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_22 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_23 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_24 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_25 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_26 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_27 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_28 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_29 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_30 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_31 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_32 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_33 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_34 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_35 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_36 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_37 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_38 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_39 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_40 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_41 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_42 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_43 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_44 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_45 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_46 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_47 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_48 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_49 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_50 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_51 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_52 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_53 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_54 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_55 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_56 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_57 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_58 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_59 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_60 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_61 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_62 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_63 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_64 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_65 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_66 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_67 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_68 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_69 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_70 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_71 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_72 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_73 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_74 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_75 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_76 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_77 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_78 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_79 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_80 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_81 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_82 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_83 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_84 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_85 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_86 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_87 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_88 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_89 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_90 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_91 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_92 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_93 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_94 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_95 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_96 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_97 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_98 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_99 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_100 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_101 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_102 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_103 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_104 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_105 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_106 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_107 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_108 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_109 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_110 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_111 ;
+   wire \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_112 ;
+   wire brqrv_top_brqrv_lsu_trigger_n_472_BAR;
+   wire brqrv_top_brqrv_lsu_trigger_n_549_BAR;
+   wire brqrv_top_brqrv_lsu_trigger_n_626_BAR;
+   wire brqrv_top_brqrv_lsu_trigger_n_703_BAR;
+   wire brqrv_top_brqrv_mexintpend;
+   wire brqrv_top_brqrv_mhwakeup;
+   wire brqrv_top_brqrv_n_7;
+   wire brqrv_top_brqrv_n_51;
+   wire brqrv_top_brqrv_n_578;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.config_gw_inst_gw_int_pending ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.config_gw_inst_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.gw_config_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.gw_config_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intenable_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intpriority_ff_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intpriority_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intpriority_ff_n_4 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intpriority_ff_n_5 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intpriority_ff_n_6 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.config_gw_inst_gw_int_pending ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.config_gw_inst_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.gw_config_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.gw_config_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intenable_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intpriority_ff_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intpriority_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intpriority_ff_n_4 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intpriority_ff_n_5 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intpriority_ff_n_6 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.config_gw_inst_gw_int_pending ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.config_gw_inst_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.gw_config_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.gw_config_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intenable_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intpriority_ff_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intpriority_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intpriority_ff_n_4 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intpriority_ff_n_5 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intpriority_ff_n_6 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.config_gw_inst_gw_int_pending ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.config_gw_inst_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.gw_config_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.gw_config_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intenable_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intpriority_ff_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intpriority_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intpriority_ff_n_4 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intpriority_ff_n_5 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intpriority_ff_n_6 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.config_gw_inst_gw_int_pending ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.config_gw_inst_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.gw_config_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.gw_config_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intenable_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intpriority_ff_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intpriority_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intpriority_ff_n_4 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intpriority_ff_n_5 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intpriority_ff_n_6 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.config_gw_inst_gw_int_pending ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.config_gw_inst_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.gw_config_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.gw_config_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intenable_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intpriority_ff_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intpriority_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intpriority_ff_n_4 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intpriority_ff_n_5 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intpriority_ff_n_6 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.config_gw_inst_gw_int_pending ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.config_gw_inst_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.gw_config_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.gw_config_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intenable_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intpriority_ff_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intpriority_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intpriority_ff_n_4 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intpriority_ff_n_5 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intpriority_ff_n_6 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.config_gw_inst_gw_int_pending ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.config_gw_inst_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.gw_config_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.gw_config_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intenable_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intpriority_ff_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intpriority_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intpriority_ff_n_4 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intpriority_ff_n_5 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intpriority_ff_n_6 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.config_gw_inst_gw_int_pending ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.config_gw_inst_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.gw_config_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.gw_config_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intenable_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intpriority_ff_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intpriority_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intpriority_ff_n_4 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intpriority_ff_n_5 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intpriority_ff_n_6 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.config_gw_inst_gw_int_pending ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.config_gw_inst_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.gw_config_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.gw_config_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intenable_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intpriority_ff_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intpriority_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intpriority_ff_n_4 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intpriority_ff_n_5 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intpriority_ff_n_6 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.config_gw_inst_gw_int_pending ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.config_gw_inst_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.gw_config_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.gw_config_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intenable_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intpriority_ff_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intpriority_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intpriority_ff_n_4 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intpriority_ff_n_5 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intpriority_ff_n_6 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.config_gw_inst_gw_int_pending ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.config_gw_inst_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.gw_config_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.gw_config_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intenable_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intpriority_ff_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intpriority_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intpriority_ff_n_4 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intpriority_ff_n_5 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intpriority_ff_n_6 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.config_gw_inst_gw_int_pending ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.config_gw_inst_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.gw_config_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.gw_config_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intenable_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intpriority_ff_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intpriority_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intpriority_ff_n_4 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intpriority_ff_n_5 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intpriority_ff_n_6 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.config_gw_inst_gw_int_pending ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.config_gw_inst_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.gw_config_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.gw_config_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intenable_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intpriority_ff_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intpriority_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intpriority_ff_n_4 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intpriority_ff_n_5 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intpriority_ff_n_6 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.config_gw_inst_gw_int_pending ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.config_gw_inst_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.gw_config_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.gw_config_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intenable_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intpriority_ff_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intpriority_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intpriority_ff_n_4 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intpriority_ff_n_5 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intpriority_ff_n_6 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.config_gw_inst_gw_int_pending ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.config_gw_inst_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.gw_config_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.gw_config_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intenable_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intpriority_ff_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intpriority_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intpriority_ff_n_4 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intpriority_ff_n_5 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intpriority_ff_n_6 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.config_gw_inst_gw_int_pending ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.config_gw_inst_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.gw_config_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.gw_config_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intenable_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intpriority_ff_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intpriority_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intpriority_ff_n_4 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intpriority_ff_n_5 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intpriority_ff_n_6 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.config_gw_inst_gw_int_pending ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.config_gw_inst_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.gw_config_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.gw_config_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intenable_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intpriority_ff_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intpriority_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intpriority_ff_n_4 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intpriority_ff_n_5 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intpriority_ff_n_6 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.config_gw_inst_gw_int_pending ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.config_gw_inst_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.gw_config_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.gw_config_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intenable_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intpriority_ff_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intpriority_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intpriority_ff_n_4 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intpriority_ff_n_5 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intpriority_ff_n_6 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.config_gw_inst_gw_int_pending ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.config_gw_inst_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.gw_config_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.gw_config_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intenable_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intpriority_ff_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intpriority_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intpriority_ff_n_4 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intpriority_ff_n_5 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intpriority_ff_n_6 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.config_gw_inst_gw_int_pending ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.config_gw_inst_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.gw_config_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.gw_config_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intenable_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intpriority_ff_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intpriority_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intpriority_ff_n_4 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intpriority_ff_n_5 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intpriority_ff_n_6 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.config_gw_inst_gw_int_pending ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.config_gw_inst_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.gw_config_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.gw_config_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intenable_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intpriority_ff_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intpriority_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intpriority_ff_n_4 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intpriority_ff_n_5 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intpriority_ff_n_6 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.config_gw_inst_gw_int_pending ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.config_gw_inst_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.gw_config_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.gw_config_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intenable_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intpriority_ff_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intpriority_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intpriority_ff_n_4 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intpriority_ff_n_5 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intpriority_ff_n_6 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.config_gw_inst_gw_int_pending ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.config_gw_inst_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.gw_config_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.gw_config_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intenable_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intpriority_ff_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intpriority_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intpriority_ff_n_4 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intpriority_ff_n_5 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intpriority_ff_n_6 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.config_gw_inst_gw_int_pending ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.config_gw_inst_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.gw_config_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.gw_config_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intenable_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intpriority_ff_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intpriority_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intpriority_ff_n_4 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intpriority_ff_n_5 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intpriority_ff_n_6 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.config_gw_inst_gw_int_pending ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.config_gw_inst_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.gw_config_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.gw_config_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intenable_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intpriority_ff_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intpriority_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intpriority_ff_n_4 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intpriority_ff_n_5 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intpriority_ff_n_6 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.config_gw_inst_gw_int_pending ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.config_gw_inst_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.gw_config_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.gw_config_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intenable_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intpriority_ff_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intpriority_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intpriority_ff_n_4 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intpriority_ff_n_5 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intpriority_ff_n_6 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.config_gw_inst_gw_int_pending ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.config_gw_inst_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.gw_config_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.gw_config_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intenable_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intpriority_ff_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intpriority_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intpriority_ff_n_4 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intpriority_ff_n_5 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intpriority_ff_n_6 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.config_gw_inst_gw_int_pending ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.config_gw_inst_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.gw_config_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.gw_config_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intenable_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intpriority_ff_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intpriority_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intpriority_ff_n_4 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intpriority_ff_n_5 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intpriority_ff_n_6 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.config_gw_inst_gw_int_pending ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.config_gw_inst_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.gw_config_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.gw_config_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intenable_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intpriority_ff_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intpriority_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intpriority_ff_n_4 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intpriority_ff_n_5 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intpriority_ff_n_6 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.config_gw_inst_gw_int_pending ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.config_gw_inst_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.gw_config_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.gw_config_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intenable_ff_n_2 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intpriority_ff_n_0 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intpriority_ff_n_3 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intpriority_ff_n_4 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intpriority_ff_n_5 ;
+   wire \brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intpriority_ff_n_6 ;
+   wire brqrv_top_brqrv_pic_ctrl_inst_config_reg;
+   wire brqrv_top_brqrv_pic_ctrl_inst_config_reg_ff_n_2;
+   wire brqrv_top_brqrv_pic_ctrl_inst_config_reg_we;
+   wire brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk;
+   wire brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clken;
+   wire brqrv_top_brqrv_pic_ctrl_inst_mexintpend_in;
+   wire brqrv_top_brqrv_pic_ctrl_inst_mhwakeup_in;
+   wire brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk;
+   wire brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clken;
+   wire brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk;
+   wire brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clken;
+   wire brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk;
+   wire brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clken;
+   wire brqrv_top_brqrv_pic_ctrl_inst_pic_raddr_c1_clk;
+   wire brqrv_top_brqrv_pic_ctrl_inst_pic_raddr_c1_clken;
+   wire brqrv_top_brqrv_pic_ctrl_inst_picm_mken_ff;
+   wire brqrv_top_brqrv_pic_ctrl_inst_picm_radd_flop_logic_1_1_net;
+   wire brqrv_top_brqrv_pic_ctrl_inst_picm_rden_ff;
+   wire brqrv_top_brqrv_pic_ctrl_inst_picm_wadd_flop_logic_1_1_net;
+   wire brqrv_top_brqrv_pic_ctrl_inst_picm_wren_ff;
+   wire brqrv_top_brqrv_picm_mken;
+   wire brqrv_top_brqrv_picm_rden;
+   wire brqrv_top_brqrv_picm_wren;
+   wire brqrv_top_core_rst;
+   wire brqrv_top_dccm_clk_override;
+   wire brqrv_top_dmi_reg_en;
+   wire brqrv_top_dmi_reg_wr_en;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_logic_1_1_net;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_logic_1_2_net;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_0;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_1;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_2;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_3;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_4;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_5;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_6;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_7;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_8;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_9;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_10;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_11;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_12;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_13;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_14;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_15;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_16;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_17;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_18;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_19;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_20;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_21;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_22;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_23;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_24;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_25;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_26;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_27;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_28;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_29;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_30;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_31;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_32;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_33;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_34;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_35;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_36;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_37;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_38;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_39;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_40;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_41;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_42;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_43;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_44;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_45;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_46;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_47;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_48;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_49;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_50;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_51;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_52;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_53;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_54;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_55;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_56;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_57;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_58;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_59;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_60;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_61;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_62;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_63;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_64;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_65;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_66;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_67;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_68;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_69;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_70;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_71;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_72;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_73;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_74;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_75;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_76;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_77;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_78;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_79;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_80;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_81;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_82;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_83;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_84;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_85;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_86;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_87;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_88;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_89;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_90;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_91;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_92;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_93;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_94;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_95;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_96;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_97;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_98;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_99;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_100;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_101;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_102;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_103;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_104;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_105;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_106;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_107;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_108;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_109;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_110;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_111;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_112;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_113;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_114;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_115;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_116;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_117;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_118;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_119;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_120;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_121;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_122;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_123;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_124;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_125;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_126;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_127;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_128;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_129;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_130;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_131;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_132;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_133;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_134;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_135;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_136;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_137;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_138;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_139;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_141;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_142;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_143;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_144;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_145;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_146;
+   wire brqrv_top_dmi_wrapper_i_jtag_tap_n_182;
+   wire brqrv_top_dmi_wrapper_rd_en;
+   wire brqrv_top_dmi_wrapper_wr_en;
+   wire brqrv_top_iccm_controller_n_0;
+   wire brqrv_top_iccm_controller_n_1;
+   wire brqrv_top_iccm_controller_n_2;
+   wire brqrv_top_iccm_controller_n_3;
+   wire brqrv_top_iccm_controller_n_4;
+   wire brqrv_top_iccm_controller_n_5;
+   wire brqrv_top_iccm_controller_n_6;
+   wire brqrv_top_iccm_controller_n_7;
+   wire brqrv_top_iccm_controller_n_8;
+   wire brqrv_top_iccm_controller_n_9;
+   wire brqrv_top_iccm_controller_n_10;
+   wire brqrv_top_iccm_controller_n_11;
+   wire brqrv_top_iccm_controller_n_12;
+   wire brqrv_top_iccm_controller_n_13;
+   wire brqrv_top_iccm_controller_n_14;
+   wire brqrv_top_iccm_controller_n_15;
+   wire brqrv_top_iccm_controller_n_16;
+   wire brqrv_top_iccm_controller_n_17;
+   wire brqrv_top_iccm_controller_n_18;
+   wire brqrv_top_iccm_controller_n_19;
+   wire brqrv_top_iccm_controller_n_20;
+   wire brqrv_top_iccm_controller_n_21;
+   wire brqrv_top_iccm_controller_n_22;
+   wire brqrv_top_iccm_controller_n_23;
+   wire brqrv_top_iccm_controller_n_24;
+   wire brqrv_top_iccm_controller_n_25;
+   wire brqrv_top_iccm_controller_n_26;
+   wire brqrv_top_iccm_controller_n_27;
+   wire brqrv_top_iccm_controller_n_28;
+   wire brqrv_top_iccm_controller_n_29;
+   wire brqrv_top_iccm_controller_n_30;
+   wire brqrv_top_iccm_controller_n_31;
+   wire brqrv_top_iccm_controller_n_32;
+   wire brqrv_top_iccm_controller_n_33;
+   wire brqrv_top_iccm_controller_n_34;
+   wire brqrv_top_iccm_controller_n_35;
+   wire brqrv_top_iccm_controller_n_36;
+   wire brqrv_top_iccm_controller_n_37;
+   wire brqrv_top_iccm_controller_n_38;
+   wire brqrv_top_iccm_controller_n_39;
+   wire brqrv_top_iccm_controller_n_40;
+   wire brqrv_top_iccm_controller_n_41;
+   wire brqrv_top_iccm_controller_n_42;
+   wire brqrv_top_iccm_controller_n_43;
+   wire brqrv_top_iccm_controller_n_44;
+   wire brqrv_top_iccm_controller_n_45;
+   wire brqrv_top_iccm_controller_n_46;
+   wire brqrv_top_iccm_controller_n_47;
+   wire brqrv_top_iccm_controller_n_48;
+   wire brqrv_top_iccm_controller_n_49;
+   wire brqrv_top_iccm_controller_n_50;
+   wire brqrv_top_iccm_controller_n_51;
+   wire brqrv_top_iccm_controller_n_52;
+   wire brqrv_top_iccm_controller_n_53;
+   wire brqrv_top_iccm_instr_we;
+   wire brqrv_top_icm_clk_override;
+   wire \brqrv_top_mem_Gen_dccm_enable.dccm_n_266 ;
+   wire \brqrv_top_mem_Gen_dccm_enable.dccm_n_267 ;
+   wire \brqrv_top_mem_Gen_dccm_enable.dccm_n_268 ;
+   wire \brqrv_top_mem_Gen_dccm_enable.dccm_n_269 ;
+   wire \brqrv_top_mem_Gen_dccm_enable.dccm_n_270 ;
+   wire \brqrv_top_mem_Gen_dccm_enable.dccm_n_271 ;
+   wire \brqrv_top_mem_Gen_dccm_enable.dccm_n_313 ;
+   wire \brqrv_top_mem_Gen_dccm_enable.dccm_n_314 ;
+   wire \brqrv_top_mem_Gen_dccm_enable.dccm_n_355 ;
+   wire \brqrv_top_mem_Gen_dccm_enable.dccm_n_356 ;
+   wire \brqrv_top_mem_Gen_dccm_enable.dccm_n_397 ;
+   wire \brqrv_top_mem_Gen_dccm_enable.dccm_n_398 ;
+   wire brqrv_top_mem_active_cg_logic_1_1_net;
+   wire brqrv_top_mem_active_clk;
+   wire \brqrv_top_mem_iccm.iccm_n_370 ;
+   wire \brqrv_top_mem_iccm.iccm_n_371 ;
+   wire \brqrv_top_mem_iccm.iccm_n_372 ;
+   wire \brqrv_top_mem_iccm.iccm_n_373 ;
+   wire \brqrv_top_mem_iccm.iccm_n_385 ;
+   wire \brqrv_top_mem_iccm.iccm_n_386 ;
+   wire \brqrv_top_mem_iccm.iccm_n_395 ;
+   wire \brqrv_top_mem_iccm.iccm_n_396 ;
+   wire \brqrv_top_mem_iccm.iccm_n_405 ;
+   wire \brqrv_top_mem_iccm.iccm_n_406 ;
+   wire \brqrv_top_mem_iccm.iccm_n_1379 ;
+   wire brqrv_top_n_973;
+   wire brqrv_top_n_974;
+   wire brqrv_top_n_975;
+   wire brqrv_top_n_988;
+   wire brqrv_top_n_989;
+   wire brqrv_top_n_990;
+   wire brqrv_top_n_991;
+   wire brqrv_top_n_992;
+   wire brqrv_top_n_993;
+   wire brqrv_top_n_994;
+   wire brqrv_top_n_995;
+   wire brqrv_top_n_996;
+   wire brqrv_top_n_997;
+   wire brqrv_top_n_998;
+   wire brqrv_top_n_999;
+   wire brqrv_top_n_1000;
+   wire brqrv_top_n_1001;
+   wire brqrv_top_n_1002;
+   wire brqrv_top_n_1003;
+   wire brqrv_top_n_1004;
+   wire brqrv_top_n_1005;
+   wire brqrv_top_n_1006;
+   wire brqrv_top_n_1007;
+   wire brqrv_top_n_1008;
+   wire brqrv_top_n_1009;
+   wire brqrv_top_n_1010;
+   wire brqrv_top_n_1011;
+   wire brqrv_top_n_1012;
+   wire brqrv_top_n_1013;
+   wire brqrv_top_n_1014;
+   wire brqrv_top_n_1015;
+   wire brqrv_top_n_1016;
+   wire brqrv_top_n_1017;
+   wire brqrv_top_n_1018;
+   wire brqrv_top_n_1019;
+   wire brqrv_top_n_1027;
+   wire brqrv_top_n_1028;
+   wire brqrv_top_n_1029;
+   wire brqrv_top_n_1030;
+   wire brqrv_top_n_1031;
+   wire brqrv_top_n_1032;
+   wire brqrv_top_n_1033;
+   wire brqrv_top_n_1034;
+   wire brqrv_top_n_1035;
+   wire brqrv_top_n_1036;
+   wire brqrv_top_n_1037;
+   wire brqrv_top_n_1038;
+   wire brqrv_top_n_1039;
+   wire brqrv_top_n_1040;
+   wire brqrv_top_n_1041;
+   wire brqrv_top_n_1042;
+   wire brqrv_top_n_1043;
+   wire brqrv_top_n_1044;
+   wire brqrv_top_n_1045;
+   wire brqrv_top_n_1046;
+   wire brqrv_top_n_1047;
+   wire brqrv_top_n_1048;
+   wire brqrv_top_n_1049;
+   wire brqrv_top_n_1050;
+   wire brqrv_top_n_1051;
+   wire brqrv_top_n_1052;
+   wire brqrv_top_n_1053;
+   wire brqrv_top_n_1054;
+   wire brqrv_top_n_1055;
+   wire brqrv_top_n_1056;
+   wire brqrv_top_n_1057;
+   wire brqrv_top_n_1058;
+   wire brqrv_top_rx_dv_i;
+   wire brqrv_top_uart_rx_m_n_0;
+   wire brqrv_top_uart_rx_m_n_1;
+   wire brqrv_top_uart_rx_m_n_2;
+   wire brqrv_top_uart_rx_m_n_3;
+   wire brqrv_top_uart_rx_m_n_4;
+   wire brqrv_top_uart_rx_m_n_5;
+   wire brqrv_top_uart_rx_m_n_6;
+   wire brqrv_top_uart_rx_m_n_7;
+   wire brqrv_top_uart_rx_m_n_8;
+   wire brqrv_top_uart_rx_m_n_9;
+   wire brqrv_top_uart_rx_m_n_10;
+   wire brqrv_top_uart_rx_m_n_11;
+   wire brqrv_top_uart_rx_m_n_12;
+   wire brqrv_top_uart_rx_m_n_13;
+   wire brqrv_top_uart_rx_m_n_14;
+   wire brqrv_top_uart_rx_m_n_15;
+   wire brqrv_top_uart_rx_m_n_16;
+   wire brqrv_top_uart_rx_m_n_17;
+   wire brqrv_top_uart_rx_m_n_18;
+   wire brqrv_top_uart_rx_m_n_19;
+   wire brqrv_top_uart_rx_m_n_20;
+   wire brqrv_top_uart_rx_m_n_21;
+   wire brqrv_top_uart_rx_m_n_22;
+   wire brqrv_top_uart_rx_m_n_23;
+   wire brqrv_top_uart_rx_m_n_24;
+   wire brqrv_top_uart_rx_m_n_25;
+   wire brqrv_top_uart_rx_m_n_26;
+   wire brqrv_top_uart_rx_m_n_27;
+   wire brqrv_top_uart_rx_m_n_28;
+   wire brqrv_top_uart_rx_m_n_29;
+   wire brqrv_top_uart_rx_m_n_30;
+   wire brqrv_top_uart_rx_m_n_31;
+   wire brqrv_top_uart_rx_m_n_32;
+   wire brqrv_top_uart_rx_m_n_33;
+   wire brqrv_top_uart_rx_m_n_34;
+   wire brqrv_top_uart_rx_m_n_35;
+   wire brqrv_top_uart_rx_m_n_36;
+   wire brqrv_top_uart_rx_m_n_37;
+   wire brqrv_top_uart_rx_m_n_38;
+   wire brqrv_top_uart_rx_m_n_39;
+   wire brqrv_top_uart_rx_m_n_40;
+   wire brqrv_top_uart_rx_m_n_41;
+   wire brqrv_top_uart_rx_m_n_42;
+   wire brqrv_top_uart_rx_m_n_43;
+   wire brqrv_top_uart_rx_m_n_44;
+   wire brqrv_top_uart_rx_m_n_45;
+   wire brqrv_top_uart_rx_m_n_46;
+   wire brqrv_top_uart_rx_m_n_47;
+   wire brqrv_top_uart_rx_m_n_48;
+   wire brqrv_top_uart_rx_m_n_49;
+   wire brqrv_top_uart_rx_m_n_50;
+   wire brqrv_top_uart_rx_m_n_51;
+   wire brqrv_top_uart_rx_m_n_52;
+   wire brqrv_top_uart_rx_m_n_53;
+   wire brqrv_top_uart_rx_m_n_54;
+   wire brqrv_top_uart_rx_m_n_55;
+   wire brqrv_top_uart_rx_m_n_56;
+   wire brqrv_top_uart_rx_m_n_57;
+   wire brqrv_top_uart_rx_m_n_58;
+   wire brqrv_top_uart_rx_m_n_59;
+   wire brqrv_top_uart_rx_m_n_60;
+   wire brqrv_top_uart_rx_m_n_61;
+   wire brqrv_top_uart_rx_m_n_62;
+   wire brqrv_top_uart_rx_m_n_63;
+   wire brqrv_top_uart_rx_m_n_64;
+   wire brqrv_top_uart_rx_m_n_65;
+   wire brqrv_top_uart_rx_m_n_66;
+   wire brqrv_top_uart_rx_m_n_67;
+   wire brqrv_top_uart_rx_m_n_68;
+   wire brqrv_top_uart_rx_m_n_69;
+   wire brqrv_top_uart_rx_m_n_70;
+   wire brqrv_top_uart_rx_m_n_71;
+   wire brqrv_top_uart_rx_m_n_72;
+   wire brqrv_top_uart_rx_m_n_73;
+   wire brqrv_top_uart_rx_m_n_74;
+   wire brqrv_top_uart_rx_m_n_75;
+   wire brqrv_top_uart_rx_m_n_76;
+   wire brqrv_top_uart_rx_m_n_77;
+   wire brqrv_top_uart_rx_m_n_78;
+   wire brqrv_top_uart_rx_m_n_79;
+   wire brqrv_top_uart_rx_m_n_80;
+   wire brqrv_top_uart_rx_m_n_81;
+   wire brqrv_top_uart_rx_m_n_82;
+   wire brqrv_top_uart_rx_m_n_83;
+   wire brqrv_top_uart_rx_m_n_84;
+   wire brqrv_top_uart_rx_m_n_85;
+   wire brqrv_top_uart_rx_m_n_86;
+   wire brqrv_top_uart_rx_m_n_87;
+   wire brqrv_top_uart_rx_m_n_88;
+   wire brqrv_top_uart_rx_m_n_89;
+   wire brqrv_top_uart_rx_m_n_90;
+   wire brqrv_top_uart_rx_m_n_91;
+   wire brqrv_top_uart_rx_m_n_92;
+   wire brqrv_top_uart_rx_m_n_93;
+   wire brqrv_top_uart_rx_m_n_94;
+   wire brqrv_top_uart_rx_m_n_95;
+   wire brqrv_top_uart_rx_m_n_96;
+   wire brqrv_top_uart_rx_m_n_97;
+   wire brqrv_top_uart_rx_m_n_98;
+   wire brqrv_top_uart_rx_m_n_99;
+   wire brqrv_top_uart_rx_m_n_100;
+   wire brqrv_top_uart_rx_m_n_101;
+   wire brqrv_top_uart_rx_m_n_102;
+   wire brqrv_top_uart_rx_m_n_103;
+   wire brqrv_top_uart_rx_m_n_104;
+   wire brqrv_top_uart_rx_m_n_105;
+   wire brqrv_top_uart_rx_m_n_106;
+   wire brqrv_top_uart_rx_m_n_107;
+   wire brqrv_top_uart_rx_m_n_108;
+   wire brqrv_top_uart_rx_m_n_109;
+   wire brqrv_top_uart_rx_m_n_110;
+   wire brqrv_top_uart_rx_m_n_111;
+   wire brqrv_top_uart_rx_m_n_112;
+   wire brqrv_top_uart_rx_m_n_113;
+   wire brqrv_top_uart_rx_m_n_114;
+   wire brqrv_top_uart_rx_m_n_115;
+   wire brqrv_top_uart_rx_m_n_116;
+   wire brqrv_top_uart_rx_m_n_117;
+   wire brqrv_top_uart_rx_m_n_118;
+   wire brqrv_top_uart_rx_m_n_119;
+   wire brqrv_top_uart_rx_m_n_120;
+   wire brqrv_top_uart_rx_m_n_121;
+   wire brqrv_top_uart_rx_m_n_122;
+   wire brqrv_top_uart_rx_m_n_123;
+   wire brqrv_top_uart_rx_m_n_124;
+   wire brqrv_top_uart_rx_m_n_125;
+   wire brqrv_top_uart_rx_m_n_126;
+   wire brqrv_top_uart_rx_m_n_127;
+   wire brqrv_top_uart_rx_m_n_128;
+   wire brqrv_top_uart_rx_m_n_129;
+   wire brqrv_top_uart_rx_m_n_130;
+   wire brqrv_top_uart_rx_m_n_131;
+   wire brqrv_top_uart_rx_m_n_132;
+   wire brqrv_top_uart_rx_m_n_133;
+   wire brqrv_top_uart_rx_m_n_134;
+   wire brqrv_top_uart_rx_m_n_135;
+   wire brqrv_top_uart_rx_m_n_136;
+   wire brqrv_top_uart_rx_m_n_137;
+   wire brqrv_top_uart_rx_m_n_138;
+   wire brqrv_top_uart_rx_m_n_139;
+   wire brqrv_top_uart_rx_m_n_140;
+   wire brqrv_top_uart_rx_m_n_141;
+   wire brqrv_top_uart_rx_m_n_142;
+   wire brqrv_top_uart_rx_m_n_143;
+   wire brqrv_top_uart_rx_m_n_144;
+   wire brqrv_top_uart_rx_m_n_145;
+   wire brqrv_top_uart_rx_m_n_146;
+   wire brqrv_top_uart_rx_m_n_147;
+   wire brqrv_top_uart_rx_m_n_148;
+   wire brqrv_top_uart_rx_m_n_149;
+   wire brqrv_top_uart_rx_m_n_150;
+   wire brqrv_top_uart_rx_m_n_151;
+   wire brqrv_top_uart_rx_m_n_152;
+   wire brqrv_top_uart_rx_m_n_153;
+   wire brqrv_top_uart_rx_m_n_154;
+   wire brqrv_top_uart_rx_m_n_155;
+   wire brqrv_top_uart_rx_m_n_156;
+   wire brqrv_top_uart_rx_m_n_157;
+   wire brqrv_top_uart_rx_m_n_158;
+   wire brqrv_top_uart_rx_m_n_159;
+   wire brqrv_top_uart_rx_m_n_160;
+   wire brqrv_top_uart_rx_m_n_161;
+   wire brqrv_top_uart_rx_m_n_162;
+   wire brqrv_top_uart_rx_m_n_163;
+   wire brqrv_top_uart_rx_m_n_164;
+   wire brqrv_top_uart_rx_m_n_165;
+   wire brqrv_top_uart_rx_m_n_166;
+   wire brqrv_top_uart_rx_m_n_167;
+   wire brqrv_top_uart_rx_m_n_168;
+   wire brqrv_top_uart_rx_m_n_169;
+   wire brqrv_top_uart_rx_m_n_170;
+   wire brqrv_top_uart_rx_m_n_171;
+   wire brqrv_top_uart_rx_m_n_172;
+   wire brqrv_top_uart_rx_m_n_173;
+   wire brqrv_top_uart_rx_m_n_174;
+   wire brqrv_top_uart_rx_m_n_175;
+   wire brqrv_top_uart_rx_m_n_176;
+   wire brqrv_top_uart_rx_m_n_177;
+   wire brqrv_top_uart_rx_m_n_178;
+   wire brqrv_top_uart_rx_m_n_179;
+   wire brqrv_top_uart_rx_m_n_180;
+   wire brqrv_top_uart_rx_m_n_181;
+   wire brqrv_top_uart_rx_m_n_182;
+   wire brqrv_top_uart_rx_m_n_183;
+   wire brqrv_top_uart_rx_m_n_184;
+   wire brqrv_top_uart_rx_m_n_185;
+   wire brqrv_top_uart_rx_m_n_186;
+   wire brqrv_top_uart_rx_m_n_187;
+   wire brqrv_top_uart_rx_m_n_188;
+   wire brqrv_top_uart_rx_m_n_189;
+   wire brqrv_top_uart_rx_m_n_190;
+   wire brqrv_top_uart_rx_m_r_Rx_Data;
+   wire brqrv_top_uart_rx_m_r_Rx_Data_R;
+   wire clk;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_0;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_1;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_3;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_4;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_5;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_6;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_7;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_8;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_9;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_10;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_11;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_12;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_13;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_14;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_15;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_16;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_17;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_18;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_19;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_20;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_21;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_22;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_23;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_24;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_25;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_26;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_27;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_28;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_29;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_30;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_31;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_32;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_33;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_34;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_35;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_36;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_37;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_38;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_39;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_40;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_41;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_42;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_43;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_44;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_45;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_46;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_47;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_48;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_49;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_50;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_51;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_52;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_53;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_54;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_55;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_56;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_57;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_58;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_59;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_60;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_61;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_62;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_63;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_64;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_65;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_66;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_67;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_68;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_69;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_71;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_73;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_75;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_77;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_79;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_81;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_83;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_85;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_87;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_89;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_91;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_93;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_95;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_97;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_99;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_101;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_103;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_105;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_107;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_109;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_111;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_113;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_115;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_117;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_119;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_121;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_123;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_125;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_127;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_129;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_131;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_133;
+   wire csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_135;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_0;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_1;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_2;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_3;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_4;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_5;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_6;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_7;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_8;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_9;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_10;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_11;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_12;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_13;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_14;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_15;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_16;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_17;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_18;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_19;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_20;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_21;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_22;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_23;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_25;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_26;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_27;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_28;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_29;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_30;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_31;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_32;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_33;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_34;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_35;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_36;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_37;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_38;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_39;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_40;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_41;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_42;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_43;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_44;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_45;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_46;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_47;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_48;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_49;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_50;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_51;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_52;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_53;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_54;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_55;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_56;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_57;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_58;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_59;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_60;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_61;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_62;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_63;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_64;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_65;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_66;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_67;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_68;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_69;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_70;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_71;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_72;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_73;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_74;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_75;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_76;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_77;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_78;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_79;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_80;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_81;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_82;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_83;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_84;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_85;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_86;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_87;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_88;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_89;
+   wire csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_90;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_0;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_1;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_2;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_3;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_4;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_5;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_6;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_7;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_8;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_9;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_10;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_11;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_12;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_13;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_14;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_15;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_17;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_19;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_21;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_23;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_25;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_27;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_29;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_31;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_33;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_35;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_37;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_39;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_41;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_43;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_45;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_47;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_49;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_51;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_53;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_55;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_57;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_59;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_61;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_63;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_65;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_67;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_69;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_71;
+   wire dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_73;
+   wire inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_n_0;
+   wire inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_n_2;
+   wire inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_n_4;
+   wire inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_n_6;
+   wire inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_n_8;
+   wire inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_n_10;
+   wire inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_n_12;
+   wire inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_n_14;
+   wire inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_n_16;
+   wire inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_n_18;
+   wire inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_n_20;
+   wire inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_n_22;
+   wire inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_n_24;
+   wire inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_n_26;
+   wire inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_n_28;
+   wire inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_n_31;
+   wire inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_n_32;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_0;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_2;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_4;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_6;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_8;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_10;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_12;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_14;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_16;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_18;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_20;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_22;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_24;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_26;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_28;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_30;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_32;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_34;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_36;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_38;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_40;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_42;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_44;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_0;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_2;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_4;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_6;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_8;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_10;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_12;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_14;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_16;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_18;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_20;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_22;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_24;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_26;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_28;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_30;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_32;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_34;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_36;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_38;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_40;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_42;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_44;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_46;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_48;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_50;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_52;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_54;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_56;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_59;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_60;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_0;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_2;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_4;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_6;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_8;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_10;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_12;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_14;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_16;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_18;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_20;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_22;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_24;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_26;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_28;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_30;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_32;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_34;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_36;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_38;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_40;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_42;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_44;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_0;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_2;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_4;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_6;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_8;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_10;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_12;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_14;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_16;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_18;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_20;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_22;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_24;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_26;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_28;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_30;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_32;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_34;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_36;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_38;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_40;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_42;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_44;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_46;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_48;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_50;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_52;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_54;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_56;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_59;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_60;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_0;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_2;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_4;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_6;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_8;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_10;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_12;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_14;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_16;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_18;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_20;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_22;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_24;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_26;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_28;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_30;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_32;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_34;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_36;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_38;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_40;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_42;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_44;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_46;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_48;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_50;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_52;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_54;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_56;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_0;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_2;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_4;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_6;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_8;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_10;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_12;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_14;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_16;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_18;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_20;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_22;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_24;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_26;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_28;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_30;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_32;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_34;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_36;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_38;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_40;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_42;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_44;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_46;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_48;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_50;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_52;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_54;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_57;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_58;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_0;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_2;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_4;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_6;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_8;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_10;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_12;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_14;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_16;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_18;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_20;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_22;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_24;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_26;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_28;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_30;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_32;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_34;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_36;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_38;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_40;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_42;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_44;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_46;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_48;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_50;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_52;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_54;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_56;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_58;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_60;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_62;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_64;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_66;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_68;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_70;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_72;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_74;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_76;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_78;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_80;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_82;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_84;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_86;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_88;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_90;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_92;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_94;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_96;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_98;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_100;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_102;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_104;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_106;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_108;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_110;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_112;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_114;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_116;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_118;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_121;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_122;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_0;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_2;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_4;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_6;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_8;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_10;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_12;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_14;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_16;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_18;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_20;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_22;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_24;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_26;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_28;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_30;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_32;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_34;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_36;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_38;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_40;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_42;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_44;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_46;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_48;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_50;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_52;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_54;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_56;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_58;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_60;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_62;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_64;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_66;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_68;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_70;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_72;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_74;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_76;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_78;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_80;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_82;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_84;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_86;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_88;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_90;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_92;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_94;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_96;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_98;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_100;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_102;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_104;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_106;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_108;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_110;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_112;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_114;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_116;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_118;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_121;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_122;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_0;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_2;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_4;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_6;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_8;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_10;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_12;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_14;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_16;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_18;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_20;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_22;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_24;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_26;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_28;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_30;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_32;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_34;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_36;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_38;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_40;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_42;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_44;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_46;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_48;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_50;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_52;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_54;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_56;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_58;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_60;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_62;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_64;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_66;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_68;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_70;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_72;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_74;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_76;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_78;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_80;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_82;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_84;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_86;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_88;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_90;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_92;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_94;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_96;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_98;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_100;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_102;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_104;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_106;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_108;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_110;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_112;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_114;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_116;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_118;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_121;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_122;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_0;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_2;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_4;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_6;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_8;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_10;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_12;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_14;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_16;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_18;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_20;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_22;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_24;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_26;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_28;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_30;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_32;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_34;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_36;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_38;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_40;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_42;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_44;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_46;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_48;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_50;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_52;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_54;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_56;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_58;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_60;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_62;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_64;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_66;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_68;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_70;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_72;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_74;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_76;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_78;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_80;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_82;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_84;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_86;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_88;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_90;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_92;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_94;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_96;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_98;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_100;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_102;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_104;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_106;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_108;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_110;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_112;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_114;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_116;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_118;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_121;
+   wire inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_122;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_0;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_2;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_4;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_6;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_8;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_10;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_12;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_14;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_16;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_18;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_20;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_22;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_24;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_26;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_28;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_30;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_32;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_34;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_36;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_38;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_40;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_42;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_44;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_0;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_2;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_4;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_6;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_8;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_10;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_12;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_14;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_16;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_18;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_20;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_22;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_24;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_26;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_28;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_30;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_32;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_34;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_36;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_38;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_40;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_42;
+   wire inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_44;
+   wire inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_n_0;
+   wire inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_n_2;
+   wire inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_n_4;
+   wire inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_n_6;
+   wire inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_n_8;
+   wire inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_n_10;
+   wire inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_n_12;
+   wire inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_n_14;
+   wire inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_n_16;
+   wire inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_n_18;
+   wire inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_n_20;
+   wire inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_n_22;
+   wire inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_n_24;
+   wire inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_n_26;
+   wire inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_n_28;
+   wire inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_n_30;
+   wire inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_n_32;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_0;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_2;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_4;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_6;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_8;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_10;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_12;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_14;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_16;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_18;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_20;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_22;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_24;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_26;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_28;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_30;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_32;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_34;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_36;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_38;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_40;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_42;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_44;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_46;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_48;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_50;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_52;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_54;
+   wire inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_56;
+   wire \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_n_0 ;
+   wire \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_n_2 ;
+   wire \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_n_4 ;
+   wire \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_n_6 ;
+   wire \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_n_8 ;
+   wire \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_n_10 ;
+   wire \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_n_12 ;
+   wire \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_n_14 ;
+   wire \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_n_16 ;
+   wire \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_n_18 ;
+   wire \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_n_20 ;
+   wire \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_n_22 ;
+   wire \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_n_24 ;
+   wire \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_n_26 ;
+   wire \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_n_28 ;
+   wire \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_n_30 ;
+   wire \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_n_32 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_0 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_2 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_4 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_6 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_8 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_10 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_12 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_14 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_16 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_18 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_20 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_22 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_24 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_26 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_28 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_30 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_32 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_34 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_36 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_38 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_40 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_42 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_44 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_46 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_48 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_50 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_53 ;
+   wire \inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_54 ;
+   wire inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_n_0;
+   wire inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_n_2;
+   wire inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_n_4;
+   wire inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_n_6;
+   wire inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_n_8;
+   wire inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_n_10;
+   wire inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_n_12;
+   wire inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_n_14;
+   wire inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_n_16;
+   wire inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_n_18;
+   wire inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_n_20;
+   wire inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_n_22;
+   wire inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_n_24;
+   wire inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_n_26;
+   wire inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_n_28;
+   wire inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_n_30;
+   wire inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_n_33;
+   wire inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_n_34;
+   wire logic_0_1_net;
+   wire logic_0_2_net;
+   wire logic_0_3_net;
+   wire logic_0_4_net;
+   wire logic_0_5_net;
+   wire logic_0_6_net;
+   wire logic_0_7_net;
+   wire logic_0_8_net;
+   wire logic_0_9_net;
+   wire logic_0_10_net;
+   wire logic_0_11_net;
+   wire logic_0_12_net;
+   wire logic_0_13_net;
+   wire logic_0_14_net;
+   wire logic_0_15_net;
+   wire logic_0_16_net;
+   wire logic_0_17_net;
+   wire logic_0_18_net;
+   wire logic_0_19_net;
+   wire logic_0_20_net;
+   wire logic_0_21_net;
+   wire logic_0_22_net;
+   wire logic_0_23_net;
+   wire logic_0_24_net;
+   wire logic_0_25_net;
+   wire logic_0_26_net;
+   wire logic_0_27_net;
+   wire logic_0_28_net;
+   wire logic_0_29_net;
+   wire logic_0_30_net;
+   wire logic_0_31_net;
+   wire logic_0_32_net;
+   wire logic_0_33_net;
+   wire logic_0_34_net;
+   wire logic_0_35_net;
+   wire logic_0_36_net;
+   wire logic_0_37_net;
+   wire logic_0_38_net;
+   wire logic_0_39_net;
+   wire logic_0_40_net;
+   wire logic_0_41_net;
+   wire logic_0_42_net;
+   wire logic_0_43_net;
+   wire logic_0_44_net;
+   wire logic_0_45_net;
+   wire logic_0_46_net;
+   wire logic_0_47_net;
+   wire logic_0_48_net;
+   wire logic_0_49_net;
+   wire logic_0_50_net;
+   wire logic_0_51_net;
+   wire logic_0_52_net;
+   wire logic_0_53_net;
+   wire logic_0_54_net;
+   wire logic_0_55_net;
+   wire logic_0_56_net;
+   wire logic_0_57_net;
+   wire logic_0_58_net;
+   wire logic_0_59_net;
+   wire logic_0_60_net;
+   wire logic_0_61_net;
+   wire logic_0_62_net;
+   wire logic_0_63_net;
+   wire logic_0_64_net;
+   wire lsu_axi_bvalid;
+   wire lsu_axi_wvalid;
+   wire n_0;
+   wire n_1;
+   wire n_2;
+   wire n_3;
+   wire n_4;
+   wire n_5;
+   wire n_6;
+   wire n_7;
+   wire n_8;
+   wire n_9;
+   wire n_10;
+   wire n_11;
+   wire n_12;
+   wire n_13;
+   wire n_14;
+   wire n_15;
+   wire n_16;
+   wire n_17;
+   wire n_18;
+   wire n_19;
+   wire n_20;
+   wire n_21;
+   wire n_22;
+   wire n_23;
+   wire n_24;
+   wire n_25;
+   wire n_26;
+   wire n_27;
+   wire n_28;
+   wire n_29;
+   wire n_30;
+   wire n_31;
+   wire n_32;
+   wire n_33;
+   wire n_34;
+   wire n_35;
+   wire n_36;
+   wire n_37;
+   wire n_38;
+   wire n_39;
+   wire n_40;
+   wire n_43;
+   wire n_44;
+   wire n_45;
+   wire n_46;
+   wire n_49;
+   wire n_50;
+   wire n_51;
+   wire n_52;
+   wire n_53;
+   wire n_56;
+   wire n_57;
+   wire n_58;
+   wire n_59;
+   wire n_60;
+   wire n_62;
+   wire n_63;
+   wire n_64;
+   wire n_65;
+   wire n_66;
+   wire n_67;
+   wire n_69;
+   wire n_70;
+   wire n_71;
+   wire n_72;
+   wire n_98;
+   wire n_100;
+   wire n_103;
+   wire n_104;
+   wire n_105;
+   wire n_106;
+   wire n_107;
+   wire n_108;
+   wire n_109;
+   wire n_110;
+   wire n_111;
+   wire n_112;
+   wire n_113;
+   wire n_114;
+   wire n_115;
+   wire n_116;
+   wire n_117;
+   wire n_118;
+   wire n_119;
+   wire n_120;
+   wire n_121;
+   wire n_122;
+   wire n_123;
+   wire n_124;
+   wire n_125;
+   wire n_126;
+   wire n_127;
+   wire n_128;
+   wire n_129;
+   wire n_130;
+   wire n_131;
+   wire n_132;
+   wire n_133;
+   wire n_134;
+   wire n_135;
+   wire n_136;
+   wire n_137;
+   wire n_138;
+   wire n_139;
+   wire n_140;
+   wire n_141;
+   wire n_142;
+   wire n_143;
+   wire n_144;
+   wire n_145;
+   wire n_146;
+   wire n_147;
+   wire n_148;
+   wire n_149;
+   wire n_150;
+   wire n_151;
+   wire n_152;
+   wire n_153;
+   wire n_154;
+   wire n_155;
+   wire n_156;
+   wire n_157;
+   wire n_158;
+   wire n_159;
+   wire n_160;
+   wire n_161;
+   wire n_162;
+   wire n_163;
+   wire n_164;
+   wire n_165;
+   wire n_166;
+   wire n_167;
+   wire n_196;
+   wire n_197;
+   wire n_198;
+   wire n_199;
+   wire n_200;
+   wire n_201;
+   wire n_202;
+   wire n_203;
+   wire n_204;
+   wire n_205;
+   wire n_206;
+   wire n_207;
+   wire n_208;
+   wire n_209;
+   wire n_210;
+   wire n_211;
+   wire n_212;
+   wire n_213;
+   wire n_214;
+   wire n_215;
+   wire n_216;
+   wire n_217;
+   wire n_219;
+   wire n_220;
+   wire n_221;
+   wire n_222;
+   wire n_223;
+   wire n_224;
+   wire n_225;
+   wire n_226;
+   wire n_227;
+   wire n_228;
+   wire n_229;
+   wire n_230;
+   wire n_231;
+   wire n_232;
+   wire n_233;
+   wire n_234;
+   wire n_235;
+   wire n_236;
+   wire n_237;
+   wire n_238;
+   wire n_239;
+   wire n_240;
+   wire n_241;
+   wire n_242;
+   wire n_243;
+   wire n_244;
+   wire n_245;
+   wire n_247;
+   wire n_248;
+   wire n_249;
+   wire n_250;
+   wire n_251;
+   wire n_252;
+   wire n_253;
+   wire n_254;
+   wire n_255;
+   wire n_256;
+   wire n_257;
+   wire n_258;
+   wire n_259;
+   wire n_260;
+   wire n_261;
+   wire n_262;
+   wire n_263;
+   wire n_264;
+   wire n_265;
+   wire n_266;
+   wire n_267;
+   wire n_268;
+   wire n_269;
+   wire n_270;
+   wire n_271;
+   wire n_272;
+   wire n_273;
+   wire n_274;
+   wire n_275;
+   wire n_276;
+   wire n_277;
+   wire n_278;
+   wire n_279;
+   wire n_280;
+   wire n_281;
+   wire n_282;
+   wire n_283;
+   wire n_284;
+   wire n_285;
+   wire n_286;
+   wire n_287;
+   wire n_288;
+   wire n_289;
+   wire n_290;
+   wire n_291;
+   wire n_292;
+   wire n_293;
+   wire n_294;
+   wire n_295;
+   wire n_296;
+   wire n_297;
+   wire n_298;
+   wire n_299;
+   wire n_300;
+   wire n_301;
+   wire n_302;
+   wire n_303;
+   wire n_304;
+   wire n_305;
+   wire n_306;
+   wire n_307;
+   wire n_308;
+   wire n_309;
+   wire n_310;
+   wire n_311;
+   wire n_312;
+   wire n_313;
+   wire n_315;
+   wire n_316;
+   wire n_317;
+   wire n_318;
+   wire n_319;
+   wire n_321;
+   wire n_322;
+   wire n_323;
+   wire n_325;
+   wire n_326;
+   wire n_327;
+   wire n_328;
+   wire n_329;
+   wire n_330;
+   wire n_331;
+   wire n_333;
+   wire n_334;
+   wire n_337;
+   wire n_338;
+   wire n_339;
+   wire n_340;
+   wire n_341;
+   wire n_342;
+   wire n_344;
+   wire n_345;
+   wire n_346;
+   wire n_347;
+   wire n_348;
+   wire n_349;
+   wire n_350;
+   wire n_351;
+   wire n_352;
+   wire n_353;
+   wire n_354;
+   wire n_355;
+   wire n_356;
+   wire n_357;
+   wire n_358;
+   wire n_359;
+   wire n_360;
+   wire n_361;
+   wire n_362;
+   wire n_363;
+   wire n_364;
+   wire n_365;
+   wire n_366;
+   wire n_367;
+   wire n_368;
+   wire n_369;
+   wire n_370;
+   wire n_371;
+   wire n_372;
+   wire n_373;
+   wire n_375;
+   wire n_376;
+   wire n_377;
+   wire n_378;
+   wire n_379;
+   wire n_380;
+   wire n_381;
+   wire n_382;
+   wire n_384;
+   wire n_385;
+   wire n_386;
+   wire n_387;
+   wire n_388;
+   wire n_389;
+   wire n_390;
+   wire n_391;
+   wire n_392;
+   wire n_393;
+   wire n_394;
+   wire n_395;
+   wire n_396;
+   wire n_397;
+   wire n_398;
+   wire n_399;
+   wire n_400;
+   wire n_401;
+   wire n_402;
+   wire n_403;
+   wire n_404;
+   wire n_405;
+   wire n_406;
+   wire n_407;
+   wire n_408;
+   wire n_409;
+   wire n_411;
+   wire n_412;
+   wire n_413;
+   wire n_414;
+   wire n_415;
+   wire n_416;
+   wire n_417;
+   wire n_418;
+   wire n_419;
+   wire n_420;
+   wire n_421;
+   wire n_422;
+   wire n_423;
+   wire n_424;
+   wire n_425;
+   wire n_426;
+   wire n_427;
+   wire n_428;
+   wire n_429;
+   wire n_430;
+   wire n_431;
+   wire n_432;
+   wire n_433;
+   wire n_434;
+   wire n_435;
+   wire n_436;
+   wire n_437;
+   wire n_438;
+   wire n_439;
+   wire n_440;
+   wire n_441;
+   wire n_442;
+   wire n_443;
+   wire n_444;
+   wire n_445;
+   wire n_446;
+   wire n_447;
+   wire n_448;
+   wire n_449;
+   wire n_450;
+   wire n_451;
+   wire n_452;
+   wire n_453;
+   wire n_454;
+   wire n_455;
+   wire n_456;
+   wire n_457;
+   wire n_458;
+   wire n_459;
+   wire n_460;
+   wire n_461;
+   wire n_462;
+   wire n_463;
+   wire n_464;
+   wire n_465;
+   wire n_467;
+   wire n_468;
+   wire n_470;
+   wire n_473;
+   wire n_474;
+   wire n_475;
+   wire n_476;
+   wire n_477;
+   wire n_478;
+   wire n_479;
+   wire n_481;
+   wire n_482;
+   wire n_483;
+   wire n_484;
+   wire n_485;
+   wire n_487;
+   wire n_488;
+   wire n_489;
+   wire n_490;
+   wire n_491;
+   wire n_492;
+   wire n_493;
+   wire n_494;
+   wire n_495;
+   wire n_496;
+   wire n_497;
+   wire n_498;
+   wire n_499;
+   wire n_500;
+   wire n_501;
+   wire n_503;
+   wire n_504;
+   wire n_505;
+   wire n_506;
+   wire n_507;
+   wire n_508;
+   wire n_509;
+   wire n_510;
+   wire n_511;
+   wire n_512;
+   wire n_513;
+   wire n_514;
+   wire n_515;
+   wire n_516;
+   wire n_517;
+   wire n_518;
+   wire n_519;
+   wire n_520;
+   wire n_521;
+   wire n_522;
+   wire n_523;
+   wire n_524;
+   wire n_525;
+   wire n_526;
+   wire n_527;
+   wire n_528;
+   wire n_529;
+   wire n_530;
+   wire n_531;
+   wire n_532;
+   wire n_533;
+   wire n_534;
+   wire n_535;
+   wire n_536;
+   wire n_537;
+   wire n_538;
+   wire n_539;
+   wire n_540;
+   wire n_541;
+   wire n_542;
+   wire n_543;
+   wire n_544;
+   wire n_545;
+   wire n_546;
+   wire n_547;
+   wire n_548;
+   wire n_549;
+   wire n_550;
+   wire n_551;
+   wire n_552;
+   wire n_553;
+   wire n_554;
+   wire n_555;
+   wire n_556;
+   wire n_557;
+   wire n_558;
+   wire n_559;
+   wire n_560;
+   wire n_561;
+   wire n_562;
+   wire n_563;
+   wire n_564;
+   wire n_565;
+   wire n_566;
+   wire n_567;
+   wire n_568;
+   wire n_569;
+   wire n_570;
+   wire n_571;
+   wire n_573;
+   wire n_574;
+   wire n_575;
+   wire n_576;
+   wire n_577;
+   wire n_578;
+   wire n_579;
+   wire n_581;
+   wire n_582;
+   wire n_583;
+   wire n_584;
+   wire n_585;
+   wire n_586;
+   wire n_587;
+   wire n_588;
+   wire n_590;
+   wire n_591;
+   wire n_592;
+   wire n_593;
+   wire n_594;
+   wire n_595;
+   wire n_596;
+   wire n_597;
+   wire n_598;
+   wire n_599;
+   wire n_600;
+   wire n_601;
+   wire n_602;
+   wire n_603;
+   wire n_604;
+   wire n_605;
+   wire n_606;
+   wire n_607;
+   wire n_608;
+   wire n_609;
+   wire n_610;
+   wire n_611;
+   wire n_612;
+   wire n_613;
+   wire n_614;
+   wire n_615;
+   wire n_616;
+   wire n_617;
+   wire n_618;
+   wire n_619;
+   wire n_620;
+   wire n_621;
+   wire n_622;
+   wire n_623;
+   wire n_624;
+   wire n_625;
+   wire n_626;
+   wire n_627;
+   wire n_628;
+   wire n_629;
+   wire n_630;
+   wire n_631;
+   wire n_632;
+   wire n_633;
+   wire n_634;
+   wire n_635;
+   wire n_636;
+   wire n_637;
+   wire n_638;
+   wire n_639;
+   wire n_640;
+   wire n_641;
+   wire n_642;
+   wire n_643;
+   wire n_644;
+   wire n_645;
+   wire n_646;
+   wire n_647;
+   wire n_648;
+   wire n_649;
+   wire n_650;
+   wire n_651;
+   wire n_652;
+   wire n_653;
+   wire n_654;
+   wire n_655;
+   wire n_656;
+   wire n_657;
+   wire n_658;
+   wire n_659;
+   wire n_660;
+   wire n_661;
+   wire n_662;
+   wire n_663;
+   wire n_664;
+   wire n_665;
+   wire n_666;
+   wire n_667;
+   wire n_668;
+   wire n_669;
+   wire n_670;
+   wire n_671;
+   wire n_672;
+   wire n_673;
+   wire n_674;
+   wire n_675;
+   wire n_676;
+   wire n_678;
+   wire n_679;
+   wire n_680;
+   wire n_681;
+   wire n_682;
+   wire n_683;
+   wire n_684;
+   wire n_685;
+   wire n_686;
+   wire n_687;
+   wire n_688;
+   wire n_689;
+   wire n_690;
+   wire n_691;
+   wire n_692;
+   wire n_693;
+   wire n_694;
+   wire n_695;
+   wire n_696;
+   wire n_697;
+   wire n_698;
+   wire n_699;
+   wire n_700;
+   wire n_701;
+   wire n_702;
+   wire n_703;
+   wire n_704;
+   wire n_705;
+   wire n_706;
+   wire n_707;
+   wire n_708;
+   wire n_709;
+   wire n_710;
+   wire n_711;
+   wire n_712;
+   wire n_713;
+   wire n_714;
+   wire n_715;
+   wire n_716;
+   wire n_717;
+   wire n_718;
+   wire n_719;
+   wire n_720;
+   wire n_721;
+   wire n_722;
+   wire n_723;
+   wire n_724;
+   wire n_725;
+   wire n_726;
+   wire n_727;
+   wire n_729;
+   wire n_730;
+   wire n_731;
+   wire n_735;
+   wire n_736;
+   wire n_737;
+   wire n_738;
+   wire n_739;
+   wire n_740;
+   wire n_741;
+   wire n_742;
+   wire n_744;
+   wire n_745;
+   wire n_746;
+   wire n_747;
+   wire n_748;
+   wire n_749;
+   wire n_750;
+   wire n_751;
+   wire n_752;
+   wire n_753;
+   wire n_754;
+   wire n_755;
+   wire n_756;
+   wire n_757;
+   wire n_758;
+   wire n_759;
+   wire n_760;
+   wire n_761;
+   wire n_762;
+   wire n_763;
+   wire n_764;
+   wire n_765;
+   wire n_766;
+   wire n_767;
+   wire n_768;
+   wire n_769;
+   wire n_770;
+   wire n_771;
+   wire n_772;
+   wire n_773;
+   wire n_774;
+   wire n_775;
+   wire n_776;
+   wire n_777;
+   wire n_778;
+   wire n_779;
+   wire n_780;
+   wire n_781;
+   wire n_782;
+   wire n_783;
+   wire n_784;
+   wire n_785;
+   wire n_786;
+   wire n_787;
+   wire n_788;
+   wire n_789;
+   wire n_790;
+   wire n_791;
+   wire n_792;
+   wire n_793;
+   wire n_794;
+   wire n_795;
+   wire n_796;
+   wire n_797;
+   wire n_798;
+   wire n_799;
+   wire n_800;
+   wire n_801;
+   wire n_802;
+   wire n_803;
+   wire n_804;
+   wire n_805;
+   wire n_806;
+   wire n_807;
+   wire n_808;
+   wire n_809;
+   wire n_810;
+   wire n_811;
+   wire n_812;
+   wire n_813;
+   wire n_814;
+   wire n_815;
+   wire n_816;
+   wire n_817;
+   wire n_818;
+   wire n_819;
+   wire n_820;
+   wire n_821;
+   wire n_822;
+   wire n_823;
+   wire n_824;
+   wire n_825;
+   wire n_826;
+   wire n_827;
+   wire n_828;
+   wire n_829;
+   wire n_830;
+   wire n_831;
+   wire n_832;
+   wire n_833;
+   wire n_834;
+   wire n_835;
+   wire n_836;
+   wire n_837;
+   wire n_838;
+   wire n_839;
+   wire n_840;
+   wire n_841;
+   wire n_842;
+   wire n_843;
+   wire n_844;
+   wire n_845;
+   wire n_846;
+   wire n_847;
+   wire n_848;
+   wire n_849;
+   wire n_850;
+   wire n_851;
+   wire n_852;
+   wire n_853;
+   wire n_854;
+   wire n_855;
+   wire n_856;
+   wire n_857;
+   wire n_858;
+   wire n_859;
+   wire n_860;
+   wire n_861;
+   wire n_862;
+   wire n_863;
+   wire n_864;
+   wire n_865;
+   wire n_866;
+   wire n_867;
+   wire n_868;
+   wire n_869;
+   wire n_870;
+   wire n_871;
+   wire n_872;
+   wire n_873;
+   wire n_874;
+   wire n_875;
+   wire n_876;
+   wire n_877;
+   wire n_878;
+   wire n_879;
+   wire n_880;
+   wire n_881;
+   wire n_882;
+   wire n_883;
+   wire n_884;
+   wire n_885;
+   wire n_886;
+   wire n_887;
+   wire n_888;
+   wire n_889;
+   wire n_890;
+   wire n_891;
+   wire n_892;
+   wire n_893;
+   wire n_894;
+   wire n_895;
+   wire n_896;
+   wire n_897;
+   wire n_898;
+   wire n_899;
+   wire n_900;
+   wire n_901;
+   wire n_902;
+   wire n_903;
+   wire n_904;
+   wire n_905;
+   wire n_906;
+   wire n_907;
+   wire n_908;
+   wire n_909;
+   wire n_910;
+   wire n_911;
+   wire n_912;
+   wire n_913;
+   wire n_914;
+   wire n_915;
+   wire n_916;
+   wire n_917;
+   wire n_918;
+   wire n_919;
+   wire n_920;
+   wire n_921;
+   wire n_922;
+   wire n_923;
+   wire n_924;
+   wire n_925;
+   wire n_926;
+   wire n_927;
+   wire n_928;
+   wire n_929;
+   wire n_930;
+   wire n_931;
+   wire n_932;
+   wire n_933;
+   wire n_934;
+   wire n_935;
+   wire n_936;
+   wire n_937;
+   wire n_938;
+   wire n_939;
+   wire n_940;
+   wire n_941;
+   wire n_942;
+   wire n_943;
+   wire n_944;
+   wire n_945;
+   wire n_946;
+   wire n_947;
+   wire n_948;
+   wire n_949;
+   wire n_950;
+   wire n_951;
+   wire n_952;
+   wire n_953;
+   wire n_954;
+   wire n_955;
+   wire n_956;
+   wire n_957;
+   wire n_958;
+   wire n_959;
+   wire n_960;
+   wire n_961;
+   wire n_962;
+   wire n_963;
+   wire n_964;
+   wire n_965;
+   wire n_966;
+   wire n_967;
+   wire n_968;
+   wire n_969;
+   wire n_970;
+   wire n_971;
+   wire n_972;
+   wire n_973;
+   wire n_974;
+   wire n_975;
+   wire n_976;
+   wire n_977;
+   wire n_978;
+   wire n_979;
+   wire n_980;
+   wire n_981;
+   wire n_982;
+   wire n_983;
+   wire n_984;
+   wire n_985;
+   wire n_986;
+   wire n_987;
+   wire n_988;
+   wire n_989;
+   wire n_990;
+   wire n_991;
+   wire n_992;
+   wire n_993;
+   wire n_994;
+   wire n_995;
+   wire n_996;
+   wire n_997;
+   wire n_998;
+   wire n_999;
+   wire n_1000;
+   wire n_1001;
+   wire n_1002;
+   wire n_1003;
+   wire n_1004;
+   wire n_1005;
+   wire n_1006;
+   wire n_1007;
+   wire n_1008;
+   wire n_1009;
+   wire n_1010;
+   wire n_1011;
+   wire n_1012;
+   wire n_1013;
+   wire n_1014;
+   wire n_1015;
+   wire n_1016;
+   wire n_1017;
+   wire n_1018;
+   wire n_1019;
+   wire n_1020;
+   wire n_1021;
+   wire n_1022;
+   wire n_1023;
+   wire n_1024;
+   wire n_1025;
+   wire n_1026;
+   wire n_1027;
+   wire n_1028;
+   wire n_1029;
+   wire n_1030;
+   wire n_1031;
+   wire n_1032;
+   wire n_1033;
+   wire n_1034;
+   wire n_1035;
+   wire n_1036;
+   wire n_1037;
+   wire n_1038;
+   wire n_1039;
+   wire n_1040;
+   wire n_1041;
+   wire n_1042;
+   wire n_1043;
+   wire n_1044;
+   wire n_1045;
+   wire n_1046;
+   wire n_1047;
+   wire n_1048;
+   wire n_1049;
+   wire n_1050;
+   wire n_1051;
+   wire n_1052;
+   wire n_1053;
+   wire n_1054;
+   wire n_1055;
+   wire n_1056;
+   wire n_1057;
+   wire n_1058;
+   wire n_1059;
+   wire n_1060;
+   wire n_1061;
+   wire n_1062;
+   wire n_1063;
+   wire n_1064;
+   wire n_1065;
+   wire n_1066;
+   wire n_1067;
+   wire n_1068;
+   wire n_1069;
+   wire n_1070;
+   wire n_1071;
+   wire n_1072;
+   wire n_1073;
+   wire n_1074;
+   wire n_1075;
+   wire n_1076;
+   wire n_1077;
+   wire n_1078;
+   wire n_1079;
+   wire n_1080;
+   wire n_1081;
+   wire n_1082;
+   wire n_1083;
+   wire n_1084;
+   wire n_1085;
+   wire n_1086;
+   wire n_1087;
+   wire n_1088;
+   wire n_1089;
+   wire n_1090;
+   wire n_1091;
+   wire n_1092;
+   wire n_1093;
+   wire n_1094;
+   wire n_1095;
+   wire n_1096;
+   wire n_1097;
+   wire n_1098;
+   wire n_1099;
+   wire n_1100;
+   wire n_1101;
+   wire n_1102;
+   wire n_1103;
+   wire n_1104;
+   wire n_1105;
+   wire n_1106;
+   wire n_1107;
+   wire n_1108;
+   wire n_1109;
+   wire n_1110;
+   wire n_1111;
+   wire n_1112;
+   wire n_1114;
+   wire n_1115;
+   wire n_1116;
+   wire n_1117;
+   wire n_1118;
+   wire n_1119;
+   wire n_1120;
+   wire n_1121;
+   wire n_1122;
+   wire n_1123;
+   wire n_1124;
+   wire n_1125;
+   wire n_1126;
+   wire n_1127;
+   wire n_1128;
+   wire n_1129;
+   wire n_1130;
+   wire n_1131;
+   wire n_1132;
+   wire n_1133;
+   wire n_1134;
+   wire n_1135;
+   wire n_1136;
+   wire n_1137;
+   wire n_1138;
+   wire n_1139;
+   wire n_1140;
+   wire n_1141;
+   wire n_1142;
+   wire n_1143;
+   wire n_1144;
+   wire n_1145;
+   wire n_1146;
+   wire n_1147;
+   wire n_1148;
+   wire n_1149;
+   wire n_1150;
+   wire n_1151;
+   wire n_1152;
+   wire n_1153;
+   wire n_1154;
+   wire n_1155;
+   wire n_1156;
+   wire n_1157;
+   wire n_1158;
+   wire n_1159;
+   wire n_1160;
+   wire n_1161;
+   wire n_1162;
+   wire n_1163;
+   wire n_1164;
+   wire n_1165;
+   wire n_1166;
+   wire n_1167;
+   wire n_1168;
+   wire n_1169;
+   wire n_1170;
+   wire n_1171;
+   wire n_1172;
+   wire n_1173;
+   wire n_1174;
+   wire n_1175;
+   wire n_1176;
+   wire n_1177;
+   wire n_1178;
+   wire n_1179;
+   wire n_1180;
+   wire n_1181;
+   wire n_1182;
+   wire n_1183;
+   wire n_1184;
+   wire n_1185;
+   wire n_1186;
+   wire n_1187;
+   wire n_1188;
+   wire n_1189;
+   wire n_1190;
+   wire n_1191;
+   wire n_1192;
+   wire n_1193;
+   wire n_1194;
+   wire n_1195;
+   wire n_1196;
+   wire n_1197;
+   wire n_1198;
+   wire n_1199;
+   wire n_1200;
+   wire n_1201;
+   wire n_1202;
+   wire n_1203;
+   wire n_1204;
+   wire n_1205;
+   wire n_1206;
+   wire n_1207;
+   wire n_1208;
+   wire n_1209;
+   wire n_1210;
+   wire n_1211;
+   wire n_1212;
+   wire n_1213;
+   wire n_1214;
+   wire n_1215;
+   wire n_1216;
+   wire n_1217;
+   wire n_1218;
+   wire n_1219;
+   wire n_1220;
+   wire n_1221;
+   wire n_1222;
+   wire n_1223;
+   wire n_1224;
+   wire n_1225;
+   wire n_1226;
+   wire n_1227;
+   wire n_1228;
+   wire n_1229;
+   wire n_1230;
+   wire n_1231;
+   wire n_1232;
+   wire n_1233;
+   wire n_1234;
+   wire n_1235;
+   wire n_1236;
+   wire n_1237;
+   wire n_1238;
+   wire n_1239;
+   wire n_1240;
+   wire n_1241;
+   wire n_1242;
+   wire n_1243;
+   wire n_1244;
+   wire n_1245;
+   wire n_1246;
+   wire n_1247;
+   wire n_1248;
+   wire n_1249;
+   wire n_1250;
+   wire n_1251;
+   wire n_1252;
+   wire n_1253;
+   wire n_1254;
+   wire n_1255;
+   wire n_1256;
+   wire n_1257;
+   wire n_1258;
+   wire n_1259;
+   wire n_1260;
+   wire n_1261;
+   wire n_1262;
+   wire n_1263;
+   wire n_1264;
+   wire n_1265;
+   wire n_1266;
+   wire n_1267;
+   wire n_1268;
+   wire n_1269;
+   wire n_1270;
+   wire n_1271;
+   wire n_1272;
+   wire n_1273;
+   wire n_1274;
+   wire n_1275;
+   wire n_1276;
+   wire n_1277;
+   wire n_1278;
+   wire n_1279;
+   wire n_1281;
+   wire n_1282;
+   wire n_1283;
+   wire n_1284;
+   wire n_1285;
+   wire n_1286;
+   wire n_1287;
+   wire n_1288;
+   wire n_1289;
+   wire n_1290;
+   wire n_1291;
+   wire n_1293;
+   wire n_1294;
+   wire n_1295;
+   wire n_1296;
+   wire n_1297;
+   wire n_1298;
+   wire n_1299;
+   wire n_1300;
+   wire n_1302;
+   wire n_1303;
+   wire n_1304;
+   wire n_1306;
+   wire n_1307;
+   wire n_1308;
+   wire n_1309;
+   wire n_1310;
+   wire n_1311;
+   wire n_1312;
+   wire n_1313;
+   wire n_1314;
+   wire n_1315;
+   wire n_1316;
+   wire n_1317;
+   wire n_1318;
+   wire n_1319;
+   wire n_1320;
+   wire n_1321;
+   wire n_1322;
+   wire n_1323;
+   wire n_1324;
+   wire n_1325;
+   wire n_1326;
+   wire n_1327;
+   wire n_1328;
+   wire n_1329;
+   wire n_1330;
+   wire n_1331;
+   wire n_1332;
+   wire n_1333;
+   wire n_1334;
+   wire n_1335;
+   wire n_1336;
+   wire n_1337;
+   wire n_1338;
+   wire n_1339;
+   wire n_1340;
+   wire n_1341;
+   wire n_1342;
+   wire n_1343;
+   wire n_1344;
+   wire n_1345;
+   wire n_1346;
+   wire n_1347;
+   wire n_1348;
+   wire n_1349;
+   wire n_1350;
+   wire n_1351;
+   wire n_1352;
+   wire n_1353;
+   wire n_1354;
+   wire n_1355;
+   wire n_1356;
+   wire n_1357;
+   wire n_1358;
+   wire n_1359;
+   wire n_1360;
+   wire n_1361;
+   wire n_1362;
+   wire n_1363;
+   wire n_1364;
+   wire n_1365;
+   wire n_1366;
+   wire n_1367;
+   wire n_1368;
+   wire n_1369;
+   wire n_1370;
+   wire n_1371;
+   wire n_1372;
+   wire n_1373;
+   wire n_1374;
+   wire n_1375;
+   wire n_1376;
+   wire n_1377;
+   wire n_1378;
+   wire n_1379;
+   wire n_1380;
+   wire n_1381;
+   wire n_1382;
+   wire n_1383;
+   wire n_1384;
+   wire n_1385;
+   wire n_1386;
+   wire n_1387;
+   wire n_1388;
+   wire n_1389;
+   wire n_1390;
+   wire n_1391;
+   wire n_1392;
+   wire n_1393;
+   wire n_1394;
+   wire n_1395;
+   wire n_1396;
+   wire n_1397;
+   wire n_1398;
+   wire n_1399;
+   wire n_1400;
+   wire n_1401;
+   wire n_1402;
+   wire n_1403;
+   wire n_1404;
+   wire n_1405;
+   wire n_1406;
+   wire n_1407;
+   wire n_1408;
+   wire n_1409;
+   wire n_1410;
+   wire n_1411;
+   wire n_1412;
+   wire n_1413;
+   wire n_1414;
+   wire n_1415;
+   wire n_1416;
+   wire n_1417;
+   wire n_1418;
+   wire n_1419;
+   wire n_1420;
+   wire n_1421;
+   wire n_1422;
+   wire n_1423;
+   wire n_1424;
+   wire n_1425;
+   wire n_1426;
+   wire n_1427;
+   wire n_1428;
+   wire n_1429;
+   wire n_1430;
+   wire n_1431;
+   wire n_1432;
+   wire n_1433;
+   wire n_1434;
+   wire n_1435;
+   wire n_1436;
+   wire n_1437;
+   wire n_1438;
+   wire n_1439;
+   wire n_1440;
+   wire n_1441;
+   wire n_1442;
+   wire n_1443;
+   wire n_1444;
+   wire n_1445;
+   wire n_1446;
+   wire n_1447;
+   wire n_1448;
+   wire n_1449;
+   wire n_1450;
+   wire n_1451;
+   wire n_1452;
+   wire n_1453;
+   wire n_1454;
+   wire n_1455;
+   wire n_1456;
+   wire n_1457;
+   wire n_1458;
+   wire n_1459;
+   wire n_1460;
+   wire n_1461;
+   wire n_1462;
+   wire n_1463;
+   wire n_1464;
+   wire n_1465;
+   wire n_1466;
+   wire n_1467;
+   wire n_1468;
+   wire n_1469;
+   wire n_1470;
+   wire n_1471;
+   wire n_1472;
+   wire n_1473;
+   wire n_1474;
+   wire n_1475;
+   wire n_1476;
+   wire n_1477;
+   wire n_1478;
+   wire n_1479;
+   wire n_1480;
+   wire n_1481;
+   wire n_1482;
+   wire n_1483;
+   wire n_1484;
+   wire n_1485;
+   wire n_1486;
+   wire n_1487;
+   wire n_1488;
+   wire n_1489;
+   wire n_1490;
+   wire n_1491;
+   wire n_1492;
+   wire n_1493;
+   wire n_1494;
+   wire n_1495;
+   wire n_1496;
+   wire n_1497;
+   wire n_1498;
+   wire n_1499;
+   wire n_1500;
+   wire n_1501;
+   wire n_1502;
+   wire n_1503;
+   wire n_1504;
+   wire n_1505;
+   wire n_1506;
+   wire n_1507;
+   wire n_1508;
+   wire n_1509;
+   wire n_1510;
+   wire n_1511;
+   wire n_1512;
+   wire n_1513;
+   wire n_1514;
+   wire n_1515;
+   wire n_1516;
+   wire n_1517;
+   wire n_1518;
+   wire n_1519;
+   wire n_1520;
+   wire n_1521;
+   wire n_1522;
+   wire n_1523;
+   wire n_1524;
+   wire n_1525;
+   wire n_1526;
+   wire n_1527;
+   wire n_1528;
+   wire n_1529;
+   wire n_1530;
+   wire n_1531;
+   wire n_1532;
+   wire n_1533;
+   wire n_1534;
+   wire n_1535;
+   wire n_1536;
+   wire n_1537;
+   wire n_1538;
+   wire n_1539;
+   wire n_1540;
+   wire n_1541;
+   wire n_1542;
+   wire n_1543;
+   wire n_1544;
+   wire n_1545;
+   wire n_1546;
+   wire n_1547;
+   wire n_1548;
+   wire n_1549;
+   wire n_1550;
+   wire n_1551;
+   wire n_1552;
+   wire n_1553;
+   wire n_1554;
+   wire n_1555;
+   wire n_1556;
+   wire n_1557;
+   wire n_1558;
+   wire n_1559;
+   wire n_1560;
+   wire n_1561;
+   wire n_1562;
+   wire n_1563;
+   wire n_1564;
+   wire n_1565;
+   wire n_1566;
+   wire n_1567;
+   wire n_1568;
+   wire n_1569;
+   wire n_1570;
+   wire n_1571;
+   wire n_1572;
+   wire n_1573;
+   wire n_1574;
+   wire n_1575;
+   wire n_1576;
+   wire n_1577;
+   wire n_1578;
+   wire n_1579;
+   wire n_1580;
+   wire n_1581;
+   wire n_1582;
+   wire n_1583;
+   wire n_1584;
+   wire n_1585;
+   wire n_1586;
+   wire n_1587;
+   wire n_1588;
+   wire n_1589;
+   wire n_1590;
+   wire n_1591;
+   wire n_1592;
+   wire n_1593;
+   wire n_1594;
+   wire n_1595;
+   wire n_1596;
+   wire n_1597;
+   wire n_1598;
+   wire n_1599;
+   wire n_1600;
+   wire n_1601;
+   wire n_1602;
+   wire n_1603;
+   wire n_1604;
+   wire n_1605;
+   wire n_1606;
+   wire n_1607;
+   wire n_1608;
+   wire n_1609;
+   wire n_1610;
+   wire n_1611;
+   wire n_1612;
+   wire n_1613;
+   wire n_1614;
+   wire n_1615;
+   wire n_1616;
+   wire n_1617;
+   wire n_1618;
+   wire n_1619;
+   wire n_1620;
+   wire n_1621;
+   wire n_1622;
+   wire n_1623;
+   wire n_1624;
+   wire n_1625;
+   wire n_1626;
+   wire n_1627;
+   wire n_1628;
+   wire n_1629;
+   wire n_1630;
+   wire n_1631;
+   wire n_1632;
+   wire n_1633;
+   wire n_1634;
+   wire n_1635;
+   wire n_1636;
+   wire n_1637;
+   wire n_1638;
+   wire n_1639;
+   wire n_1640;
+   wire n_1641;
+   wire n_1642;
+   wire n_1643;
+   wire n_1644;
+   wire n_1645;
+   wire n_1646;
+   wire n_1647;
+   wire n_1648;
+   wire n_1649;
+   wire n_1650;
+   wire n_1651;
+   wire n_1652;
+   wire n_1653;
+   wire n_1654;
+   wire n_1655;
+   wire n_1656;
+   wire n_1657;
+   wire n_1658;
+   wire n_1659;
+   wire n_1660;
+   wire n_1661;
+   wire n_1662;
+   wire n_1663;
+   wire n_1664;
+   wire n_1665;
+   wire n_1666;
+   wire n_1667;
+   wire n_1668;
+   wire n_1669;
+   wire n_1670;
+   wire n_1671;
+   wire n_1672;
+   wire n_1673;
+   wire n_1674;
+   wire n_1675;
+   wire n_1676;
+   wire n_1677;
+   wire n_1678;
+   wire n_1679;
+   wire n_1680;
+   wire n_1681;
+   wire n_1682;
+   wire n_1683;
+   wire n_1684;
+   wire n_1685;
+   wire n_1686;
+   wire n_1687;
+   wire n_1688;
+   wire n_1689;
+   wire n_1690;
+   wire n_1691;
+   wire n_1692;
+   wire n_1693;
+   wire n_1694;
+   wire n_1695;
+   wire n_1696;
+   wire n_1697;
+   wire n_1698;
+   wire n_1699;
+   wire n_1700;
+   wire n_1701;
+   wire n_1702;
+   wire n_1703;
+   wire n_1704;
+   wire n_1705;
+   wire n_1706;
+   wire n_1707;
+   wire n_1708;
+   wire n_1709;
+   wire n_1710;
+   wire n_1711;
+   wire n_1712;
+   wire n_1713;
+   wire n_1714;
+   wire n_1715;
+   wire n_1716;
+   wire n_1717;
+   wire n_1718;
+   wire n_1719;
+   wire n_1720;
+   wire n_1721;
+   wire n_1722;
+   wire n_1723;
+   wire n_1724;
+   wire n_1725;
+   wire n_1726;
+   wire n_1727;
+   wire n_1728;
+   wire n_1729;
+   wire n_1730;
+   wire n_1731;
+   wire n_1732;
+   wire n_1733;
+   wire n_1734;
+   wire n_1735;
+   wire n_1736;
+   wire n_1737;
+   wire n_1738;
+   wire n_1739;
+   wire n_1740;
+   wire n_1741;
+   wire n_1742;
+   wire n_1743;
+   wire n_1744;
+   wire n_1745;
+   wire n_1746;
+   wire n_1747;
+   wire n_1748;
+   wire n_1749;
+   wire n_1750;
+   wire n_1751;
+   wire n_1752;
+   wire n_1753;
+   wire n_1754;
+   wire n_1755;
+   wire n_1756;
+   wire n_1757;
+   wire n_1758;
+   wire n_1759;
+   wire n_1760;
+   wire n_1761;
+   wire n_1762;
+   wire n_1763;
+   wire n_1764;
+   wire n_1765;
+   wire n_1766;
+   wire n_1767;
+   wire n_1768;
+   wire n_1769;
+   wire n_1770;
+   wire n_1771;
+   wire n_1772;
+   wire n_1773;
+   wire n_1774;
+   wire n_1775;
+   wire n_1776;
+   wire n_1777;
+   wire n_1778;
+   wire n_1779;
+   wire n_1780;
+   wire n_1781;
+   wire n_1782;
+   wire n_1783;
+   wire n_1784;
+   wire n_1785;
+   wire n_1786;
+   wire n_1787;
+   wire n_1788;
+   wire n_1789;
+   wire n_1790;
+   wire n_1791;
+   wire n_1792;
+   wire n_1793;
+   wire n_1794;
+   wire n_1795;
+   wire n_1796;
+   wire n_1797;
+   wire n_1798;
+   wire n_1799;
+   wire n_1800;
+   wire n_1801;
+   wire n_1802;
+   wire n_1803;
+   wire n_1804;
+   wire n_1805;
+   wire n_1806;
+   wire n_1807;
+   wire n_1808;
+   wire n_1809;
+   wire n_1810;
+   wire n_1811;
+   wire n_1812;
+   wire n_1813;
+   wire n_1814;
+   wire n_1815;
+   wire n_1816;
+   wire n_1817;
+   wire n_1818;
+   wire n_1819;
+   wire n_1820;
+   wire n_1821;
+   wire n_1822;
+   wire n_1823;
+   wire n_1824;
+   wire n_1825;
+   wire n_1826;
+   wire n_1827;
+   wire n_1828;
+   wire n_1829;
+   wire n_1830;
+   wire n_1831;
+   wire n_1832;
+   wire n_1833;
+   wire n_1834;
+   wire n_1835;
+   wire n_1836;
+   wire n_1837;
+   wire n_1838;
+   wire n_1839;
+   wire n_1840;
+   wire n_1841;
+   wire n_1842;
+   wire n_1843;
+   wire n_1844;
+   wire n_1845;
+   wire n_1846;
+   wire n_1847;
+   wire n_1848;
+   wire n_1849;
+   wire n_1850;
+   wire n_1851;
+   wire n_1852;
+   wire n_1853;
+   wire n_1854;
+   wire n_1855;
+   wire n_1856;
+   wire n_1857;
+   wire n_1858;
+   wire n_1859;
+   wire n_1860;
+   wire n_1861;
+   wire n_1862;
+   wire n_1863;
+   wire n_1864;
+   wire n_1865;
+   wire n_1866;
+   wire n_1867;
+   wire n_1868;
+   wire n_1869;
+   wire n_1870;
+   wire n_1871;
+   wire n_1872;
+   wire n_1873;
+   wire n_1874;
+   wire n_1875;
+   wire n_1876;
+   wire n_1877;
+   wire n_1878;
+   wire n_1879;
+   wire n_1881;
+   wire n_1882;
+   wire n_1883;
+   wire n_1884;
+   wire n_1885;
+   wire n_1886;
+   wire n_1887;
+   wire n_1888;
+   wire n_1889;
+   wire n_1890;
+   wire n_1891;
+   wire n_1892;
+   wire n_1893;
+   wire n_1894;
+   wire n_1895;
+   wire n_1896;
+   wire n_1897;
+   wire n_1898;
+   wire n_1899;
+   wire n_1900;
+   wire n_1901;
+   wire n_1902;
+   wire n_1903;
+   wire n_1904;
+   wire n_1905;
+   wire n_1906;
+   wire n_1907;
+   wire n_1908;
+   wire n_1909;
+   wire n_1910;
+   wire n_1911;
+   wire n_1912;
+   wire n_1913;
+   wire n_1914;
+   wire n_1915;
+   wire n_1916;
+   wire n_1917;
+   wire n_1918;
+   wire n_1919;
+   wire n_1920;
+   wire n_1921;
+   wire n_1922;
+   wire n_1923;
+   wire n_1924;
+   wire n_1925;
+   wire n_1926;
+   wire n_1927;
+   wire n_1928;
+   wire n_1929;
+   wire n_1930;
+   wire n_1931;
+   wire n_1932;
+   wire n_1933;
+   wire n_1934;
+   wire n_1935;
+   wire n_1936;
+   wire n_1937;
+   wire n_1938;
+   wire n_1939;
+   wire n_1940;
+   wire n_1941;
+   wire n_1942;
+   wire n_1943;
+   wire n_1944;
+   wire n_1945;
+   wire n_1946;
+   wire n_1947;
+   wire n_1948;
+   wire n_1949;
+   wire n_1950;
+   wire n_1951;
+   wire n_1952;
+   wire n_1953;
+   wire n_1954;
+   wire n_1955;
+   wire n_1956;
+   wire n_1957;
+   wire n_1958;
+   wire n_1959;
+   wire n_1960;
+   wire n_1961;
+   wire n_1962;
+   wire n_1963;
+   wire n_1964;
+   wire n_1965;
+   wire n_1966;
+   wire n_1967;
+   wire n_1968;
+   wire n_1969;
+   wire n_1970;
+   wire n_1971;
+   wire n_1972;
+   wire n_1973;
+   wire n_1974;
+   wire n_1975;
+   wire n_1976;
+   wire n_1977;
+   wire n_1978;
+   wire n_1979;
+   wire n_1980;
+   wire n_1981;
+   wire n_1982;
+   wire n_1983;
+   wire n_1984;
+   wire n_1985;
+   wire n_1986;
+   wire n_1987;
+   wire n_1988;
+   wire n_1989;
+   wire n_1990;
+   wire n_1991;
+   wire n_1992;
+   wire n_1993;
+   wire n_1994;
+   wire n_1995;
+   wire n_1996;
+   wire n_1997;
+   wire n_1998;
+   wire n_1999;
+   wire n_2000;
+   wire n_2001;
+   wire n_2002;
+   wire n_2003;
+   wire n_2004;
+   wire n_2005;
+   wire n_2006;
+   wire n_2007;
+   wire n_2008;
+   wire n_2009;
+   wire n_2010;
+   wire n_2011;
+   wire n_2012;
+   wire n_2013;
+   wire n_2014;
+   wire n_2015;
+   wire n_2016;
+   wire n_2017;
+   wire n_2018;
+   wire n_2019;
+   wire n_2020;
+   wire n_2021;
+   wire n_2022;
+   wire n_2023;
+   wire n_2024;
+   wire n_2025;
+   wire n_2026;
+   wire n_2027;
+   wire n_2028;
+   wire n_2029;
+   wire n_2030;
+   wire n_2031;
+   wire n_2032;
+   wire n_2033;
+   wire n_2034;
+   wire n_2035;
+   wire n_2036;
+   wire n_2037;
+   wire n_2038;
+   wire n_2039;
+   wire n_2040;
+   wire n_2041;
+   wire n_2042;
+   wire n_2043;
+   wire n_2044;
+   wire n_2045;
+   wire n_2046;
+   wire n_2047;
+   wire n_2048;
+   wire n_2049;
+   wire n_2050;
+   wire n_2051;
+   wire n_2052;
+   wire n_2053;
+   wire n_2054;
+   wire n_2055;
+   wire n_2056;
+   wire n_2057;
+   wire n_2058;
+   wire n_2059;
+   wire n_2060;
+   wire n_2061;
+   wire n_2062;
+   wire n_2063;
+   wire n_2064;
+   wire n_2065;
+   wire n_2066;
+   wire n_2067;
+   wire n_2068;
+   wire n_2069;
+   wire n_2070;
+   wire n_2071;
+   wire n_2072;
+   wire n_2073;
+   wire n_2074;
+   wire n_2075;
+   wire n_2076;
+   wire n_2077;
+   wire n_2078;
+   wire n_2079;
+   wire n_2080;
+   wire n_2081;
+   wire n_2082;
+   wire n_2083;
+   wire n_2084;
+   wire n_2085;
+   wire n_2086;
+   wire n_2087;
+   wire n_2088;
+   wire n_2089;
+   wire n_2090;
+   wire n_2091;
+   wire n_2092;
+   wire n_2093;
+   wire n_2094;
+   wire n_2095;
+   wire n_2096;
+   wire n_2097;
+   wire n_2098;
+   wire n_2099;
+   wire n_2100;
+   wire n_2101;
+   wire n_2102;
+   wire n_2103;
+   wire n_2104;
+   wire n_2105;
+   wire n_2106;
+   wire n_2107;
+   wire n_2108;
+   wire n_2109;
+   wire n_2110;
+   wire n_2111;
+   wire n_2112;
+   wire n_2113;
+   wire n_2114;
+   wire n_2115;
+   wire n_2116;
+   wire n_2117;
+   wire n_2118;
+   wire n_2119;
+   wire n_2120;
+   wire n_2121;
+   wire n_2122;
+   wire n_2123;
+   wire n_2124;
+   wire n_2125;
+   wire n_2126;
+   wire n_2127;
+   wire n_2128;
+   wire n_2129;
+   wire n_2130;
+   wire n_2131;
+   wire n_2132;
+   wire n_2133;
+   wire n_2134;
+   wire n_2135;
+   wire n_2136;
+   wire n_2137;
+   wire n_2138;
+   wire n_2139;
+   wire n_2140;
+   wire n_2141;
+   wire n_2142;
+   wire n_2143;
+   wire n_2144;
+   wire n_2145;
+   wire n_2146;
+   wire n_2147;
+   wire n_2148;
+   wire n_2149;
+   wire n_2150;
+   wire n_2151;
+   wire n_2152;
+   wire n_2153;
+   wire n_2154;
+   wire n_2155;
+   wire n_2156;
+   wire n_2157;
+   wire n_2158;
+   wire n_2159;
+   wire n_2160;
+   wire n_2161;
+   wire n_2162;
+   wire n_2163;
+   wire n_2164;
+   wire n_2165;
+   wire n_2166;
+   wire n_2167;
+   wire n_2168;
+   wire n_2169;
+   wire n_2170;
+   wire n_2171;
+   wire n_2172;
+   wire n_2173;
+   wire n_2174;
+   wire n_2175;
+   wire n_2176;
+   wire n_2177;
+   wire n_2178;
+   wire n_2179;
+   wire n_2180;
+   wire n_2181;
+   wire n_2182;
+   wire n_2183;
+   wire n_2184;
+   wire n_2185;
+   wire n_2186;
+   wire n_2187;
+   wire n_2188;
+   wire n_2189;
+   wire n_2190;
+   wire n_2191;
+   wire n_2192;
+   wire n_2193;
+   wire n_2194;
+   wire n_2195;
+   wire n_2196;
+   wire n_2197;
+   wire n_2198;
+   wire n_2199;
+   wire n_2200;
+   wire n_2201;
+   wire n_2202;
+   wire n_2203;
+   wire n_2204;
+   wire n_2205;
+   wire n_2206;
+   wire n_2207;
+   wire n_2208;
+   wire n_2209;
+   wire n_2210;
+   wire n_2211;
+   wire n_2212;
+   wire n_2213;
+   wire n_2214;
+   wire n_2215;
+   wire n_2216;
+   wire n_2217;
+   wire n_2218;
+   wire n_2219;
+   wire n_2220;
+   wire n_2221;
+   wire n_2222;
+   wire n_2223;
+   wire n_2224;
+   wire n_2225;
+   wire n_2226;
+   wire n_2227;
+   wire n_2228;
+   wire n_2229;
+   wire n_2230;
+   wire n_2231;
+   wire n_2232;
+   wire n_2233;
+   wire n_2234;
+   wire n_2235;
+   wire n_2236;
+   wire n_2237;
+   wire n_2238;
+   wire n_2239;
+   wire n_2240;
+   wire n_2241;
+   wire n_2242;
+   wire n_2243;
+   wire n_2244;
+   wire n_2245;
+   wire n_2246;
+   wire n_2247;
+   wire n_2248;
+   wire n_2249;
+   wire n_2250;
+   wire n_2251;
+   wire n_2252;
+   wire n_2253;
+   wire n_2254;
+   wire n_2255;
+   wire n_2257;
+   wire n_2258;
+   wire n_2259;
+   wire n_2260;
+   wire n_2261;
+   wire n_2262;
+   wire n_2263;
+   wire n_2264;
+   wire n_2265;
+   wire n_2266;
+   wire n_2267;
+   wire n_2268;
+   wire n_2269;
+   wire n_2270;
+   wire n_2271;
+   wire n_2272;
+   wire n_2273;
+   wire n_2274;
+   wire n_2275;
+   wire n_2276;
+   wire n_2277;
+   wire n_2278;
+   wire n_2279;
+   wire n_2280;
+   wire n_2281;
+   wire n_2282;
+   wire n_2283;
+   wire n_2284;
+   wire n_2285;
+   wire n_2286;
+   wire n_2287;
+   wire n_2288;
+   wire n_2289;
+   wire n_2290;
+   wire n_2291;
+   wire n_2292;
+   wire n_2293;
+   wire n_2294;
+   wire n_2295;
+   wire n_2296;
+   wire n_2297;
+   wire n_2298;
+   wire n_2299;
+   wire n_2300;
+   wire n_2301;
+   wire n_2302;
+   wire n_2303;
+   wire n_2304;
+   wire n_2305;
+   wire n_2306;
+   wire n_2307;
+   wire n_2308;
+   wire n_2309;
+   wire n_2310;
+   wire n_2311;
+   wire n_2312;
+   wire n_2313;
+   wire n_2314;
+   wire n_2315;
+   wire n_2316;
+   wire n_2317;
+   wire n_2318;
+   wire n_2319;
+   wire n_2320;
+   wire n_2321;
+   wire n_2322;
+   wire n_2323;
+   wire n_2324;
+   wire n_2325;
+   wire n_2326;
+   wire n_2327;
+   wire n_2328;
+   wire n_2329;
+   wire n_2330;
+   wire n_2331;
+   wire n_2332;
+   wire n_2333;
+   wire n_2334;
+   wire n_2335;
+   wire n_2336;
+   wire n_2337;
+   wire n_2338;
+   wire n_2339;
+   wire n_2340;
+   wire n_2341;
+   wire n_2342;
+   wire n_2343;
+   wire n_2344;
+   wire n_2345;
+   wire n_2346;
+   wire n_2347;
+   wire n_2348;
+   wire n_2349;
+   wire n_2350;
+   wire n_2351;
+   wire n_2352;
+   wire n_2353;
+   wire n_2354;
+   wire n_2355;
+   wire n_2356;
+   wire n_2357;
+   wire n_2358;
+   wire n_2359;
+   wire n_2360;
+   wire n_2361;
+   wire n_2362;
+   wire n_2363;
+   wire n_2364;
+   wire n_2365;
+   wire n_2366;
+   wire n_2367;
+   wire n_2368;
+   wire n_2369;
+   wire n_2370;
+   wire n_2371;
+   wire n_2372;
+   wire n_2373;
+   wire n_2374;
+   wire n_2375;
+   wire n_2376;
+   wire n_2377;
+   wire n_2378;
+   wire n_2379;
+   wire n_2380;
+   wire n_2383;
+   wire n_2384;
+   wire n_2385;
+   wire n_2386;
+   wire n_2387;
+   wire n_2388;
+   wire n_2389;
+   wire n_2390;
+   wire n_2391;
+   wire n_2392;
+   wire n_2393;
+   wire n_2394;
+   wire n_2395;
+   wire n_2396;
+   wire n_2397;
+   wire n_2398;
+   wire n_2399;
+   wire n_2400;
+   wire n_2401;
+   wire n_2402;
+   wire n_2403;
+   wire n_2404;
+   wire n_2405;
+   wire n_2406;
+   wire n_2407;
+   wire n_2408;
+   wire n_2409;
+   wire n_2410;
+   wire n_2411;
+   wire n_2412;
+   wire n_2413;
+   wire n_2414;
+   wire n_2415;
+   wire n_2416;
+   wire n_2417;
+   wire n_2418;
+   wire n_2419;
+   wire n_2420;
+   wire n_2421;
+   wire n_2422;
+   wire n_2423;
+   wire n_2424;
+   wire n_2425;
+   wire n_2426;
+   wire n_2427;
+   wire n_2428;
+   wire n_2429;
+   wire n_2430;
+   wire n_2431;
+   wire n_2432;
+   wire n_2433;
+   wire n_2434;
+   wire n_2435;
+   wire n_2436;
+   wire n_2437;
+   wire n_2438;
+   wire n_2440;
+   wire n_2441;
+   wire n_2442;
+   wire n_2443;
+   wire n_2444;
+   wire n_2445;
+   wire n_2446;
+   wire n_2447;
+   wire n_2448;
+   wire n_2449;
+   wire n_2450;
+   wire n_2451;
+   wire n_2452;
+   wire n_2453;
+   wire n_2454;
+   wire n_2455;
+   wire n_2456;
+   wire n_2457;
+   wire n_2458;
+   wire n_2459;
+   wire n_2460;
+   wire n_2461;
+   wire n_2462;
+   wire n_2463;
+   wire n_2464;
+   wire n_2465;
+   wire n_2466;
+   wire n_2467;
+   wire n_2468;
+   wire n_2469;
+   wire n_2470;
+   wire n_2471;
+   wire n_2472;
+   wire n_2473;
+   wire n_2474;
+   wire n_2475;
+   wire n_2476;
+   wire n_2477;
+   wire n_2478;
+   wire n_2479;
+   wire n_2480;
+   wire n_2481;
+   wire n_2482;
+   wire n_2483;
+   wire n_2484;
+   wire n_2485;
+   wire n_2486;
+   wire n_2487;
+   wire n_2488;
+   wire n_2489;
+   wire n_2490;
+   wire n_2491;
+   wire n_2492;
+   wire n_2493;
+   wire n_2494;
+   wire n_2495;
+   wire n_2496;
+   wire n_2497;
+   wire n_2498;
+   wire n_2499;
+   wire n_2500;
+   wire n_2501;
+   wire n_2502;
+   wire n_2503;
+   wire n_2504;
+   wire n_2505;
+   wire n_2506;
+   wire n_2507;
+   wire n_2508;
+   wire n_2509;
+   wire n_2510;
+   wire n_2511;
+   wire n_2512;
+   wire n_2513;
+   wire n_2514;
+   wire n_2515;
+   wire n_2516;
+   wire n_2517;
+   wire n_2518;
+   wire n_2519;
+   wire n_2520;
+   wire n_2521;
+   wire n_2522;
+   wire n_2523;
+   wire n_2524;
+   wire n_2525;
+   wire n_2526;
+   wire n_2527;
+   wire n_2528;
+   wire n_2529;
+   wire n_2530;
+   wire n_2531;
+   wire n_2532;
+   wire n_2533;
+   wire n_2534;
+   wire n_2535;
+   wire n_2536;
+   wire n_2537;
+   wire n_2538;
+   wire n_2539;
+   wire n_2540;
+   wire n_2541;
+   wire n_2542;
+   wire n_2543;
+   wire n_2544;
+   wire n_2546;
+   wire n_2547;
+   wire n_2548;
+   wire n_2549;
+   wire n_2550;
+   wire n_2551;
+   wire n_2552;
+   wire n_2553;
+   wire n_2554;
+   wire n_2555;
+   wire n_2556;
+   wire n_2557;
+   wire n_2558;
+   wire n_2559;
+   wire n_2560;
+   wire n_2561;
+   wire n_2562;
+   wire n_2563;
+   wire n_2568;
+   wire n_2569;
+   wire n_2571;
+   wire n_2573;
+   wire n_2574;
+   wire n_2575;
+   wire n_2580;
+   wire n_2581;
+   wire n_2585;
+   wire n_2586;
+   wire n_2587;
+   wire n_2588;
+   wire n_2589;
+   wire n_2590;
+   wire n_2591;
+   wire n_2592;
+   wire n_2596;
+   wire n_2598;
+   wire n_2600;
+   wire n_2605;
+   wire n_2606;
+   wire n_2607;
+   wire n_2608;
+   wire n_2609;
+   wire n_2610;
+   wire n_2611;
+   wire n_2614;
+   wire n_2615;
+   wire n_2617;
+   wire n_2618;
+   wire n_2619;
+   wire n_2621;
+   wire n_2622;
+   wire n_2624;
+   wire n_2626;
+   wire n_2627;
+   wire n_2628;
+   wire n_2631;
+   wire n_2632;
+   wire n_2633;
+   wire n_2634;
+   wire n_2635;
+   wire n_2637;
+   wire n_2638;
+   wire n_2639;
+   wire n_2640;
+   wire n_2642;
+   wire n_2643;
+   wire n_2644;
+   wire n_2645;
+   wire n_2646;
+   wire n_2647;
+   wire n_2648;
+   wire n_2649;
+   wire n_2650;
+   wire n_2651;
+   wire n_2652;
+   wire n_2654;
+   wire n_2655;
+   wire n_2656;
+   wire n_2657;
+   wire n_2658;
+   wire n_2659;
+   wire n_2660;
+   wire n_2662;
+   wire n_2663;
+   wire n_2664;
+   wire n_2665;
+   wire n_2666;
+   wire n_2667;
+   wire n_2670;
+   wire n_2671;
+   wire n_2672;
+   wire n_2673;
+   wire n_2674;
+   wire n_2675;
+   wire n_2677;
+   wire n_2678;
+   wire n_2679;
+   wire n_2680;
+   wire n_2681;
+   wire n_2682;
+   wire n_2683;
+   wire n_2686;
+   wire n_2687;
+   wire n_2688;
+   wire n_2689;
+   wire n_2690;
+   wire n_2692;
+   wire n_2695;
+   wire n_2696;
+   wire n_2698;
+   wire n_2699;
+   wire n_2700;
+   wire n_2704;
+   wire n_2705;
+   wire n_2706;
+   wire n_2707;
+   wire n_2709;
+   wire n_2710;
+   wire n_2712;
+   wire n_2713;
+   wire n_2715;
+   wire n_2716;
+   wire n_2717;
+   wire n_2718;
+   wire n_2719;
+   wire n_2720;
+   wire n_2722;
+   wire n_2723;
+   wire n_2724;
+   wire n_2725;
+   wire n_2726;
+   wire n_2729;
+   wire n_2730;
+   wire n_2731;
+   wire n_2732;
+   wire n_2733;
+   wire n_2734;
+   wire n_2735;
+   wire n_2736;
+   wire n_2737;
+   wire n_2738;
+   wire n_2739;
+   wire n_2740;
+   wire n_2743;
+   wire n_2744;
+   wire n_2745;
+   wire n_2746;
+   wire n_2747;
+   wire n_2748;
+   wire n_2749;
+   wire n_2750;
+   wire n_2752;
+   wire n_2753;
+   wire n_2754;
+   wire n_2755;
+   wire n_2757;
+   wire n_2759;
+   wire n_2760;
+   wire n_2761;
+   wire n_2763;
+   wire n_2764;
+   wire n_2766;
+   wire n_2767;
+   wire n_2768;
+   wire n_2770;
+   wire n_2772;
+   wire n_2774;
+   wire n_2775;
+   wire n_2777;
+   wire n_2779;
+   wire n_2780;
+   wire n_2781;
+   wire n_2782;
+   wire n_2784;
+   wire n_2785;
+   wire n_2786;
+   wire n_2787;
+   wire n_2788;
+   wire n_2790;
+   wire n_2791;
+   wire n_2792;
+   wire n_2793;
+   wire n_2794;
+   wire n_2795;
+   wire n_2796;
+   wire n_2797;
+   wire n_2799;
+   wire n_2800;
+   wire n_2802;
+   wire n_2803;
+   wire n_2804;
+   wire n_2805;
+   wire n_2806;
+   wire n_2807;
+   wire n_2808;
+   wire n_2809;
+   wire n_2810;
+   wire n_2811;
+   wire n_2812;
+   wire n_2813;
+   wire n_2814;
+   wire n_2815;
+   wire n_2816;
+   wire n_2817;
+   wire n_2818;
+   wire n_2819;
+   wire n_2820;
+   wire n_2821;
+   wire n_2822;
+   wire n_2823;
+   wire n_2824;
+   wire n_2825;
+   wire n_2826;
+   wire n_2827;
+   wire n_2828;
+   wire n_2829;
+   wire n_2830;
+   wire n_2831;
+   wire n_2832;
+   wire n_2833;
+   wire n_2834;
+   wire n_2835;
+   wire n_2836;
+   wire n_2837;
+   wire n_2838;
+   wire n_2839;
+   wire n_2840;
+   wire n_2841;
+   wire n_2842;
+   wire n_2844;
+   wire n_2845;
+   wire n_2846;
+   wire n_2847;
+   wire n_2848;
+   wire n_2849;
+   wire n_2850;
+   wire n_2851;
+   wire n_2852;
+   wire n_2853;
+   wire n_2854;
+   wire n_2855;
+   wire n_2856;
+   wire n_2857;
+   wire n_2858;
+   wire n_2859;
+   wire n_2860;
+   wire n_2861;
+   wire n_2862;
+   wire n_2863;
+   wire n_2864;
+   wire n_2865;
+   wire n_2866;
+   wire n_2867;
+   wire n_2868;
+   wire n_2869;
+   wire n_2870;
+   wire n_2871;
+   wire n_2872;
+   wire n_2873;
+   wire n_2874;
+   wire n_2875;
+   wire n_2876;
+   wire n_2877;
+   wire n_2878;
+   wire n_2879;
+   wire n_2880;
+   wire n_2881;
+   wire n_2882;
+   wire n_2883;
+   wire n_2884;
+   wire n_2885;
+   wire n_2886;
+   wire n_2887;
+   wire n_2888;
+   wire n_2889;
+   wire n_2890;
+   wire n_2891;
+   wire n_2892;
+   wire n_2893;
+   wire n_2894;
+   wire n_2895;
+   wire n_2896;
+   wire n_2897;
+   wire n_2898;
+   wire n_2899;
+   wire n_2900;
+   wire n_2901;
+   wire n_2902;
+   wire n_2903;
+   wire n_2904;
+   wire n_2905;
+   wire n_2906;
+   wire n_2907;
+   wire n_2908;
+   wire n_2909;
+   wire n_2910;
+   wire n_2911;
+   wire n_2912;
+   wire n_2913;
+   wire n_2914;
+   wire n_2915;
+   wire n_2916;
+   wire n_2917;
+   wire n_2918;
+   wire n_2919;
+   wire n_2920;
+   wire n_2921;
+   wire n_2922;
+   wire n_2923;
+   wire n_2924;
+   wire n_2925;
+   wire n_2926;
+   wire n_2927;
+   wire n_2928;
+   wire n_2929;
+   wire n_2930;
+   wire n_2931;
+   wire n_2932;
+   wire n_2933;
+   wire n_2934;
+   wire n_2935;
+   wire n_2936;
+   wire n_2937;
+   wire n_2938;
+   wire n_2939;
+   wire n_2940;
+   wire n_2941;
+   wire n_2942;
+   wire n_2943;
+   wire n_2944;
+   wire n_2945;
+   wire n_2946;
+   wire n_2947;
+   wire n_2948;
+   wire n_2949;
+   wire n_2950;
+   wire n_2951;
+   wire n_2952;
+   wire n_2953;
+   wire n_2954;
+   wire n_2955;
+   wire n_2956;
+   wire n_2957;
+   wire n_2958;
+   wire n_2959;
+   wire n_2960;
+   wire n_2961;
+   wire n_2962;
+   wire n_2963;
+   wire n_2966;
+   wire n_2967;
+   wire n_2968;
+   wire n_2969;
+   wire n_2970;
+   wire n_2971;
+   wire n_2972;
+   wire n_2974;
+   wire n_2975;
+   wire n_2976;
+   wire n_2978;
+   wire n_2979;
+   wire n_2980;
+   wire n_2983;
+   wire n_2984;
+   wire n_2995;
+   wire n_2998;
+   wire n_2999;
+   wire n_3000;
+   wire n_3001;
+   wire n_3002;
+   wire n_3003;
+   wire n_3004;
+   wire n_3005;
+   wire n_3006;
+   wire n_3007;
+   wire n_3008;
+   wire n_3009;
+   wire n_3010;
+   wire n_3011;
+   wire n_3012;
+   wire n_3013;
+   wire n_3014;
+   wire n_3015;
+   wire n_3016;
+   wire n_3017;
+   wire n_3018;
+   wire n_3019;
+   wire n_3020;
+   wire n_3021;
+   wire n_3022;
+   wire n_3023;
+   wire n_3024;
+   wire n_3025;
+   wire n_3026;
+   wire n_3027;
+   wire n_3028;
+   wire n_3029;
+   wire n_3030;
+   wire n_3031;
+   wire n_3032;
+   wire n_3033;
+   wire n_3034;
+   wire n_3035;
+   wire n_3036;
+   wire n_3037;
+   wire n_3038;
+   wire n_3039;
+   wire n_3040;
+   wire n_3041;
+   wire n_3042;
+   wire n_3043;
+   wire n_3044;
+   wire n_3045;
+   wire n_3046;
+   wire n_3047;
+   wire n_3048;
+   wire n_3049;
+   wire n_3050;
+   wire n_3051;
+   wire n_3052;
+   wire n_3053;
+   wire n_3054;
+   wire n_3055;
+   wire n_3056;
+   wire n_3057;
+   wire n_3058;
+   wire n_3059;
+   wire n_3060;
+   wire n_3061;
+   wire n_3062;
+   wire n_3063;
+   wire n_3064;
+   wire n_3065;
+   wire n_3066;
+   wire n_3067;
+   wire n_3068;
+   wire n_3069;
+   wire n_3070;
+   wire n_3071;
+   wire n_3072;
+   wire n_3073;
+   wire n_3074;
+   wire n_3075;
+   wire n_3076;
+   wire n_3077;
+   wire n_3078;
+   wire n_3081;
+   wire n_3082;
+   wire n_3083;
+   wire n_3084;
+   wire n_3085;
+   wire n_3086;
+   wire n_3087;
+   wire n_3088;
+   wire n_3089;
+   wire n_3091;
+   wire n_3092;
+   wire n_3093;
+   wire n_3094;
+   wire n_3095;
+   wire n_3096;
+   wire n_3097;
+   wire n_3098;
+   wire n_3099;
+   wire n_3100;
+   wire n_3101;
+   wire n_3102;
+   wire n_3104;
+   wire n_3105;
+   wire n_3106;
+   wire n_3107;
+   wire n_3108;
+   wire n_3109;
+   wire n_3110;
+   wire n_3111;
+   wire n_3112;
+   wire n_3113;
+   wire n_3114;
+   wire n_3115;
+   wire n_3116;
+   wire n_3117;
+   wire n_3118;
+   wire n_3119;
+   wire n_3120;
+   wire n_3121;
+   wire n_3122;
+   wire n_3123;
+   wire n_3124;
+   wire n_3125;
+   wire n_3126;
+   wire n_3127;
+   wire n_3128;
+   wire n_3129;
+   wire n_3130;
+   wire n_3131;
+   wire n_3132;
+   wire n_3133;
+   wire n_3134;
+   wire n_3135;
+   wire n_3136;
+   wire n_3137;
+   wire n_3138;
+   wire n_3139;
+   wire n_3140;
+   wire n_3143;
+   wire n_3144;
+   wire n_3145;
+   wire n_3146;
+   wire n_3147;
+   wire n_3148;
+   wire n_3149;
+   wire n_3150;
+   wire n_3151;
+   wire n_3152;
+   wire n_3153;
+   wire n_3154;
+   wire n_3155;
+   wire n_3156;
+   wire n_3157;
+   wire n_3158;
+   wire n_3159;
+   wire n_3160;
+   wire n_3161;
+   wire n_3162;
+   wire n_3164;
+   wire n_3165;
+   wire n_3166;
+   wire n_3167;
+   wire n_3168;
+   wire n_3169;
+   wire n_3170;
+   wire n_3171;
+   wire n_3172;
+   wire n_3173;
+   wire n_3174;
+   wire n_3176;
+   wire n_3177;
+   wire n_3178;
+   wire n_3179;
+   wire n_3180;
+   wire n_3181;
+   wire n_3182;
+   wire n_3183;
+   wire n_3184;
+   wire n_3186;
+   wire n_3187;
+   wire n_3188;
+   wire n_3189;
+   wire n_3190;
+   wire n_3191;
+   wire n_3192;
+   wire n_3193;
+   wire n_3194;
+   wire n_3195;
+   wire n_3196;
+   wire n_3197;
+   wire n_3199;
+   wire n_3204;
+   wire n_3223;
+   wire n_3233;
+   wire n_3234;
+   wire n_3235;
+   wire n_3236;
+   wire n_3237;
+   wire n_3238;
+   wire n_3239;
+   wire n_3240;
+   wire n_3245;
+   wire n_3246;
+   wire n_3247;
+   wire n_3248;
+   wire n_3249;
+   wire n_3250;
+   wire n_3251;
+   wire n_3252;
+   wire n_3253;
+   wire n_3254;
+   wire n_3255;
+   wire n_3256;
+   wire n_3257;
+   wire n_3258;
+   wire n_3259;
+   wire n_3260;
+   wire n_3261;
+   wire n_3262;
+   wire n_3263;
+   wire n_3264;
+   wire n_3265;
+   wire n_3266;
+   wire n_3267;
+   wire n_3268;
+   wire n_3269;
+   wire n_3270;
+   wire n_3271;
+   wire n_3272;
+   wire n_3273;
+   wire n_3274;
+   wire n_3275;
+   wire n_3276;
+   wire n_3277;
+   wire n_3278;
+   wire n_3279;
+   wire n_3280;
+   wire n_3281;
+   wire n_3282;
+   wire n_3283;
+   wire n_3284;
+   wire n_3285;
+   wire n_3286;
+   wire n_3287;
+   wire n_3288;
+   wire n_3289;
+   wire n_3290;
+   wire n_3291;
+   wire n_3292;
+   wire n_3293;
+   wire n_3294;
+   wire n_3295;
+   wire n_3296;
+   wire n_3297;
+   wire n_3298;
+   wire n_3299;
+   wire n_3300;
+   wire n_3301;
+   wire n_3302;
+   wire n_3303;
+   wire n_3304;
+   wire n_3305;
+   wire n_3306;
+   wire n_3307;
+   wire n_3308;
+   wire n_3309;
+   wire n_3310;
+   wire n_3311;
+   wire n_3312;
+   wire n_3313;
+   wire n_3314;
+   wire n_3315;
+   wire n_3317;
+   wire n_3318;
+   wire n_3320;
+   wire n_3321;
+   wire n_3322;
+   wire n_3324;
+   wire n_3325;
+   wire n_3327;
+   wire n_3328;
+   wire n_3329;
+   wire n_3330;
+   wire n_3332;
+   wire n_3334;
+   wire n_3336;
+   wire n_3337;
+   wire n_3339;
+   wire n_3341;
+   wire n_3343;
+   wire n_3345;
+   wire n_3346;
+   wire n_3348;
+   wire n_3349;
+   wire n_3350;
+   wire n_3351;
+   wire n_3352;
+   wire n_3353;
+   wire n_3354;
+   wire n_3356;
+   wire n_3357;
+   wire n_3358;
+   wire n_3359;
+   wire n_3361;
+   wire n_3362;
+   wire n_3363;
+   wire n_3365;
+   wire n_3367;
+   wire n_3369;
+   wire n_3370;
+   wire n_3371;
+   wire n_3372;
+   wire n_3373;
+   wire n_3374;
+   wire n_3375;
+   wire n_3378;
+   wire n_3379;
+   wire n_3381;
+   wire n_3382;
+   wire n_3383;
+   wire n_3385;
+   wire n_3387;
+   wire n_3388;
+   wire n_3390;
+   wire n_3391;
+   wire n_3392;
+   wire n_3394;
+   wire n_3396;
+   wire n_3399;
+   wire n_3402;
+   wire n_3403;
+   wire n_3404;
+   wire n_3405;
+   wire n_3406;
+   wire n_3409;
+   wire n_3410;
+   wire n_3412;
+   wire n_3413;
+   wire n_3414;
+   wire n_3415;
+   wire n_3416;
+   wire n_3417;
+   wire n_3418;
+   wire n_3419;
+   wire n_3420;
+   wire n_3421;
+   wire n_3422;
+   wire n_3423;
+   wire n_3424;
+   wire n_3425;
+   wire n_3426;
+   wire n_3427;
+   wire n_3428;
+   wire n_3429;
+   wire n_3430;
+   wire n_3431;
+   wire n_3432;
+   wire n_3433;
+   wire n_3434;
+   wire n_3435;
+   wire n_3436;
+   wire n_3437;
+   wire n_3438;
+   wire n_3439;
+   wire n_3440;
+   wire n_3441;
+   wire n_3442;
+   wire n_3443;
+   wire n_3444;
+   wire n_3445;
+   wire n_3446;
+   wire n_3447;
+   wire n_3448;
+   wire n_3449;
+   wire n_3450;
+   wire n_3451;
+   wire n_3453;
+   wire n_3454;
+   wire n_3455;
+   wire n_3456;
+   wire n_3457;
+   wire n_3458;
+   wire n_3459;
+   wire n_3460;
+   wire n_3461;
+   wire n_3462;
+   wire n_3463;
+   wire n_3464;
+   wire n_3465;
+   wire n_3466;
+   wire n_3467;
+   wire n_3468;
+   wire n_3469;
+   wire n_3471;
+   wire n_3472;
+   wire n_3473;
+   wire n_3474;
+   wire n_3475;
+   wire n_3476;
+   wire n_3477;
+   wire n_3478;
+   wire n_3479;
+   wire n_3480;
+   wire n_3481;
+   wire n_3482;
+   wire n_3483;
+   wire n_3484;
+   wire n_3485;
+   wire n_3486;
+   wire n_3487;
+   wire n_3488;
+   wire n_3489;
+   wire n_3490;
+   wire n_3491;
+   wire n_3492;
+   wire n_3493;
+   wire n_3494;
+   wire n_3495;
+   wire n_3496;
+   wire n_3497;
+   wire n_3498;
+   wire n_3499;
+   wire n_3500;
+   wire n_3501;
+   wire n_3502;
+   wire n_3503;
+   wire n_3504;
+   wire n_3506;
+   wire n_3509;
+   wire n_3510;
+   wire n_3511;
+   wire n_3512;
+   wire n_3513;
+   wire n_3514;
+   wire n_3515;
+   wire n_3516;
+   wire n_3517;
+   wire n_3518;
+   wire n_3519;
+   wire n_3520;
+   wire n_3521;
+   wire n_3522;
+   wire n_3523;
+   wire n_3524;
+   wire n_3525;
+   wire n_3526;
+   wire n_3527;
+   wire n_3528;
+   wire n_3529;
+   wire n_3530;
+   wire n_3531;
+   wire n_3532;
+   wire n_3533;
+   wire n_3534;
+   wire n_3535;
+   wire n_3536;
+   wire n_3537;
+   wire n_3538;
+   wire n_3539;
+   wire n_3540;
+   wire n_3541;
+   wire n_3542;
+   wire n_3543;
+   wire n_3544;
+   wire n_3545;
+   wire n_3546;
+   wire n_3547;
+   wire n_3548;
+   wire n_3549;
+   wire n_3550;
+   wire n_3551;
+   wire n_3552;
+   wire n_3553;
+   wire n_3554;
+   wire n_3555;
+   wire n_3556;
+   wire n_3557;
+   wire n_3558;
+   wire n_3559;
+   wire n_3560;
+   wire n_3561;
+   wire n_3562;
+   wire n_3563;
+   wire n_3564;
+   wire n_3565;
+   wire n_3566;
+   wire n_3567;
+   wire n_3568;
+   wire n_3569;
+   wire n_3570;
+   wire n_3571;
+   wire n_3572;
+   wire n_3573;
+   wire n_3574;
+   wire n_3575;
+   wire n_3576;
+   wire n_3577;
+   wire n_3579;
+   wire n_3580;
+   wire n_3581;
+   wire n_3582;
+   wire n_3583;
+   wire n_3584;
+   wire n_3585;
+   wire n_3586;
+   wire n_3587;
+   wire n_3588;
+   wire n_3589;
+   wire n_3590;
+   wire n_3591;
+   wire n_3592;
+   wire n_3593;
+   wire n_3594;
+   wire n_3595;
+   wire n_3596;
+   wire n_3597;
+   wire n_3598;
+   wire n_3600;
+   wire n_3601;
+   wire n_3602;
+   wire n_3603;
+   wire n_3604;
+   wire n_3605;
+   wire n_3606;
+   wire n_3607;
+   wire n_3608;
+   wire n_3609;
+   wire n_3610;
+   wire n_3611;
+   wire n_3613;
+   wire n_3614;
+   wire n_3615;
+   wire n_3616;
+   wire n_3617;
+   wire n_3618;
+   wire n_3619;
+   wire n_3620;
+   wire n_3621;
+   wire n_3622;
+   wire n_3623;
+   wire n_3624;
+   wire n_3625;
+   wire n_3626;
+   wire n_3627;
+   wire n_3630;
+   wire n_3631;
+   wire n_3632;
+   wire n_3633;
+   wire n_3634;
+   wire n_3635;
+   wire n_3636;
+   wire n_3637;
+   wire n_3638;
+   wire n_3639;
+   wire n_3640;
+   wire n_3641;
+   wire n_3642;
+   wire n_3643;
+   wire n_3644;
+   wire n_3645;
+   wire n_3646;
+   wire n_3647;
+   wire n_3648;
+   wire n_3649;
+   wire n_3650;
+   wire n_3651;
+   wire n_3652;
+   wire n_3653;
+   wire n_3654;
+   wire n_3655;
+   wire n_3656;
+   wire n_3657;
+   wire n_3658;
+   wire n_3659;
+   wire n_3660;
+   wire n_3661;
+   wire n_3662;
+   wire n_3663;
+   wire n_3664;
+   wire n_3665;
+   wire n_3666;
+   wire n_3667;
+   wire n_3668;
+   wire n_3669;
+   wire n_3670;
+   wire n_3671;
+   wire n_3672;
+   wire n_3673;
+   wire n_3674;
+   wire n_3675;
+   wire n_3676;
+   wire n_3677;
+   wire n_3678;
+   wire n_3679;
+   wire n_3680;
+   wire n_3681;
+   wire n_3682;
+   wire n_3683;
+   wire n_3684;
+   wire n_3685;
+   wire n_3686;
+   wire n_3687;
+   wire n_3688;
+   wire n_3689;
+   wire n_3690;
+   wire n_3691;
+   wire n_3692;
+   wire n_3693;
+   wire n_3694;
+   wire n_3695;
+   wire n_3696;
+   wire n_3697;
+   wire n_3698;
+   wire n_3699;
+   wire n_3700;
+   wire n_3701;
+   wire n_3702;
+   wire n_3703;
+   wire n_3704;
+   wire n_3705;
+   wire n_3706;
+   wire n_3707;
+   wire n_3708;
+   wire n_3709;
+   wire n_3710;
+   wire n_3711;
+   wire n_3712;
+   wire n_3713;
+   wire n_3714;
+   wire n_3715;
+   wire n_3716;
+   wire n_3717;
+   wire n_3718;
+   wire n_3719;
+   wire n_3720;
+   wire n_3721;
+   wire n_3722;
+   wire n_3723;
+   wire n_3724;
+   wire n_3725;
+   wire n_3726;
+   wire n_3727;
+   wire n_3728;
+   wire n_3729;
+   wire n_3730;
+   wire n_3731;
+   wire n_3732;
+   wire n_3733;
+   wire n_3734;
+   wire n_3735;
+   wire n_3736;
+   wire n_3737;
+   wire n_3738;
+   wire n_3739;
+   wire n_3740;
+   wire n_3741;
+   wire n_3742;
+   wire n_3743;
+   wire n_3744;
+   wire n_3745;
+   wire n_3746;
+   wire n_3747;
+   wire n_3748;
+   wire n_3749;
+   wire n_3752;
+   wire n_3753;
+   wire n_3754;
+   wire n_3755;
+   wire n_3756;
+   wire n_3757;
+   wire n_3758;
+   wire n_3759;
+   wire n_3760;
+   wire n_3761;
+   wire n_3762;
+   wire n_3765;
+   wire n_3766;
+   wire n_3767;
+   wire n_3768;
+   wire n_3769;
+   wire n_3770;
+   wire n_3771;
+   wire n_3772;
+   wire n_3773;
+   wire n_3774;
+   wire n_3775;
+   wire n_3776;
+   wire n_3778;
+   wire n_3779;
+   wire n_3780;
+   wire n_3781;
+   wire n_3782;
+   wire n_3783;
+   wire n_3784;
+   wire n_3785;
+   wire n_3786;
+   wire n_3787;
+   wire n_3788;
+   wire n_3789;
+   wire n_3790;
+   wire n_3791;
+   wire n_3792;
+   wire n_3793;
+   wire n_3794;
+   wire n_3795;
+   wire n_3796;
+   wire n_3797;
+   wire n_3798;
+   wire n_3799;
+   wire n_3800;
+   wire n_3801;
+   wire n_3802;
+   wire n_3803;
+   wire n_3804;
+   wire n_3805;
+   wire n_3806;
+   wire n_3807;
+   wire n_3808;
+   wire n_3809;
+   wire n_3810;
+   wire n_3811;
+   wire n_3812;
+   wire n_3813;
+   wire n_3814;
+   wire n_3815;
+   wire n_3816;
+   wire n_3817;
+   wire n_3818;
+   wire n_3819;
+   wire n_3820;
+   wire n_3821;
+   wire n_3822;
+   wire n_3823;
+   wire n_3824;
+   wire n_3825;
+   wire n_3826;
+   wire n_3827;
+   wire n_3828;
+   wire n_3829;
+   wire n_3830;
+   wire n_3831;
+   wire n_3832;
+   wire n_3833;
+   wire n_3834;
+   wire n_3835;
+   wire n_3836;
+   wire n_3837;
+   wire n_3838;
+   wire n_3839;
+   wire n_3840;
+   wire n_3841;
+   wire n_3842;
+   wire n_3843;
+   wire n_3844;
+   wire n_3845;
+   wire n_3846;
+   wire n_3847;
+   wire n_3848;
+   wire n_3849;
+   wire n_3850;
+   wire n_3851;
+   wire n_3852;
+   wire n_3853;
+   wire n_3854;
+   wire n_3855;
+   wire n_3856;
+   wire n_3857;
+   wire n_3858;
+   wire n_3859;
+   wire n_3860;
+   wire n_3861;
+   wire n_3862;
+   wire n_3863;
+   wire n_3864;
+   wire n_3865;
+   wire n_3866;
+   wire n_3867;
+   wire n_3868;
+   wire n_3869;
+   wire n_3870;
+   wire n_3871;
+   wire n_3872;
+   wire n_3873;
+   wire n_3874;
+   wire n_3875;
+   wire n_3876;
+   wire n_3877;
+   wire n_3878;
+   wire n_3879;
+   wire n_3880;
+   wire n_3881;
+   wire n_3882;
+   wire n_3883;
+   wire n_3884;
+   wire n_3885;
+   wire n_3886;
+   wire n_3887;
+   wire n_3888;
+   wire n_3889;
+   wire n_3890;
+   wire n_3891;
+   wire n_3892;
+   wire n_3893;
+   wire n_3894;
+   wire n_3895;
+   wire n_3896;
+   wire n_3897;
+   wire n_3898;
+   wire n_3899;
+   wire n_3900;
+   wire n_3901;
+   wire n_3902;
+   wire n_3903;
+   wire n_3904;
+   wire n_3905;
+   wire n_3906;
+   wire n_3907;
+   wire n_3908;
+   wire n_3909;
+   wire n_3910;
+   wire n_3911;
+   wire n_3912;
+   wire n_3913;
+   wire n_3914;
+   wire n_3915;
+   wire n_3916;
+   wire n_3917;
+   wire n_3918;
+   wire n_3919;
+   wire n_3920;
+   wire n_3921;
+   wire n_3922;
+   wire n_3923;
+   wire n_3924;
+   wire n_3925;
+   wire n_3926;
+   wire n_3927;
+   wire n_3928;
+   wire n_3929;
+   wire n_3930;
+   wire n_3931;
+   wire n_3932;
+   wire n_3933;
+   wire n_3934;
+   wire n_3935;
+   wire n_3936;
+   wire n_3937;
+   wire n_3938;
+   wire n_3939;
+   wire n_3940;
+   wire n_3941;
+   wire n_3942;
+   wire n_3943;
+   wire n_3944;
+   wire n_3945;
+   wire n_3946;
+   wire n_3947;
+   wire n_3948;
+   wire n_3949;
+   wire n_3950;
+   wire n_3951;
+   wire n_3952;
+   wire n_3953;
+   wire n_3954;
+   wire n_3955;
+   wire n_3956;
+   wire n_3957;
+   wire n_3958;
+   wire n_3959;
+   wire n_3960;
+   wire n_3961;
+   wire n_3962;
+   wire n_3963;
+   wire n_3964;
+   wire n_3965;
+   wire n_3966;
+   wire n_3967;
+   wire n_3968;
+   wire n_3969;
+   wire n_3970;
+   wire n_3971;
+   wire n_3972;
+   wire n_3973;
+   wire n_3974;
+   wire n_3975;
+   wire n_3976;
+   wire n_3977;
+   wire n_3978;
+   wire n_3979;
+   wire n_3980;
+   wire n_3981;
+   wire n_3982;
+   wire n_3983;
+   wire n_3984;
+   wire n_3985;
+   wire n_3986;
+   wire n_3987;
+   wire n_3988;
+   wire n_3989;
+   wire n_3990;
+   wire n_3991;
+   wire n_3992;
+   wire n_3993;
+   wire n_3994;
+   wire n_3995;
+   wire n_3996;
+   wire n_3997;
+   wire n_3998;
+   wire n_3999;
+   wire n_4000;
+   wire n_4001;
+   wire n_4002;
+   wire n_4003;
+   wire n_4004;
+   wire n_4005;
+   wire n_4006;
+   wire n_4007;
+   wire n_4008;
+   wire n_4009;
+   wire n_4010;
+   wire n_4011;
+   wire n_4012;
+   wire n_4013;
+   wire n_4014;
+   wire n_4015;
+   wire n_4016;
+   wire n_4017;
+   wire n_4018;
+   wire n_4019;
+   wire n_4020;
+   wire n_4021;
+   wire n_4022;
+   wire n_4023;
+   wire n_4024;
+   wire n_4025;
+   wire n_4026;
+   wire n_4027;
+   wire n_4028;
+   wire n_4029;
+   wire n_4030;
+   wire n_4031;
+   wire n_4032;
+   wire n_4033;
+   wire n_4034;
+   wire n_4035;
+   wire n_4036;
+   wire n_4037;
+   wire n_4038;
+   wire n_4039;
+   wire n_4040;
+   wire n_4041;
+   wire n_4042;
+   wire n_4043;
+   wire n_4044;
+   wire n_4045;
+   wire n_4046;
+   wire n_4047;
+   wire n_4048;
+   wire n_4049;
+   wire n_4050;
+   wire n_4051;
+   wire n_4052;
+   wire n_4053;
+   wire n_4054;
+   wire n_4055;
+   wire n_4056;
+   wire n_4057;
+   wire n_4058;
+   wire n_4060;
+   wire n_4061;
+   wire n_4062;
+   wire n_4063;
+   wire n_4064;
+   wire n_4065;
+   wire n_4066;
+   wire n_4067;
+   wire n_4068;
+   wire n_4069;
+   wire n_4070;
+   wire n_4071;
+   wire n_4072;
+   wire n_4073;
+   wire n_4074;
+   wire n_4075;
+   wire n_4076;
+   wire n_4077;
+   wire n_4078;
+   wire n_4079;
+   wire n_4080;
+   wire n_4081;
+   wire n_4082;
+   wire n_4083;
+   wire n_4084;
+   wire n_4085;
+   wire n_4086;
+   wire n_4087;
+   wire n_4088;
+   wire n_4089;
+   wire n_4090;
+   wire n_4091;
+   wire n_4092;
+   wire n_4095;
+   wire n_4096;
+   wire n_4097;
+   wire n_4098;
+   wire n_4099;
+   wire n_4100;
+   wire n_4101;
+   wire n_4102;
+   wire n_4103;
+   wire n_4104;
+   wire n_4105;
+   wire n_4106;
+   wire n_4107;
+   wire n_4108;
+   wire n_4109;
+   wire n_4110;
+   wire n_4111;
+   wire n_4112;
+   wire n_4113;
+   wire n_4114;
+   wire n_4115;
+   wire n_4116;
+   wire n_4117;
+   wire n_4118;
+   wire n_4119;
+   wire n_4120;
+   wire n_4121;
+   wire n_4122;
+   wire n_4123;
+   wire n_4124;
+   wire n_4125;
+   wire n_4126;
+   wire n_4127;
+   wire n_4128;
+   wire n_4129;
+   wire n_4130;
+   wire n_4131;
+   wire n_4132;
+   wire n_4133;
+   wire n_4134;
+   wire n_4135;
+   wire n_4136;
+   wire n_4137;
+   wire n_4138;
+   wire n_4139;
+   wire n_4140;
+   wire n_4141;
+   wire n_4142;
+   wire n_4143;
+   wire n_4144;
+   wire n_4145;
+   wire n_4146;
+   wire n_4147;
+   wire n_4148;
+   wire n_4149;
+   wire n_4150;
+   wire n_4151;
+   wire n_4152;
+   wire n_4153;
+   wire n_4154;
+   wire n_4155;
+   wire n_4156;
+   wire n_4157;
+   wire n_4158;
+   wire n_4159;
+   wire n_4160;
+   wire n_4161;
+   wire n_4162;
+   wire n_4163;
+   wire n_4164;
+   wire n_4165;
+   wire n_4166;
+   wire n_4167;
+   wire n_4168;
+   wire n_4169;
+   wire n_4170;
+   wire n_4171;
+   wire n_4172;
+   wire n_4173;
+   wire n_4174;
+   wire n_4175;
+   wire n_4176;
+   wire n_4177;
+   wire n_4178;
+   wire n_4179;
+   wire n_4180;
+   wire n_4181;
+   wire n_4182;
+   wire n_4183;
+   wire n_4184;
+   wire n_4185;
+   wire n_4186;
+   wire n_4187;
+   wire n_4188;
+   wire n_4189;
+   wire n_4190;
+   wire n_4191;
+   wire n_4192;
+   wire n_4193;
+   wire n_4194;
+   wire n_4195;
+   wire n_4196;
+   wire n_4197;
+   wire n_4198;
+   wire n_4199;
+   wire n_4200;
+   wire n_4201;
+   wire n_4202;
+   wire n_4203;
+   wire n_4204;
+   wire n_4205;
+   wire n_4206;
+   wire n_4207;
+   wire n_4208;
+   wire n_4209;
+   wire n_4210;
+   wire n_4211;
+   wire n_4213;
+   wire n_4214;
+   wire n_4215;
+   wire n_4216;
+   wire n_4217;
+   wire n_4218;
+   wire n_4219;
+   wire n_4220;
+   wire n_4221;
+   wire n_4222;
+   wire n_4223;
+   wire n_4224;
+   wire n_4225;
+   wire n_4226;
+   wire n_4227;
+   wire n_4228;
+   wire n_4229;
+   wire n_4230;
+   wire n_4231;
+   wire n_4232;
+   wire n_4233;
+   wire n_4234;
+   wire n_4235;
+   wire n_4236;
+   wire n_4237;
+   wire n_4238;
+   wire n_4239;
+   wire n_4240;
+   wire n_4241;
+   wire n_4242;
+   wire n_4243;
+   wire n_4244;
+   wire n_4245;
+   wire n_4246;
+   wire n_4247;
+   wire n_4248;
+   wire n_4249;
+   wire n_4250;
+   wire n_4251;
+   wire n_4252;
+   wire n_4253;
+   wire n_4254;
+   wire n_4255;
+   wire n_4256;
+   wire n_4257;
+   wire n_4258;
+   wire n_4259;
+   wire n_4260;
+   wire n_4261;
+   wire n_4262;
+   wire n_4263;
+   wire n_4264;
+   wire n_4265;
+   wire n_4266;
+   wire n_4267;
+   wire n_4268;
+   wire n_4269;
+   wire n_4270;
+   wire n_4271;
+   wire n_4272;
+   wire n_4273;
+   wire n_4274;
+   wire n_4275;
+   wire n_4276;
+   wire n_4277;
+   wire n_4278;
+   wire n_4279;
+   wire n_4280;
+   wire n_4281;
+   wire n_4282;
+   wire n_4283;
+   wire n_4284;
+   wire n_4285;
+   wire n_4286;
+   wire n_4287;
+   wire n_4288;
+   wire n_4289;
+   wire n_4290;
+   wire n_4291;
+   wire n_4292;
+   wire n_4293;
+   wire n_4294;
+   wire n_4295;
+   wire n_4296;
+   wire n_4297;
+   wire n_4298;
+   wire n_4299;
+   wire n_4300;
+   wire n_4301;
+   wire n_4302;
+   wire n_4303;
+   wire n_4304;
+   wire n_4305;
+   wire n_4306;
+   wire n_4307;
+   wire n_4308;
+   wire n_4309;
+   wire n_4310;
+   wire n_4311;
+   wire n_4312;
+   wire n_4313;
+   wire n_4314;
+   wire n_4315;
+   wire n_4316;
+   wire n_4317;
+   wire n_4318;
+   wire n_4319;
+   wire n_4320;
+   wire n_4321;
+   wire n_4322;
+   wire n_4323;
+   wire n_4324;
+   wire n_4325;
+   wire n_4326;
+   wire n_4327;
+   wire n_4328;
+   wire n_4329;
+   wire n_4330;
+   wire n_4331;
+   wire n_4332;
+   wire n_4333;
+   wire n_4334;
+   wire n_4335;
+   wire n_4339;
+   wire n_4340;
+   wire n_4341;
+   wire n_4342;
+   wire n_4343;
+   wire n_4344;
+   wire n_4345;
+   wire n_4346;
+   wire n_4347;
+   wire n_4348;
+   wire n_4349;
+   wire n_4350;
+   wire n_4351;
+   wire n_4352;
+   wire n_4353;
+   wire n_4354;
+   wire n_4355;
+   wire n_4356;
+   wire n_4357;
+   wire n_4358;
+   wire n_4359;
+   wire n_4360;
+   wire n_4361;
+   wire n_4362;
+   wire n_4363;
+   wire n_4364;
+   wire n_4365;
+   wire n_4366;
+   wire n_4367;
+   wire n_4368;
+   wire n_4369;
+   wire n_4370;
+   wire n_4371;
+   wire n_4372;
+   wire n_4373;
+   wire n_4374;
+   wire n_4375;
+   wire n_4376;
+   wire n_4377;
+   wire n_4378;
+   wire n_4379;
+   wire n_4380;
+   wire n_4381;
+   wire n_4382;
+   wire n_4383;
+   wire n_4384;
+   wire n_4385;
+   wire n_4386;
+   wire n_4387;
+   wire n_4388;
+   wire n_4389;
+   wire n_4390;
+   wire n_4391;
+   wire n_4392;
+   wire n_4393;
+   wire n_4394;
+   wire n_4395;
+   wire n_4396;
+   wire n_4397;
+   wire n_4398;
+   wire n_4399;
+   wire n_4400;
+   wire n_4401;
+   wire n_4402;
+   wire n_4403;
+   wire n_4404;
+   wire n_4405;
+   wire n_4406;
+   wire n_4407;
+   wire n_4408;
+   wire n_4409;
+   wire n_4410;
+   wire n_4411;
+   wire n_4412;
+   wire n_4413;
+   wire n_4414;
+   wire n_4415;
+   wire n_4416;
+   wire n_4417;
+   wire n_4418;
+   wire n_4419;
+   wire n_4420;
+   wire n_4421;
+   wire n_4422;
+   wire n_4423;
+   wire n_4424;
+   wire n_4425;
+   wire n_4426;
+   wire n_4427;
+   wire n_4428;
+   wire n_4429;
+   wire n_4430;
+   wire n_4431;
+   wire n_4432;
+   wire n_4433;
+   wire n_4434;
+   wire n_4435;
+   wire n_4436;
+   wire n_4437;
+   wire n_4438;
+   wire n_4439;
+   wire n_4440;
+   wire n_4441;
+   wire n_4442;
+   wire n_4443;
+   wire n_4444;
+   wire n_4445;
+   wire n_4446;
+   wire n_4447;
+   wire n_4448;
+   wire n_4449;
+   wire n_4450;
+   wire n_4451;
+   wire n_4452;
+   wire n_4453;
+   wire n_4454;
+   wire n_4455;
+   wire n_4456;
+   wire n_4457;
+   wire n_4458;
+   wire n_4459;
+   wire n_4460;
+   wire n_4461;
+   wire n_4462;
+   wire n_4464;
+   wire n_4465;
+   wire n_4466;
+   wire n_4467;
+   wire n_4468;
+   wire n_4469;
+   wire n_4470;
+   wire n_4471;
+   wire n_4472;
+   wire n_4473;
+   wire n_4474;
+   wire n_4475;
+   wire n_4476;
+   wire n_4477;
+   wire n_4478;
+   wire n_4479;
+   wire n_4480;
+   wire n_4481;
+   wire n_4482;
+   wire n_4483;
+   wire n_4484;
+   wire n_4485;
+   wire n_4486;
+   wire n_4487;
+   wire n_4488;
+   wire n_4489;
+   wire n_4490;
+   wire n_4491;
+   wire n_4492;
+   wire n_4493;
+   wire n_4494;
+   wire n_4495;
+   wire n_4496;
+   wire n_4497;
+   wire n_4498;
+   wire n_4499;
+   wire n_4500;
+   wire n_4501;
+   wire n_4502;
+   wire n_4503;
+   wire n_4504;
+   wire n_4505;
+   wire n_4506;
+   wire n_4507;
+   wire n_4508;
+   wire n_4509;
+   wire n_4510;
+   wire n_4511;
+   wire n_4512;
+   wire n_4513;
+   wire n_4514;
+   wire n_4515;
+   wire n_4516;
+   wire n_4517;
+   wire n_4518;
+   wire n_4519;
+   wire n_4520;
+   wire n_4521;
+   wire n_4522;
+   wire n_4523;
+   wire n_4524;
+   wire n_4525;
+   wire n_4526;
+   wire n_4527;
+   wire n_4528;
+   wire n_4529;
+   wire n_4530;
+   wire n_4531;
+   wire n_4532;
+   wire n_4533;
+   wire n_4534;
+   wire n_4535;
+   wire n_4536;
+   wire n_4537;
+   wire n_4538;
+   wire n_4539;
+   wire n_4540;
+   wire n_4541;
+   wire n_4542;
+   wire n_4543;
+   wire n_4544;
+   wire n_4545;
+   wire n_4546;
+   wire n_4547;
+   wire n_4548;
+   wire n_4549;
+   wire n_4550;
+   wire n_4551;
+   wire n_4552;
+   wire n_4553;
+   wire n_4554;
+   wire n_4555;
+   wire n_4556;
+   wire n_4557;
+   wire n_4558;
+   wire n_4559;
+   wire n_4560;
+   wire n_4561;
+   wire n_4562;
+   wire n_4563;
+   wire n_4564;
+   wire n_4565;
+   wire n_4566;
+   wire n_4567;
+   wire n_4568;
+   wire n_4569;
+   wire n_4570;
+   wire n_4571;
+   wire n_4572;
+   wire n_4573;
+   wire n_4574;
+   wire n_4575;
+   wire n_4576;
+   wire n_4577;
+   wire n_4578;
+   wire n_4579;
+   wire n_4580;
+   wire n_4581;
+   wire n_4582;
+   wire n_4583;
+   wire n_4584;
+   wire n_4585;
+   wire n_4586;
+   wire n_4587;
+   wire n_4588;
+   wire n_4589;
+   wire n_4590;
+   wire n_4591;
+   wire n_4592;
+   wire n_4593;
+   wire n_4594;
+   wire n_4595;
+   wire n_4596;
+   wire n_4597;
+   wire n_4598;
+   wire n_4599;
+   wire n_4600;
+   wire n_4601;
+   wire n_4602;
+   wire n_4603;
+   wire n_4604;
+   wire n_4605;
+   wire n_4606;
+   wire n_4607;
+   wire n_4608;
+   wire n_4609;
+   wire n_4610;
+   wire n_4611;
+   wire n_4612;
+   wire n_4613;
+   wire n_4614;
+   wire n_4615;
+   wire n_4616;
+   wire n_4617;
+   wire n_4618;
+   wire n_4619;
+   wire n_4620;
+   wire n_4621;
+   wire n_4622;
+   wire n_4623;
+   wire n_4624;
+   wire n_4625;
+   wire n_4626;
+   wire n_4627;
+   wire n_4628;
+   wire n_4629;
+   wire n_4630;
+   wire n_4631;
+   wire n_4632;
+   wire n_4633;
+   wire n_4634;
+   wire n_4635;
+   wire n_4636;
+   wire n_4637;
+   wire n_4638;
+   wire n_4639;
+   wire n_4640;
+   wire n_4641;
+   wire n_4642;
+   wire n_4643;
+   wire n_4644;
+   wire n_4645;
+   wire n_4646;
+   wire n_4647;
+   wire n_4648;
+   wire n_4649;
+   wire n_4650;
+   wire n_4651;
+   wire n_4652;
+   wire n_4653;
+   wire n_4654;
+   wire n_4655;
+   wire n_4656;
+   wire n_4657;
+   wire n_4658;
+   wire n_4659;
+   wire n_4660;
+   wire n_4661;
+   wire n_4662;
+   wire n_4663;
+   wire n_4664;
+   wire n_4665;
+   wire n_4666;
+   wire n_4667;
+   wire n_4668;
+   wire n_4669;
+   wire n_4670;
+   wire n_4671;
+   wire n_4672;
+   wire n_4673;
+   wire n_4674;
+   wire n_4675;
+   wire n_4676;
+   wire n_4677;
+   wire n_4678;
+   wire n_4679;
+   wire n_4680;
+   wire n_4681;
+   wire n_4682;
+   wire n_4683;
+   wire n_4685;
+   wire n_4686;
+   wire n_4687;
+   wire n_4688;
+   wire n_4689;
+   wire n_4690;
+   wire n_4691;
+   wire n_4692;
+   wire n_4693;
+   wire n_4694;
+   wire n_4695;
+   wire n_4696;
+   wire n_4697;
+   wire n_4698;
+   wire n_4699;
+   wire n_4700;
+   wire n_4701;
+   wire n_4702;
+   wire n_4703;
+   wire n_4704;
+   wire n_4705;
+   wire n_4706;
+   wire n_4707;
+   wire n_4708;
+   wire n_4709;
+   wire n_4710;
+   wire n_4711;
+   wire n_4712;
+   wire n_4713;
+   wire n_4714;
+   wire n_4715;
+   wire n_4716;
+   wire n_4717;
+   wire n_4718;
+   wire n_4719;
+   wire n_4720;
+   wire n_4721;
+   wire n_4722;
+   wire n_4723;
+   wire n_4724;
+   wire n_4725;
+   wire n_4726;
+   wire n_4727;
+   wire n_4728;
+   wire n_4729;
+   wire n_4730;
+   wire n_4731;
+   wire n_4732;
+   wire n_4733;
+   wire n_4734;
+   wire n_4735;
+   wire n_4736;
+   wire n_4737;
+   wire n_4738;
+   wire n_4739;
+   wire n_4740;
+   wire n_4741;
+   wire n_4742;
+   wire n_4743;
+   wire n_4744;
+   wire n_4745;
+   wire n_4746;
+   wire n_4747;
+   wire n_4748;
+   wire n_4749;
+   wire n_4750;
+   wire n_4751;
+   wire n_4752;
+   wire n_4753;
+   wire n_4754;
+   wire n_4755;
+   wire n_4756;
+   wire n_4757;
+   wire n_4758;
+   wire n_4759;
+   wire n_4760;
+   wire n_4761;
+   wire n_4762;
+   wire n_4763;
+   wire n_4764;
+   wire n_4765;
+   wire n_4766;
+   wire n_4767;
+   wire n_4768;
+   wire n_4769;
+   wire n_4770;
+   wire n_4771;
+   wire n_4772;
+   wire n_4773;
+   wire n_4774;
+   wire n_4775;
+   wire n_4776;
+   wire n_4777;
+   wire n_4778;
+   wire n_4779;
+   wire n_4780;
+   wire n_4781;
+   wire n_4782;
+   wire n_4783;
+   wire n_4784;
+   wire n_4785;
+   wire n_4786;
+   wire n_4787;
+   wire n_4788;
+   wire n_4789;
+   wire n_4790;
+   wire n_4791;
+   wire n_4792;
+   wire n_4793;
+   wire n_4794;
+   wire n_4795;
+   wire n_4796;
+   wire n_4797;
+   wire n_4798;
+   wire n_4799;
+   wire n_4800;
+   wire n_4801;
+   wire n_4802;
+   wire n_4803;
+   wire n_4804;
+   wire n_4805;
+   wire n_4806;
+   wire n_4807;
+   wire n_4808;
+   wire n_4809;
+   wire n_4810;
+   wire n_4811;
+   wire n_4812;
+   wire n_4813;
+   wire n_4814;
+   wire n_4815;
+   wire n_4816;
+   wire n_4817;
+   wire n_4818;
+   wire n_4819;
+   wire n_4820;
+   wire n_4821;
+   wire n_4822;
+   wire n_4823;
+   wire n_4824;
+   wire n_4825;
+   wire n_4826;
+   wire n_4827;
+   wire n_4828;
+   wire n_4829;
+   wire n_4830;
+   wire n_4831;
+   wire n_4832;
+   wire n_4833;
+   wire n_4834;
+   wire n_4835;
+   wire n_4836;
+   wire n_4837;
+   wire n_4838;
+   wire n_4839;
+   wire n_4841;
+   wire n_4842;
+   wire n_4843;
+   wire n_4844;
+   wire n_4845;
+   wire n_4846;
+   wire n_4847;
+   wire n_4848;
+   wire n_4849;
+   wire n_4850;
+   wire n_4851;
+   wire n_4852;
+   wire n_4853;
+   wire n_4854;
+   wire n_4855;
+   wire n_4856;
+   wire n_4857;
+   wire n_4858;
+   wire n_4859;
+   wire n_4860;
+   wire n_4861;
+   wire n_4862;
+   wire n_4863;
+   wire n_4864;
+   wire n_4865;
+   wire n_4866;
+   wire n_4867;
+   wire n_4868;
+   wire n_4869;
+   wire n_4870;
+   wire n_4871;
+   wire n_4872;
+   wire n_4873;
+   wire n_4874;
+   wire n_4875;
+   wire n_4876;
+   wire n_4877;
+   wire n_4878;
+   wire n_4879;
+   wire n_4880;
+   wire n_4881;
+   wire n_4882;
+   wire n_4883;
+   wire n_4884;
+   wire n_4885;
+   wire n_4886;
+   wire n_4887;
+   wire n_4888;
+   wire n_4889;
+   wire n_4890;
+   wire n_4891;
+   wire n_4892;
+   wire n_4893;
+   wire n_4894;
+   wire n_4895;
+   wire n_4896;
+   wire n_4897;
+   wire n_4898;
+   wire n_4899;
+   wire n_4900;
+   wire n_4901;
+   wire n_4902;
+   wire n_4903;
+   wire n_4904;
+   wire n_4905;
+   wire n_4906;
+   wire n_4907;
+   wire n_4908;
+   wire n_4909;
+   wire n_4910;
+   wire n_4911;
+   wire n_4912;
+   wire n_4913;
+   wire n_4914;
+   wire n_4915;
+   wire n_4916;
+   wire n_4917;
+   wire n_4918;
+   wire n_4921;
+   wire n_4922;
+   wire n_4923;
+   wire n_4924;
+   wire n_4925;
+   wire n_4926;
+   wire n_4927;
+   wire n_4928;
+   wire n_4929;
+   wire n_4930;
+   wire n_4931;
+   wire n_4932;
+   wire n_4933;
+   wire n_4934;
+   wire n_4935;
+   wire n_4936;
+   wire n_4937;
+   wire n_4938;
+   wire n_4939;
+   wire n_4940;
+   wire n_4941;
+   wire n_4942;
+   wire n_4943;
+   wire n_4944;
+   wire n_4945;
+   wire n_4946;
+   wire n_4947;
+   wire n_4948;
+   wire n_4949;
+   wire n_4950;
+   wire n_4951;
+   wire n_4952;
+   wire n_4953;
+   wire n_4954;
+   wire n_4955;
+   wire n_4956;
+   wire n_4957;
+   wire n_4958;
+   wire n_4959;
+   wire n_4960;
+   wire n_4961;
+   wire n_4962;
+   wire n_4963;
+   wire n_4964;
+   wire n_4965;
+   wire n_4966;
+   wire n_4967;
+   wire n_4968;
+   wire n_4969;
+   wire n_4970;
+   wire n_4971;
+   wire n_4972;
+   wire n_4973;
+   wire n_4974;
+   wire n_4975;
+   wire n_4976;
+   wire n_4977;
+   wire n_4978;
+   wire n_4979;
+   wire n_4980;
+   wire n_4981;
+   wire n_4982;
+   wire n_4983;
+   wire n_4984;
+   wire n_4985;
+   wire n_4986;
+   wire n_4987;
+   wire n_4988;
+   wire n_4989;
+   wire n_4990;
+   wire n_4991;
+   wire n_4992;
+   wire n_4993;
+   wire n_4994;
+   wire n_4995;
+   wire n_4996;
+   wire n_4997;
+   wire n_4998;
+   wire n_4999;
+   wire n_5000;
+   wire n_5001;
+   wire n_5002;
+   wire n_5003;
+   wire n_5004;
+   wire n_5005;
+   wire n_5006;
+   wire n_5007;
+   wire n_5008;
+   wire n_5009;
+   wire n_5010;
+   wire n_5011;
+   wire n_5012;
+   wire n_5013;
+   wire n_5014;
+   wire n_5015;
+   wire n_5016;
+   wire n_5017;
+   wire n_5018;
+   wire n_5019;
+   wire n_5020;
+   wire n_5021;
+   wire n_5022;
+   wire n_5023;
+   wire n_5024;
+   wire n_5025;
+   wire n_5026;
+   wire n_5027;
+   wire n_5028;
+   wire n_5029;
+   wire n_5030;
+   wire n_5031;
+   wire n_5032;
+   wire n_5033;
+   wire n_5034;
+   wire n_5035;
+   wire n_5036;
+   wire n_5037;
+   wire n_5038;
+   wire n_5039;
+   wire n_5040;
+   wire n_5041;
+   wire n_5042;
+   wire n_5043;
+   wire n_5044;
+   wire n_5045;
+   wire n_5046;
+   wire n_5047;
+   wire n_5048;
+   wire n_5049;
+   wire n_5050;
+   wire n_5051;
+   wire n_5052;
+   wire n_5053;
+   wire n_5054;
+   wire n_5055;
+   wire n_5056;
+   wire n_5057;
+   wire n_5058;
+   wire n_5059;
+   wire n_5060;
+   wire n_5061;
+   wire n_5062;
+   wire n_5063;
+   wire n_5064;
+   wire n_5065;
+   wire n_5066;
+   wire n_5067;
+   wire n_5068;
+   wire n_5069;
+   wire n_5070;
+   wire n_5071;
+   wire n_5072;
+   wire n_5073;
+   wire n_5074;
+   wire n_5075;
+   wire n_5076;
+   wire n_5077;
+   wire n_5078;
+   wire n_5079;
+   wire n_5080;
+   wire n_5081;
+   wire n_5082;
+   wire n_5083;
+   wire n_5084;
+   wire n_5085;
+   wire n_5086;
+   wire n_5087;
+   wire n_5088;
+   wire n_5089;
+   wire n_5090;
+   wire n_5091;
+   wire n_5092;
+   wire n_5093;
+   wire n_5094;
+   wire n_5095;
+   wire n_5096;
+   wire n_5097;
+   wire n_5098;
+   wire n_5099;
+   wire n_5100;
+   wire n_5101;
+   wire n_5102;
+   wire n_5103;
+   wire n_5104;
+   wire n_5105;
+   wire n_5106;
+   wire n_5107;
+   wire n_5108;
+   wire n_5109;
+   wire n_5110;
+   wire n_5111;
+   wire n_5112;
+   wire n_5113;
+   wire n_5114;
+   wire n_5115;
+   wire n_5116;
+   wire n_5117;
+   wire n_5118;
+   wire n_5119;
+   wire n_5120;
+   wire n_5121;
+   wire n_5122;
+   wire n_5123;
+   wire n_5124;
+   wire n_5125;
+   wire n_5126;
+   wire n_5127;
+   wire n_5128;
+   wire n_5129;
+   wire n_5130;
+   wire n_5131;
+   wire n_5132;
+   wire n_5133;
+   wire n_5134;
+   wire n_5135;
+   wire n_5136;
+   wire n_5137;
+   wire n_5138;
+   wire n_5139;
+   wire n_5140;
+   wire n_5141;
+   wire n_5142;
+   wire n_5143;
+   wire n_5144;
+   wire n_5145;
+   wire n_5146;
+   wire n_5147;
+   wire n_5148;
+   wire n_5149;
+   wire n_5150;
+   wire n_5151;
+   wire n_5152;
+   wire n_5153;
+   wire n_5154;
+   wire n_5155;
+   wire n_5156;
+   wire n_5157;
+   wire n_5158;
+   wire n_5159;
+   wire n_5160;
+   wire n_5161;
+   wire n_5162;
+   wire n_5163;
+   wire n_5164;
+   wire n_5165;
+   wire n_5166;
+   wire n_5167;
+   wire n_5168;
+   wire n_5169;
+   wire n_5170;
+   wire n_5171;
+   wire n_5172;
+   wire n_5173;
+   wire n_5174;
+   wire n_5175;
+   wire n_5176;
+   wire n_5177;
+   wire n_5178;
+   wire n_5179;
+   wire n_5180;
+   wire n_5181;
+   wire n_5182;
+   wire n_5183;
+   wire n_5184;
+   wire n_5185;
+   wire n_5186;
+   wire n_5187;
+   wire n_5188;
+   wire n_5189;
+   wire n_5190;
+   wire n_5191;
+   wire n_5192;
+   wire n_5193;
+   wire n_5194;
+   wire n_5196;
+   wire n_5197;
+   wire n_5198;
+   wire n_5199;
+   wire n_5200;
+   wire n_5201;
+   wire n_5202;
+   wire n_5204;
+   wire n_5205;
+   wire n_5206;
+   wire n_5207;
+   wire n_5208;
+   wire n_5209;
+   wire n_5210;
+   wire n_5211;
+   wire n_5212;
+   wire n_5213;
+   wire n_5214;
+   wire n_5215;
+   wire n_5216;
+   wire n_5218;
+   wire n_5220;
+   wire n_5221;
+   wire n_5222;
+   wire n_5223;
+   wire n_5224;
+   wire n_5225;
+   wire n_5226;
+   wire n_5227;
+   wire n_5228;
+   wire n_5229;
+   wire n_5230;
+   wire n_5231;
+   wire n_5232;
+   wire n_5233;
+   wire n_5234;
+   wire n_5235;
+   wire n_5236;
+   wire n_5237;
+   wire n_5238;
+   wire n_5239;
+   wire n_5240;
+   wire n_5241;
+   wire n_5242;
+   wire n_5243;
+   wire n_5244;
+   wire n_5245;
+   wire n_5246;
+   wire n_5247;
+   wire n_5248;
+   wire n_5249;
+   wire n_5250;
+   wire n_5251;
+   wire n_5252;
+   wire n_5253;
+   wire n_5254;
+   wire n_5255;
+   wire n_5256;
+   wire n_5257;
+   wire n_5258;
+   wire n_5259;
+   wire n_5260;
+   wire n_5261;
+   wire n_5262;
+   wire n_5263;
+   wire n_5264;
+   wire n_5265;
+   wire n_5266;
+   wire n_5267;
+   wire n_5268;
+   wire n_5269;
+   wire n_5270;
+   wire n_5271;
+   wire n_5272;
+   wire n_5273;
+   wire n_5274;
+   wire n_5275;
+   wire n_5276;
+   wire n_5277;
+   wire n_5278;
+   wire n_5279;
+   wire n_5280;
+   wire n_5281;
+   wire n_5282;
+   wire n_5283;
+   wire n_5284;
+   wire n_5285;
+   wire n_5286;
+   wire n_5287;
+   wire n_5288;
+   wire n_5289;
+   wire n_5290;
+   wire n_5291;
+   wire n_5292;
+   wire n_5293;
+   wire n_5294;
+   wire n_5295;
+   wire n_5296;
+   wire n_5297;
+   wire n_5298;
+   wire n_5299;
+   wire n_5300;
+   wire n_5301;
+   wire n_5302;
+   wire n_5303;
+   wire n_5304;
+   wire n_5305;
+   wire n_5307;
+   wire n_5308;
+   wire n_5309;
+   wire n_5310;
+   wire n_5312;
+   wire n_5313;
+   wire n_5314;
+   wire n_5315;
+   wire n_5316;
+   wire n_5317;
+   wire n_5318;
+   wire n_5320;
+   wire n_5321;
+   wire n_5322;
+   wire n_5323;
+   wire n_5325;
+   wire n_5328;
+   wire n_5329;
+   wire n_5330;
+   wire n_5331;
+   wire n_5332;
+   wire n_5333;
+   wire n_5334;
+   wire n_5335;
+   wire n_5336;
+   wire n_5337;
+   wire n_5338;
+   wire n_5339;
+   wire n_5341;
+   wire n_5342;
+   wire n_5343;
+   wire n_5344;
+   wire n_5345;
+   wire n_5346;
+   wire n_5347;
+   wire n_5348;
+   wire n_5349;
+   wire n_5350;
+   wire n_5351;
+   wire n_5352;
+   wire n_5353;
+   wire n_5354;
+   wire n_5355;
+   wire n_5356;
+   wire n_5357;
+   wire n_5358;
+   wire n_5359;
+   wire n_5360;
+   wire n_5361;
+   wire n_5362;
+   wire n_5363;
+   wire n_5364;
+   wire n_5365;
+   wire n_5366;
+   wire n_5367;
+   wire n_5368;
+   wire n_5370;
+   wire n_5371;
+   wire n_5372;
+   wire n_5373;
+   wire n_5374;
+   wire n_5375;
+   wire n_5376;
+   wire n_5377;
+   wire n_5378;
+   wire n_5379;
+   wire n_5380;
+   wire n_5381;
+   wire n_5382;
+   wire n_5383;
+   wire n_5384;
+   wire n_5385;
+   wire n_5386;
+   wire n_5387;
+   wire n_5388;
+   wire n_5389;
+   wire n_5390;
+   wire n_5391;
+   wire n_5392;
+   wire n_5393;
+   wire n_5394;
+   wire n_5395;
+   wire n_5396;
+   wire n_5397;
+   wire n_5398;
+   wire n_5399;
+   wire n_5400;
+   wire n_5401;
+   wire n_5402;
+   wire n_5403;
+   wire n_5404;
+   wire n_5405;
+   wire n_5406;
+   wire n_5407;
+   wire n_5408;
+   wire n_5409;
+   wire n_5410;
+   wire n_5411;
+   wire n_5412;
+   wire n_5413;
+   wire n_5414;
+   wire n_5415;
+   wire n_5416;
+   wire n_5417;
+   wire n_5418;
+   wire n_5419;
+   wire n_5420;
+   wire n_5421;
+   wire n_5422;
+   wire n_5423;
+   wire n_5424;
+   wire n_5425;
+   wire n_5426;
+   wire n_5427;
+   wire n_5429;
+   wire n_5430;
+   wire n_5431;
+   wire n_5432;
+   wire n_5433;
+   wire n_5434;
+   wire n_5435;
+   wire n_5436;
+   wire n_5437;
+   wire n_5438;
+   wire n_5439;
+   wire n_5440;
+   wire n_5441;
+   wire n_5442;
+   wire n_5443;
+   wire n_5444;
+   wire n_5445;
+   wire n_5446;
+   wire n_5447;
+   wire n_5448;
+   wire n_5449;
+   wire n_5450;
+   wire n_5451;
+   wire n_5453;
+   wire n_5457;
+   wire n_5458;
+   wire n_5459;
+   wire n_5460;
+   wire n_5461;
+   wire n_5462;
+   wire n_5463;
+   wire n_5464;
+   wire n_5465;
+   wire n_5466;
+   wire n_5467;
+   wire n_5468;
+   wire n_5469;
+   wire n_5470;
+   wire n_5471;
+   wire n_5472;
+   wire n_5473;
+   wire n_5474;
+   wire n_5475;
+   wire n_5476;
+   wire n_5477;
+   wire n_5478;
+   wire n_5479;
+   wire n_5480;
+   wire n_5481;
+   wire n_5482;
+   wire n_5483;
+   wire n_5484;
+   wire n_5485;
+   wire n_5486;
+   wire n_5487;
+   wire n_5488;
+   wire n_5489;
+   wire n_5490;
+   wire n_5491;
+   wire n_5492;
+   wire n_5493;
+   wire n_5494;
+   wire n_5495;
+   wire n_5496;
+   wire n_5497;
+   wire n_5498;
+   wire n_5499;
+   wire n_5500;
+   wire n_5501;
+   wire n_5502;
+   wire n_5503;
+   wire n_5504;
+   wire n_5505;
+   wire n_5506;
+   wire n_5507;
+   wire n_5508;
+   wire n_5509;
+   wire n_5510;
+   wire n_5511;
+   wire n_5512;
+   wire n_5513;
+   wire n_5514;
+   wire n_5515;
+   wire n_5516;
+   wire n_5517;
+   wire n_5518;
+   wire n_5519;
+   wire n_5520;
+   wire n_5521;
+   wire n_5522;
+   wire n_5523;
+   wire n_5524;
+   wire n_5525;
+   wire n_5526;
+   wire n_5529;
+   wire n_5530;
+   wire n_5531;
+   wire n_5532;
+   wire n_5533;
+   wire n_5535;
+   wire n_5536;
+   wire n_5537;
+   wire n_5538;
+   wire n_5539;
+   wire n_5540;
+   wire n_5541;
+   wire n_5542;
+   wire n_5543;
+   wire n_5544;
+   wire n_5545;
+   wire n_5546;
+   wire n_5547;
+   wire n_5548;
+   wire n_5549;
+   wire n_5550;
+   wire n_5551;
+   wire n_5552;
+   wire n_5553;
+   wire n_5554;
+   wire n_5555;
+   wire n_5556;
+   wire n_5557;
+   wire n_5558;
+   wire n_5559;
+   wire n_5560;
+   wire n_5561;
+   wire n_5562;
+   wire n_5563;
+   wire n_5564;
+   wire n_5565;
+   wire n_5566;
+   wire n_5567;
+   wire n_5568;
+   wire n_5569;
+   wire n_5570;
+   wire n_5571;
+   wire n_5572;
+   wire n_5573;
+   wire n_5574;
+   wire n_5575;
+   wire n_5576;
+   wire n_5577;
+   wire n_5578;
+   wire n_5579;
+   wire n_5580;
+   wire n_5581;
+   wire n_5582;
+   wire n_5583;
+   wire n_5584;
+   wire n_5585;
+   wire n_5586;
+   wire n_5587;
+   wire n_5588;
+   wire n_5589;
+   wire n_5590;
+   wire n_5591;
+   wire n_5592;
+   wire n_5593;
+   wire n_5594;
+   wire n_5596;
+   wire n_5597;
+   wire n_5598;
+   wire n_5599;
+   wire n_5600;
+   wire n_5601;
+   wire n_5602;
+   wire n_5603;
+   wire n_5604;
+   wire n_5605;
+   wire n_5606;
+   wire n_5607;
+   wire n_5608;
+   wire n_5609;
+   wire n_5610;
+   wire n_5611;
+   wire n_5612;
+   wire n_5613;
+   wire n_5614;
+   wire n_5615;
+   wire n_5616;
+   wire n_5617;
+   wire n_5618;
+   wire n_5619;
+   wire n_5620;
+   wire n_5621;
+   wire n_5622;
+   wire n_5623;
+   wire n_5624;
+   wire n_5625;
+   wire n_5626;
+   wire n_5627;
+   wire n_5628;
+   wire n_5629;
+   wire n_5630;
+   wire n_5631;
+   wire n_5632;
+   wire n_5633;
+   wire n_5634;
+   wire n_5635;
+   wire n_5636;
+   wire n_5637;
+   wire n_5638;
+   wire n_5639;
+   wire n_5640;
+   wire n_5641;
+   wire n_5642;
+   wire n_5643;
+   wire n_5644;
+   wire n_5645;
+   wire n_5646;
+   wire n_5647;
+   wire n_5648;
+   wire n_5649;
+   wire n_5650;
+   wire n_5651;
+   wire n_5652;
+   wire n_5653;
+   wire n_5654;
+   wire n_5655;
+   wire n_5656;
+   wire n_5657;
+   wire n_5658;
+   wire n_5659;
+   wire n_5660;
+   wire n_5661;
+   wire n_5662;
+   wire n_5663;
+   wire n_5664;
+   wire n_5665;
+   wire n_5666;
+   wire n_5667;
+   wire n_5668;
+   wire n_5669;
+   wire n_5670;
+   wire n_5671;
+   wire n_5672;
+   wire n_5673;
+   wire n_5674;
+   wire n_5675;
+   wire n_5676;
+   wire n_5677;
+   wire n_5678;
+   wire n_5679;
+   wire n_5680;
+   wire n_5681;
+   wire n_5682;
+   wire n_5683;
+   wire n_5684;
+   wire n_5685;
+   wire n_5686;
+   wire n_5687;
+   wire n_5688;
+   wire n_5689;
+   wire n_5690;
+   wire n_5691;
+   wire n_5692;
+   wire n_5693;
+   wire n_5694;
+   wire n_5695;
+   wire n_5696;
+   wire n_5697;
+   wire n_5698;
+   wire n_5699;
+   wire n_5700;
+   wire n_5701;
+   wire n_5702;
+   wire n_5703;
+   wire n_5704;
+   wire n_5705;
+   wire n_5706;
+   wire n_5707;
+   wire n_5708;
+   wire n_5709;
+   wire n_5710;
+   wire n_5711;
+   wire n_5712;
+   wire n_5713;
+   wire n_5714;
+   wire n_5715;
+   wire n_5716;
+   wire n_5717;
+   wire n_5718;
+   wire n_5719;
+   wire n_5720;
+   wire n_5721;
+   wire n_5722;
+   wire n_5723;
+   wire n_5724;
+   wire n_5725;
+   wire n_5726;
+   wire n_5727;
+   wire n_5728;
+   wire n_5729;
+   wire n_5730;
+   wire n_5731;
+   wire n_5732;
+   wire n_5733;
+   wire n_5734;
+   wire n_5735;
+   wire n_5737;
+   wire n_5738;
+   wire n_5739;
+   wire n_5740;
+   wire n_5741;
+   wire n_5742;
+   wire n_5743;
+   wire n_5744;
+   wire n_5745;
+   wire n_5746;
+   wire n_5747;
+   wire n_5748;
+   wire n_5749;
+   wire n_5750;
+   wire n_5751;
+   wire n_5752;
+   wire n_5753;
+   wire n_5754;
+   wire n_5755;
+   wire n_5756;
+   wire n_5757;
+   wire n_5758;
+   wire n_5759;
+   wire n_5760;
+   wire n_5761;
+   wire n_5762;
+   wire n_5763;
+   wire n_5764;
+   wire n_5765;
+   wire n_5766;
+   wire n_5767;
+   wire n_5768;
+   wire n_5769;
+   wire n_5770;
+   wire n_5771;
+   wire n_5772;
+   wire n_5773;
+   wire n_5774;
+   wire n_5775;
+   wire n_5776;
+   wire n_5777;
+   wire n_5778;
+   wire n_5779;
+   wire n_5780;
+   wire n_5781;
+   wire n_5782;
+   wire n_5783;
+   wire n_5784;
+   wire n_5785;
+   wire n_5786;
+   wire n_5787;
+   wire n_5788;
+   wire n_5789;
+   wire n_5790;
+   wire n_5791;
+   wire n_5792;
+   wire n_5793;
+   wire n_5794;
+   wire n_5795;
+   wire n_5796;
+   wire n_5797;
+   wire n_5798;
+   wire n_5799;
+   wire n_5800;
+   wire n_5801;
+   wire n_5802;
+   wire n_5803;
+   wire n_5804;
+   wire n_5805;
+   wire n_5806;
+   wire n_5807;
+   wire n_5808;
+   wire n_5809;
+   wire n_5810;
+   wire n_5811;
+   wire n_5812;
+   wire n_5813;
+   wire n_5814;
+   wire n_5815;
+   wire n_5816;
+   wire n_5817;
+   wire n_5818;
+   wire n_5819;
+   wire n_5820;
+   wire n_5821;
+   wire n_5822;
+   wire n_5823;
+   wire n_5824;
+   wire n_5825;
+   wire n_5826;
+   wire n_5827;
+   wire n_5828;
+   wire n_5829;
+   wire n_5830;
+   wire n_5831;
+   wire n_5832;
+   wire n_5833;
+   wire n_5834;
+   wire n_5835;
+   wire n_5836;
+   wire n_5837;
+   wire n_5838;
+   wire n_5839;
+   wire n_5840;
+   wire n_5841;
+   wire n_5842;
+   wire n_5843;
+   wire n_5844;
+   wire n_5845;
+   wire n_5846;
+   wire n_5847;
+   wire n_5848;
+   wire n_5849;
+   wire n_5850;
+   wire n_5851;
+   wire n_5852;
+   wire n_5853;
+   wire n_5854;
+   wire n_5855;
+   wire n_5856;
+   wire n_5857;
+   wire n_5858;
+   wire n_5859;
+   wire n_5860;
+   wire n_5861;
+   wire n_5863;
+   wire n_5864;
+   wire n_5865;
+   wire n_5866;
+   wire n_5867;
+   wire n_5868;
+   wire n_5869;
+   wire n_5870;
+   wire n_5871;
+   wire n_5872;
+   wire n_5873;
+   wire n_5874;
+   wire n_5875;
+   wire n_5876;
+   wire n_5877;
+   wire n_5878;
+   wire n_5879;
+   wire n_5880;
+   wire n_5881;
+   wire n_5882;
+   wire n_5883;
+   wire n_5884;
+   wire n_5885;
+   wire n_5886;
+   wire n_5887;
+   wire n_5888;
+   wire n_5889;
+   wire n_5890;
+   wire n_5891;
+   wire n_5892;
+   wire n_5893;
+   wire n_5894;
+   wire n_5895;
+   wire n_5896;
+   wire n_5897;
+   wire n_5898;
+   wire n_5899;
+   wire n_5900;
+   wire n_5901;
+   wire n_5902;
+   wire n_5903;
+   wire n_5904;
+   wire n_5905;
+   wire n_5906;
+   wire n_5907;
+   wire n_5908;
+   wire n_5909;
+   wire n_5910;
+   wire n_5911;
+   wire n_5912;
+   wire n_5913;
+   wire n_5914;
+   wire n_5915;
+   wire n_5916;
+   wire n_5917;
+   wire n_5918;
+   wire n_5919;
+   wire n_5920;
+   wire n_5921;
+   wire n_5922;
+   wire n_5923;
+   wire n_5924;
+   wire n_5925;
+   wire n_5926;
+   wire n_5927;
+   wire n_5928;
+   wire n_5929;
+   wire n_5930;
+   wire n_5931;
+   wire n_5932;
+   wire n_5933;
+   wire n_5934;
+   wire n_5935;
+   wire n_5936;
+   wire n_5937;
+   wire n_5938;
+   wire n_5939;
+   wire n_5940;
+   wire n_5941;
+   wire n_5942;
+   wire n_5943;
+   wire n_5944;
+   wire n_5945;
+   wire n_5946;
+   wire n_5947;
+   wire n_5948;
+   wire n_5949;
+   wire n_5950;
+   wire n_5951;
+   wire n_5952;
+   wire n_5953;
+   wire n_5954;
+   wire n_5955;
+   wire n_5956;
+   wire n_5957;
+   wire n_5958;
+   wire n_5959;
+   wire n_5960;
+   wire n_5961;
+   wire n_5962;
+   wire n_5963;
+   wire n_5964;
+   wire n_5965;
+   wire n_5966;
+   wire n_5967;
+   wire n_5968;
+   wire n_5969;
+   wire n_5970;
+   wire n_5971;
+   wire n_5972;
+   wire n_5973;
+   wire n_5974;
+   wire n_5975;
+   wire n_5976;
+   wire n_5977;
+   wire n_5978;
+   wire n_5979;
+   wire n_5980;
+   wire n_5981;
+   wire n_5982;
+   wire n_5983;
+   wire n_5984;
+   wire n_5985;
+   wire n_5986;
+   wire n_5987;
+   wire n_5988;
+   wire n_5989;
+   wire n_5990;
+   wire n_5991;
+   wire n_5992;
+   wire n_5993;
+   wire n_5994;
+   wire n_5995;
+   wire n_6002;
+   wire n_6003;
+   wire n_6004;
+   wire n_6006;
+   wire n_6009;
+   wire n_6010;
+   wire n_6011;
+   wire n_6012;
+   wire n_6013;
+   wire n_6014;
+   wire n_6015;
+   wire n_6017;
+   wire n_6018;
+   wire n_6019;
+   wire n_6021;
+   wire n_6022;
+   wire n_6023;
+   wire n_6024;
+   wire n_6025;
+   wire n_6026;
+   wire n_6027;
+   wire n_6028;
+   wire n_6029;
+   wire n_6030;
+   wire n_6031;
+   wire n_6032;
+   wire n_6033;
+   wire n_6034;
+   wire n_6035;
+   wire n_6036;
+   wire n_6041;
+   wire n_6042;
+   wire n_6043;
+   wire n_6044;
+   wire n_6045;
+   wire n_6046;
+   wire n_6047;
+   wire n_6048;
+   wire n_6049;
+   wire n_6050;
+   wire n_6051;
+   wire n_6052;
+   wire n_6053;
+   wire n_6054;
+   wire n_6055;
+   wire n_6056;
+   wire n_6057;
+   wire n_6058;
+   wire n_6059;
+   wire n_6060;
+   wire n_6061;
+   wire n_6062;
+   wire n_6063;
+   wire n_6064;
+   wire n_6065;
+   wire n_6066;
+   wire n_6067;
+   wire n_6068;
+   wire n_6069;
+   wire n_6070;
+   wire n_6071;
+   wire n_6072;
+   wire n_6073;
+   wire n_6074;
+   wire n_6075;
+   wire n_6076;
+   wire n_6077;
+   wire n_6078;
+   wire n_6079;
+   wire n_6080;
+   wire n_6081;
+   wire n_6082;
+   wire n_6083;
+   wire n_6084;
+   wire n_6085;
+   wire n_6086;
+   wire n_6087;
+   wire n_6088;
+   wire n_6089;
+   wire n_6090;
+   wire n_6091;
+   wire n_6092;
+   wire n_6093;
+   wire n_6094;
+   wire n_6095;
+   wire n_6096;
+   wire n_6097;
+   wire n_6098;
+   wire n_6099;
+   wire n_6100;
+   wire n_6101;
+   wire n_6102;
+   wire n_6103;
+   wire n_6104;
+   wire n_6105;
+   wire n_6106;
+   wire n_6107;
+   wire n_6108;
+   wire n_6109;
+   wire n_6110;
+   wire n_6111;
+   wire n_6112;
+   wire n_6113;
+   wire n_6114;
+   wire n_6115;
+   wire n_6116;
+   wire n_6117;
+   wire n_6118;
+   wire n_6119;
+   wire n_6120;
+   wire n_6121;
+   wire n_6122;
+   wire n_6123;
+   wire n_6124;
+   wire n_6125;
+   wire n_6126;
+   wire n_6127;
+   wire n_6128;
+   wire n_6129;
+   wire n_6130;
+   wire n_6131;
+   wire n_6132;
+   wire n_6133;
+   wire n_6134;
+   wire n_6135;
+   wire n_6136;
+   wire n_6137;
+   wire n_6138;
+   wire n_6139;
+   wire n_6140;
+   wire n_6141;
+   wire n_6142;
+   wire n_6143;
+   wire n_6144;
+   wire n_6145;
+   wire n_6146;
+   wire n_6147;
+   wire n_6148;
+   wire n_6149;
+   wire n_6150;
+   wire n_6151;
+   wire n_6152;
+   wire n_6153;
+   wire n_6154;
+   wire n_6155;
+   wire n_6156;
+   wire n_6157;
+   wire n_6158;
+   wire n_6159;
+   wire n_6160;
+   wire n_6161;
+   wire n_6162;
+   wire n_6163;
+   wire n_6164;
+   wire n_6165;
+   wire n_6166;
+   wire n_6167;
+   wire n_6169;
+   wire n_6170;
+   wire n_6171;
+   wire n_6172;
+   wire n_6175;
+   wire n_6176;
+   wire n_6177;
+   wire n_6180;
+   wire n_6181;
+   wire n_6182;
+   wire n_6183;
+   wire n_6184;
+   wire n_6185;
+   wire n_6186;
+   wire n_6187;
+   wire n_6188;
+   wire n_6189;
+   wire n_6190;
+   wire n_6191;
+   wire n_6192;
+   wire n_6193;
+   wire n_6194;
+   wire n_6195;
+   wire n_6196;
+   wire n_6197;
+   wire n_6198;
+   wire n_6199;
+   wire n_6200;
+   wire n_6201;
+   wire n_6202;
+   wire n_6203;
+   wire n_6204;
+   wire n_6205;
+   wire n_6206;
+   wire n_6207;
+   wire n_6208;
+   wire n_6209;
+   wire n_6210;
+   wire n_6211;
+   wire n_6212;
+   wire n_6213;
+   wire n_6214;
+   wire n_6215;
+   wire n_6216;
+   wire n_6217;
+   wire n_6218;
+   wire n_6219;
+   wire n_6220;
+   wire n_6221;
+   wire n_6222;
+   wire n_6223;
+   wire n_6224;
+   wire n_6225;
+   wire n_6226;
+   wire n_6227;
+   wire n_6228;
+   wire n_6229;
+   wire n_6230;
+   wire n_6231;
+   wire n_6232;
+   wire n_6233;
+   wire n_6234;
+   wire n_6235;
+   wire n_6236;
+   wire n_6237;
+   wire n_6238;
+   wire n_6239;
+   wire n_6240;
+   wire n_6241;
+   wire n_6242;
+   wire n_6243;
+   wire n_6244;
+   wire n_6245;
+   wire n_6246;
+   wire n_6247;
+   wire n_6248;
+   wire n_6249;
+   wire n_6250;
+   wire n_6251;
+   wire n_6252;
+   wire n_6253;
+   wire n_6254;
+   wire n_6255;
+   wire n_6256;
+   wire n_6257;
+   wire n_6258;
+   wire n_6259;
+   wire n_6260;
+   wire n_6261;
+   wire n_6262;
+   wire n_6263;
+   wire n_6264;
+   wire n_6265;
+   wire n_6266;
+   wire n_6267;
+   wire n_6268;
+   wire n_6269;
+   wire n_6270;
+   wire n_6271;
+   wire n_6272;
+   wire n_6273;
+   wire n_6274;
+   wire n_6275;
+   wire n_6276;
+   wire n_6277;
+   wire n_6278;
+   wire n_6279;
+   wire n_6280;
+   wire n_6281;
+   wire n_6282;
+   wire n_6283;
+   wire n_6284;
+   wire n_6285;
+   wire n_6286;
+   wire n_6287;
+   wire n_6288;
+   wire n_6289;
+   wire n_6290;
+   wire n_6291;
+   wire n_6292;
+   wire n_6293;
+   wire n_6294;
+   wire n_6295;
+   wire n_6296;
+   wire n_6297;
+   wire n_6298;
+   wire n_6299;
+   wire n_6300;
+   wire n_6301;
+   wire n_6302;
+   wire n_6303;
+   wire n_6304;
+   wire n_6305;
+   wire n_6306;
+   wire n_6307;
+   wire n_6308;
+   wire n_6309;
+   wire n_6310;
+   wire n_6311;
+   wire n_6312;
+   wire n_6313;
+   wire n_6314;
+   wire n_6315;
+   wire n_6316;
+   wire n_6317;
+   wire n_6318;
+   wire n_6319;
+   wire n_6320;
+   wire n_6321;
+   wire n_6322;
+   wire n_6323;
+   wire n_6324;
+   wire n_6325;
+   wire n_6326;
+   wire n_6327;
+   wire n_6328;
+   wire n_6329;
+   wire n_6330;
+   wire n_6331;
+   wire n_6332;
+   wire n_6333;
+   wire n_6334;
+   wire n_6335;
+   wire n_6336;
+   wire n_6337;
+   wire n_6338;
+   wire n_6339;
+   wire n_6340;
+   wire n_6341;
+   wire n_6342;
+   wire n_6343;
+   wire n_6344;
+   wire n_6345;
+   wire n_6346;
+   wire n_6347;
+   wire n_6348;
+   wire n_6349;
+   wire n_6350;
+   wire n_6351;
+   wire n_6352;
+   wire n_6353;
+   wire n_6354;
+   wire n_6355;
+   wire n_6356;
+   wire n_6357;
+   wire n_6358;
+   wire n_6359;
+   wire n_6360;
+   wire n_6361;
+   wire n_6362;
+   wire n_6363;
+   wire n_6364;
+   wire n_6365;
+   wire n_6366;
+   wire n_6367;
+   wire n_6368;
+   wire n_6369;
+   wire n_6370;
+   wire n_6371;
+   wire n_6372;
+   wire n_6373;
+   wire n_6374;
+   wire n_6375;
+   wire n_6376;
+   wire n_6377;
+   wire n_6378;
+   wire n_6379;
+   wire n_6380;
+   wire n_6381;
+   wire n_6382;
+   wire n_6383;
+   wire n_6384;
+   wire n_6385;
+   wire n_6386;
+   wire n_6387;
+   wire n_6388;
+   wire n_6389;
+   wire n_6390;
+   wire n_6391;
+   wire n_6393;
+   wire n_6394;
+   wire n_6395;
+   wire n_6396;
+   wire n_6397;
+   wire n_6398;
+   wire n_6399;
+   wire n_6400;
+   wire n_6401;
+   wire n_6402;
+   wire n_6403;
+   wire n_6404;
+   wire n_6405;
+   wire n_6406;
+   wire n_6407;
+   wire n_6408;
+   wire n_6409;
+   wire n_6410;
+   wire n_6411;
+   wire n_6412;
+   wire n_6413;
+   wire n_6414;
+   wire n_6415;
+   wire n_6416;
+   wire n_6417;
+   wire n_6418;
+   wire n_6419;
+   wire n_6420;
+   wire n_6421;
+   wire n_6422;
+   wire n_6423;
+   wire n_6424;
+   wire n_6425;
+   wire n_6426;
+   wire n_6427;
+   wire n_6428;
+   wire n_6429;
+   wire n_6430;
+   wire n_6431;
+   wire n_6432;
+   wire n_6433;
+   wire n_6434;
+   wire n_6435;
+   wire n_6436;
+   wire n_6437;
+   wire n_6438;
+   wire n_6439;
+   wire n_6440;
+   wire n_6441;
+   wire n_6442;
+   wire n_6443;
+   wire n_6444;
+   wire n_6445;
+   wire n_6446;
+   wire n_6447;
+   wire n_6448;
+   wire n_6449;
+   wire n_6450;
+   wire n_6451;
+   wire n_6452;
+   wire n_6453;
+   wire n_6454;
+   wire n_6455;
+   wire n_6456;
+   wire n_6457;
+   wire n_6459;
+   wire n_6460;
+   wire n_6461;
+   wire n_6462;
+   wire n_6463;
+   wire n_6464;
+   wire n_6465;
+   wire n_6466;
+   wire n_6467;
+   wire n_6468;
+   wire n_6469;
+   wire n_6471;
+   wire n_6472;
+   wire n_6473;
+   wire n_6474;
+   wire n_6475;
+   wire n_6476;
+   wire n_6477;
+   wire n_6478;
+   wire n_6479;
+   wire n_6480;
+   wire n_6481;
+   wire n_6482;
+   wire n_6483;
+   wire n_6484;
+   wire n_6485;
+   wire n_6486;
+   wire n_6487;
+   wire n_6488;
+   wire n_6489;
+   wire n_6490;
+   wire n_6491;
+   wire n_6492;
+   wire n_6493;
+   wire n_6494;
+   wire n_6495;
+   wire n_6496;
+   wire n_6497;
+   wire n_6498;
+   wire n_6499;
+   wire n_6500;
+   wire n_6501;
+   wire n_6502;
+   wire n_6503;
+   wire n_6504;
+   wire n_6505;
+   wire n_6506;
+   wire n_6507;
+   wire n_6508;
+   wire n_6509;
+   wire n_6510;
+   wire n_6511;
+   wire n_6512;
+   wire n_6513;
+   wire n_6514;
+   wire n_6515;
+   wire n_6516;
+   wire n_6517;
+   wire n_6518;
+   wire n_6519;
+   wire n_6520;
+   wire n_6521;
+   wire n_6522;
+   wire n_6523;
+   wire n_6524;
+   wire n_6525;
+   wire n_6526;
+   wire n_6527;
+   wire n_6528;
+   wire n_6529;
+   wire n_6530;
+   wire n_6531;
+   wire n_6532;
+   wire n_6533;
+   wire n_6534;
+   wire n_6535;
+   wire n_6536;
+   wire n_6537;
+   wire n_6538;
+   wire n_6539;
+   wire n_6540;
+   wire n_6541;
+   wire n_6542;
+   wire n_6543;
+   wire n_6544;
+   wire n_6545;
+   wire n_6546;
+   wire n_6547;
+   wire n_6548;
+   wire n_6549;
+   wire n_6550;
+   wire n_6551;
+   wire n_6552;
+   wire n_6553;
+   wire n_6554;
+   wire n_6555;
+   wire n_6556;
+   wire n_6557;
+   wire n_6558;
+   wire n_6559;
+   wire n_6560;
+   wire n_6561;
+   wire n_6562;
+   wire n_6563;
+   wire n_6564;
+   wire n_6565;
+   wire n_6566;
+   wire n_6567;
+   wire n_6568;
+   wire n_6569;
+   wire n_6570;
+   wire n_6571;
+   wire n_6572;
+   wire n_6573;
+   wire n_6574;
+   wire n_6575;
+   wire n_6576;
+   wire n_6577;
+   wire n_6578;
+   wire n_6579;
+   wire n_6580;
+   wire n_6581;
+   wire n_6582;
+   wire n_6583;
+   wire n_6584;
+   wire n_6585;
+   wire n_6586;
+   wire n_6587;
+   wire n_6588;
+   wire n_6589;
+   wire n_6590;
+   wire n_6591;
+   wire n_6592;
+   wire n_6593;
+   wire n_6594;
+   wire n_6595;
+   wire n_6596;
+   wire n_6597;
+   wire n_6598;
+   wire n_6599;
+   wire n_6600;
+   wire n_6601;
+   wire n_6602;
+   wire n_6603;
+   wire n_6604;
+   wire n_6605;
+   wire n_6606;
+   wire n_6607;
+   wire n_6608;
+   wire n_6609;
+   wire n_6610;
+   wire n_6611;
+   wire n_6612;
+   wire n_6613;
+   wire n_6614;
+   wire n_6615;
+   wire n_6616;
+   wire n_6617;
+   wire n_6618;
+   wire n_6619;
+   wire n_6620;
+   wire n_6621;
+   wire n_6622;
+   wire n_6623;
+   wire n_6624;
+   wire n_6625;
+   wire n_6626;
+   wire n_6627;
+   wire n_6628;
+   wire n_6629;
+   wire n_6630;
+   wire n_6631;
+   wire n_6632;
+   wire n_6633;
+   wire n_6634;
+   wire n_6635;
+   wire n_6636;
+   wire n_6637;
+   wire n_6638;
+   wire n_6639;
+   wire n_6640;
+   wire n_6641;
+   wire n_6642;
+   wire n_6643;
+   wire n_6644;
+   wire n_6645;
+   wire n_6646;
+   wire n_6647;
+   wire n_6648;
+   wire n_6649;
+   wire n_6650;
+   wire n_6651;
+   wire n_6652;
+   wire n_6653;
+   wire n_6654;
+   wire n_6655;
+   wire n_6656;
+   wire n_6657;
+   wire n_6658;
+   wire n_6659;
+   wire n_6660;
+   wire n_6661;
+   wire n_6662;
+   wire n_6663;
+   wire n_6664;
+   wire n_6665;
+   wire n_6666;
+   wire n_6667;
+   wire n_6668;
+   wire n_6669;
+   wire n_6670;
+   wire n_6671;
+   wire n_6672;
+   wire n_6673;
+   wire n_6674;
+   wire n_6675;
+   wire n_6676;
+   wire n_6677;
+   wire n_6678;
+   wire n_6679;
+   wire n_6680;
+   wire n_6681;
+   wire n_6682;
+   wire n_6683;
+   wire n_6684;
+   wire n_6685;
+   wire n_6686;
+   wire n_6687;
+   wire n_6688;
+   wire n_6689;
+   wire n_6690;
+   wire n_6691;
+   wire n_6692;
+   wire n_6693;
+   wire n_6694;
+   wire n_6695;
+   wire n_6696;
+   wire n_6697;
+   wire n_6698;
+   wire n_6699;
+   wire n_6700;
+   wire n_6701;
+   wire n_6702;
+   wire n_6703;
+   wire n_6704;
+   wire n_6705;
+   wire n_6706;
+   wire n_6707;
+   wire n_6708;
+   wire n_6709;
+   wire n_6710;
+   wire n_6711;
+   wire n_6712;
+   wire n_6713;
+   wire n_6714;
+   wire n_6715;
+   wire n_6716;
+   wire n_6717;
+   wire n_6718;
+   wire n_6719;
+   wire n_6720;
+   wire n_6721;
+   wire n_6722;
+   wire n_6723;
+   wire n_6724;
+   wire n_6725;
+   wire n_6726;
+   wire n_6727;
+   wire n_6728;
+   wire n_6729;
+   wire n_6730;
+   wire n_6731;
+   wire n_6732;
+   wire n_6733;
+   wire n_6734;
+   wire n_6735;
+   wire n_6736;
+   wire n_6737;
+   wire n_6738;
+   wire n_6739;
+   wire n_6740;
+   wire n_6741;
+   wire n_6742;
+   wire n_6743;
+   wire n_6744;
+   wire n_6745;
+   wire n_6746;
+   wire n_6747;
+   wire n_6748;
+   wire n_6749;
+   wire n_6750;
+   wire n_6751;
+   wire n_6752;
+   wire n_6753;
+   wire n_6754;
+   wire n_6755;
+   wire n_6756;
+   wire n_6757;
+   wire n_6758;
+   wire n_6759;
+   wire n_6760;
+   wire n_6761;
+   wire n_6762;
+   wire n_6763;
+   wire n_6764;
+   wire n_6765;
+   wire n_6766;
+   wire n_6767;
+   wire n_6768;
+   wire n_6769;
+   wire n_6770;
+   wire n_6771;
+   wire n_6772;
+   wire n_6773;
+   wire n_6774;
+   wire n_6775;
+   wire n_6776;
+   wire n_6777;
+   wire n_6778;
+   wire n_6779;
+   wire n_6780;
+   wire n_6781;
+   wire n_6782;
+   wire n_6783;
+   wire n_6784;
+   wire n_6785;
+   wire n_6786;
+   wire n_6787;
+   wire n_6788;
+   wire n_6789;
+   wire n_6790;
+   wire n_6791;
+   wire n_6792;
+   wire n_6793;
+   wire n_6794;
+   wire n_6795;
+   wire n_6796;
+   wire n_6797;
+   wire n_6798;
+   wire n_6799;
+   wire n_6800;
+   wire n_6801;
+   wire n_6802;
+   wire n_6803;
+   wire n_6804;
+   wire n_6805;
+   wire n_6806;
+   wire n_6807;
+   wire n_6808;
+   wire n_6809;
+   wire n_6810;
+   wire n_6811;
+   wire n_6812;
+   wire n_6813;
+   wire n_6814;
+   wire n_6815;
+   wire n_6816;
+   wire n_6817;
+   wire n_6818;
+   wire n_6819;
+   wire n_6820;
+   wire n_6821;
+   wire n_6822;
+   wire n_6823;
+   wire n_6824;
+   wire n_6825;
+   wire n_6826;
+   wire n_6827;
+   wire n_6828;
+   wire n_6829;
+   wire n_6830;
+   wire n_6831;
+   wire n_6832;
+   wire n_6833;
+   wire n_6834;
+   wire n_6835;
+   wire n_6836;
+   wire n_6837;
+   wire n_6838;
+   wire n_6839;
+   wire n_6840;
+   wire n_6841;
+   wire n_6842;
+   wire n_6843;
+   wire n_6844;
+   wire n_6845;
+   wire n_6846;
+   wire n_6847;
+   wire n_6848;
+   wire n_6849;
+   wire n_6850;
+   wire n_6851;
+   wire n_6852;
+   wire n_6853;
+   wire n_6854;
+   wire n_6855;
+   wire n_6856;
+   wire n_6857;
+   wire n_6858;
+   wire n_6859;
+   wire n_6860;
+   wire n_6861;
+   wire n_6862;
+   wire n_6863;
+   wire n_6864;
+   wire n_6865;
+   wire n_6866;
+   wire n_6867;
+   wire n_6868;
+   wire n_6869;
+   wire n_6870;
+   wire n_6871;
+   wire n_6872;
+   wire n_6873;
+   wire n_6874;
+   wire n_6875;
+   wire n_6876;
+   wire n_6877;
+   wire n_6878;
+   wire n_6879;
+   wire n_6880;
+   wire n_6881;
+   wire n_6882;
+   wire n_6883;
+   wire n_6884;
+   wire n_6885;
+   wire n_6886;
+   wire n_6887;
+   wire n_6888;
+   wire n_6889;
+   wire n_6890;
+   wire n_6891;
+   wire n_6892;
+   wire n_6893;
+   wire n_6894;
+   wire n_6895;
+   wire n_6896;
+   wire n_6897;
+   wire n_6898;
+   wire n_6899;
+   wire n_6900;
+   wire n_6901;
+   wire n_6902;
+   wire n_6903;
+   wire n_6904;
+   wire n_6905;
+   wire n_6906;
+   wire n_6907;
+   wire n_6908;
+   wire n_6909;
+   wire n_6910;
+   wire n_6911;
+   wire n_6912;
+   wire n_6913;
+   wire n_6914;
+   wire n_6915;
+   wire n_6916;
+   wire n_6917;
+   wire n_6918;
+   wire n_6919;
+   wire n_6920;
+   wire n_6921;
+   wire n_6922;
+   wire n_6923;
+   wire n_6924;
+   wire n_6925;
+   wire n_6926;
+   wire n_6927;
+   wire n_6928;
+   wire n_6929;
+   wire n_6930;
+   wire n_6931;
+   wire n_6932;
+   wire n_6933;
+   wire n_6934;
+   wire n_6935;
+   wire n_6936;
+   wire n_6937;
+   wire n_6938;
+   wire n_6939;
+   wire n_6940;
+   wire n_6941;
+   wire n_6942;
+   wire n_6943;
+   wire n_6944;
+   wire n_6946;
+   wire n_6947;
+   wire n_6948;
+   wire n_6949;
+   wire n_6950;
+   wire n_6951;
+   wire n_6952;
+   wire n_6953;
+   wire n_6954;
+   wire n_6955;
+   wire n_6956;
+   wire n_6957;
+   wire n_6958;
+   wire n_6959;
+   wire n_6960;
+   wire n_6961;
+   wire n_6962;
+   wire n_6963;
+   wire n_6964;
+   wire n_6965;
+   wire n_6966;
+   wire n_6967;
+   wire n_6968;
+   wire n_6969;
+   wire n_6970;
+   wire n_6971;
+   wire n_6972;
+   wire n_6973;
+   wire n_6974;
+   wire n_6975;
+   wire n_6976;
+   wire n_6977;
+   wire n_6978;
+   wire n_6979;
+   wire n_6980;
+   wire n_6981;
+   wire n_6982;
+   wire n_6983;
+   wire n_6984;
+   wire n_6985;
+   wire n_6986;
+   wire n_6987;
+   wire n_6988;
+   wire n_6989;
+   wire n_6990;
+   wire n_6991;
+   wire n_6992;
+   wire n_6993;
+   wire n_6994;
+   wire n_6995;
+   wire n_6996;
+   wire n_6997;
+   wire n_6998;
+   wire n_6999;
+   wire n_7000;
+   wire n_7001;
+   wire n_7002;
+   wire n_7003;
+   wire n_7005;
+   wire n_7006;
+   wire n_7007;
+   wire n_7008;
+   wire n_7009;
+   wire n_7010;
+   wire n_7011;
+   wire n_7012;
+   wire n_7013;
+   wire n_7014;
+   wire n_7015;
+   wire n_7016;
+   wire n_7017;
+   wire n_7018;
+   wire n_7019;
+   wire n_7020;
+   wire n_7021;
+   wire n_7022;
+   wire n_7023;
+   wire n_7024;
+   wire n_7025;
+   wire n_7026;
+   wire n_7027;
+   wire n_7028;
+   wire n_7029;
+   wire n_7030;
+   wire n_7031;
+   wire n_7032;
+   wire n_7033;
+   wire n_7034;
+   wire n_7035;
+   wire n_7036;
+   wire n_7037;
+   wire n_7038;
+   wire n_7039;
+   wire n_7040;
+   wire n_7041;
+   wire n_7042;
+   wire n_7043;
+   wire n_7044;
+   wire n_7045;
+   wire n_7046;
+   wire n_7047;
+   wire n_7048;
+   wire n_7049;
+   wire n_7050;
+   wire n_7051;
+   wire n_7052;
+   wire n_7053;
+   wire n_7054;
+   wire n_7055;
+   wire n_7056;
+   wire n_7057;
+   wire n_7058;
+   wire n_7059;
+   wire n_7060;
+   wire n_7061;
+   wire n_7062;
+   wire n_7063;
+   wire n_7064;
+   wire n_7065;
+   wire n_7066;
+   wire n_7067;
+   wire n_7068;
+   wire n_7069;
+   wire n_7070;
+   wire n_7071;
+   wire n_7072;
+   wire n_7073;
+   wire n_7074;
+   wire n_7075;
+   wire n_7076;
+   wire n_7077;
+   wire n_7078;
+   wire n_7079;
+   wire n_7080;
+   wire n_7081;
+   wire n_7082;
+   wire n_7083;
+   wire n_7084;
+   wire n_7085;
+   wire n_7086;
+   wire n_7087;
+   wire n_7088;
+   wire n_7089;
+   wire n_7090;
+   wire n_7091;
+   wire n_7092;
+   wire n_7093;
+   wire n_7094;
+   wire n_7095;
+   wire n_7096;
+   wire n_7097;
+   wire n_7098;
+   wire n_7099;
+   wire n_7100;
+   wire n_7101;
+   wire n_7102;
+   wire n_7103;
+   wire n_7104;
+   wire n_7105;
+   wire n_7106;
+   wire n_7107;
+   wire n_7108;
+   wire n_7109;
+   wire n_7110;
+   wire n_7111;
+   wire n_7112;
+   wire n_7113;
+   wire n_7114;
+   wire n_7115;
+   wire n_7116;
+   wire n_7117;
+   wire n_7118;
+   wire n_7119;
+   wire n_7120;
+   wire n_7121;
+   wire n_7122;
+   wire n_7123;
+   wire n_7124;
+   wire n_7125;
+   wire n_7126;
+   wire n_7127;
+   wire n_7128;
+   wire n_7129;
+   wire n_7130;
+   wire n_7131;
+   wire n_7132;
+   wire n_7133;
+   wire n_7134;
+   wire n_7135;
+   wire n_7136;
+   wire n_7137;
+   wire n_7138;
+   wire n_7139;
+   wire n_7140;
+   wire n_7141;
+   wire n_7142;
+   wire n_7143;
+   wire n_7144;
+   wire n_7145;
+   wire n_7146;
+   wire n_7147;
+   wire n_7148;
+   wire n_7149;
+   wire n_7150;
+   wire n_7151;
+   wire n_7152;
+   wire n_7153;
+   wire n_7154;
+   wire n_7155;
+   wire n_7156;
+   wire n_7157;
+   wire n_7158;
+   wire n_7159;
+   wire n_7160;
+   wire n_7161;
+   wire n_7162;
+   wire n_7163;
+   wire n_7164;
+   wire n_7165;
+   wire n_7166;
+   wire n_7167;
+   wire n_7168;
+   wire n_7169;
+   wire n_7170;
+   wire n_7171;
+   wire n_7172;
+   wire n_7173;
+   wire n_7174;
+   wire n_7175;
+   wire n_7176;
+   wire n_7177;
+   wire n_7178;
+   wire n_7179;
+   wire n_7180;
+   wire n_7181;
+   wire n_7182;
+   wire n_7183;
+   wire n_7184;
+   wire n_7185;
+   wire n_7186;
+   wire n_7187;
+   wire n_7188;
+   wire n_7189;
+   wire n_7190;
+   wire n_7191;
+   wire n_7192;
+   wire n_7193;
+   wire n_7194;
+   wire n_7195;
+   wire n_7196;
+   wire n_7197;
+   wire n_7198;
+   wire n_7199;
+   wire n_7200;
+   wire n_7201;
+   wire n_7202;
+   wire n_7203;
+   wire n_7204;
+   wire n_7205;
+   wire n_7206;
+   wire n_7207;
+   wire n_7208;
+   wire n_7209;
+   wire n_7210;
+   wire n_7211;
+   wire n_7212;
+   wire n_7213;
+   wire n_7214;
+   wire n_7215;
+   wire n_7216;
+   wire n_7217;
+   wire n_7218;
+   wire n_7219;
+   wire n_7220;
+   wire n_7221;
+   wire n_7222;
+   wire n_7223;
+   wire n_7224;
+   wire n_7225;
+   wire n_7226;
+   wire n_7227;
+   wire n_7228;
+   wire n_7229;
+   wire n_7230;
+   wire n_7231;
+   wire n_7232;
+   wire n_7233;
+   wire n_7234;
+   wire n_7235;
+   wire n_7236;
+   wire n_7237;
+   wire n_7238;
+   wire n_7239;
+   wire n_7240;
+   wire n_7241;
+   wire n_7242;
+   wire n_7243;
+   wire n_7244;
+   wire n_7245;
+   wire n_7246;
+   wire n_7247;
+   wire n_7248;
+   wire n_7249;
+   wire n_7250;
+   wire n_7251;
+   wire n_7252;
+   wire n_7253;
+   wire n_7254;
+   wire n_7255;
+   wire n_7256;
+   wire n_7257;
+   wire n_7258;
+   wire n_7259;
+   wire n_7260;
+   wire n_7261;
+   wire n_7262;
+   wire n_7263;
+   wire n_7264;
+   wire n_7265;
+   wire n_7266;
+   wire n_7267;
+   wire n_7268;
+   wire n_7269;
+   wire n_7270;
+   wire n_7271;
+   wire n_7272;
+   wire n_7273;
+   wire n_7274;
+   wire n_7275;
+   wire n_7276;
+   wire n_7277;
+   wire n_7278;
+   wire n_7279;
+   wire n_7280;
+   wire n_7281;
+   wire n_7282;
+   wire n_7283;
+   wire n_7284;
+   wire n_7285;
+   wire n_7286;
+   wire n_7287;
+   wire n_7288;
+   wire n_7289;
+   wire n_7290;
+   wire n_7291;
+   wire n_7292;
+   wire n_7293;
+   wire n_7294;
+   wire n_7295;
+   wire n_7296;
+   wire n_7297;
+   wire n_7298;
+   wire n_7299;
+   wire n_7300;
+   wire n_7301;
+   wire n_7302;
+   wire n_7303;
+   wire n_7304;
+   wire n_7305;
+   wire n_7306;
+   wire n_7307;
+   wire n_7308;
+   wire n_7309;
+   wire n_7310;
+   wire n_7311;
+   wire n_7312;
+   wire n_7313;
+   wire n_7314;
+   wire n_7315;
+   wire n_7316;
+   wire n_7317;
+   wire n_7318;
+   wire n_7319;
+   wire n_7320;
+   wire n_7321;
+   wire n_7322;
+   wire n_7323;
+   wire n_7324;
+   wire n_7325;
+   wire n_7326;
+   wire n_7327;
+   wire n_7328;
+   wire n_7329;
+   wire n_7330;
+   wire n_7331;
+   wire n_7332;
+   wire n_7333;
+   wire n_7334;
+   wire n_7335;
+   wire n_7336;
+   wire n_7337;
+   wire n_7338;
+   wire n_7339;
+   wire n_7340;
+   wire n_7341;
+   wire n_7342;
+   wire n_7343;
+   wire n_7344;
+   wire n_7345;
+   wire n_7346;
+   wire n_7347;
+   wire n_7348;
+   wire n_7349;
+   wire n_7350;
+   wire n_7351;
+   wire n_7352;
+   wire n_7353;
+   wire n_7354;
+   wire n_7355;
+   wire n_7356;
+   wire n_7357;
+   wire n_7358;
+   wire n_7359;
+   wire n_7360;
+   wire n_7361;
+   wire n_7362;
+   wire n_7363;
+   wire n_7364;
+   wire n_7365;
+   wire n_7366;
+   wire n_7367;
+   wire n_7368;
+   wire n_7369;
+   wire n_7370;
+   wire n_7371;
+   wire n_7372;
+   wire n_7373;
+   wire n_7374;
+   wire n_7375;
+   wire n_7376;
+   wire n_7377;
+   wire n_7378;
+   wire n_7379;
+   wire n_7380;
+   wire n_7381;
+   wire n_7382;
+   wire n_7383;
+   wire n_7384;
+   wire n_7385;
+   wire n_7386;
+   wire n_7387;
+   wire n_7388;
+   wire n_7389;
+   wire n_7390;
+   wire n_7391;
+   wire n_7392;
+   wire n_7393;
+   wire n_7394;
+   wire n_7395;
+   wire n_7396;
+   wire n_7397;
+   wire n_7398;
+   wire n_7399;
+   wire n_7400;
+   wire n_7401;
+   wire n_7402;
+   wire n_7403;
+   wire n_7404;
+   wire n_7405;
+   wire n_7406;
+   wire n_7407;
+   wire n_7408;
+   wire n_7409;
+   wire n_7410;
+   wire n_7411;
+   wire n_7412;
+   wire n_7413;
+   wire n_7414;
+   wire n_7415;
+   wire n_7416;
+   wire n_7417;
+   wire n_7418;
+   wire n_7419;
+   wire n_7420;
+   wire n_7421;
+   wire n_7422;
+   wire n_7423;
+   wire n_7424;
+   wire n_7425;
+   wire n_7426;
+   wire n_7427;
+   wire n_7428;
+   wire n_7429;
+   wire n_7430;
+   wire n_7431;
+   wire n_7432;
+   wire n_7433;
+   wire n_7434;
+   wire n_7435;
+   wire n_7436;
+   wire n_7437;
+   wire n_7438;
+   wire n_7439;
+   wire n_7440;
+   wire n_7441;
+   wire n_7442;
+   wire n_7443;
+   wire n_7444;
+   wire n_7445;
+   wire n_7446;
+   wire n_7447;
+   wire n_7448;
+   wire n_7449;
+   wire n_7450;
+   wire n_7451;
+   wire n_7452;
+   wire n_7453;
+   wire n_7454;
+   wire n_7455;
+   wire n_7456;
+   wire n_7457;
+   wire n_7458;
+   wire n_7459;
+   wire n_7460;
+   wire n_7461;
+   wire n_7462;
+   wire n_7463;
+   wire n_7464;
+   wire n_7465;
+   wire n_7466;
+   wire n_7467;
+   wire n_7468;
+   wire n_7469;
+   wire n_7470;
+   wire n_7471;
+   wire n_7472;
+   wire n_7473;
+   wire n_7474;
+   wire n_7475;
+   wire n_7476;
+   wire n_7477;
+   wire n_7478;
+   wire n_7479;
+   wire n_7480;
+   wire n_7481;
+   wire n_7482;
+   wire n_7483;
+   wire n_7484;
+   wire n_7485;
+   wire n_7486;
+   wire n_7487;
+   wire n_7488;
+   wire n_7489;
+   wire n_7490;
+   wire n_7491;
+   wire n_7492;
+   wire n_7493;
+   wire n_7494;
+   wire n_7495;
+   wire n_7496;
+   wire n_7497;
+   wire n_7498;
+   wire n_7499;
+   wire n_7500;
+   wire n_7501;
+   wire n_7502;
+   wire n_7503;
+   wire n_7504;
+   wire n_7505;
+   wire n_7506;
+   wire n_7507;
+   wire n_7508;
+   wire n_7509;
+   wire n_7510;
+   wire n_7511;
+   wire n_7512;
+   wire n_7513;
+   wire n_7514;
+   wire n_7515;
+   wire n_7516;
+   wire n_7517;
+   wire n_7518;
+   wire n_7519;
+   wire n_7520;
+   wire n_7521;
+   wire n_7522;
+   wire n_7523;
+   wire n_7524;
+   wire n_7525;
+   wire n_7526;
+   wire n_7527;
+   wire n_7528;
+   wire n_7529;
+   wire n_7530;
+   wire n_7531;
+   wire n_7532;
+   wire n_7533;
+   wire n_7534;
+   wire n_7535;
+   wire n_7536;
+   wire n_7537;
+   wire n_7538;
+   wire n_7539;
+   wire n_7540;
+   wire n_7541;
+   wire n_7542;
+   wire n_7543;
+   wire n_7544;
+   wire n_7545;
+   wire n_7546;
+   wire n_7547;
+   wire n_7548;
+   wire n_7549;
+   wire n_7550;
+   wire n_7551;
+   wire n_7552;
+   wire n_7553;
+   wire n_7554;
+   wire n_7555;
+   wire n_7556;
+   wire n_7557;
+   wire n_7558;
+   wire n_7559;
+   wire n_7560;
+   wire n_7561;
+   wire n_7562;
+   wire n_7563;
+   wire n_7564;
+   wire n_7565;
+   wire n_7566;
+   wire n_7567;
+   wire n_7568;
+   wire n_7569;
+   wire n_7570;
+   wire n_7571;
+   wire n_7572;
+   wire n_7573;
+   wire n_7574;
+   wire n_7575;
+   wire n_7576;
+   wire n_7577;
+   wire n_7578;
+   wire n_7579;
+   wire n_7580;
+   wire n_7581;
+   wire n_7582;
+   wire n_7583;
+   wire n_7584;
+   wire n_7585;
+   wire n_7586;
+   wire n_7587;
+   wire n_7588;
+   wire n_7589;
+   wire n_7590;
+   wire n_7591;
+   wire n_7592;
+   wire n_7593;
+   wire n_7594;
+   wire n_7595;
+   wire n_7596;
+   wire n_7597;
+   wire n_7598;
+   wire n_7599;
+   wire n_7600;
+   wire n_7601;
+   wire n_7602;
+   wire n_7603;
+   wire n_7604;
+   wire n_7605;
+   wire n_7606;
+   wire n_7607;
+   wire n_7608;
+   wire n_7609;
+   wire n_7610;
+   wire n_7611;
+   wire n_7612;
+   wire n_7613;
+   wire n_7614;
+   wire n_7615;
+   wire n_7616;
+   wire n_7617;
+   wire n_7618;
+   wire n_7619;
+   wire n_7620;
+   wire n_7621;
+   wire n_7622;
+   wire n_7623;
+   wire n_7624;
+   wire n_7625;
+   wire n_7626;
+   wire n_7627;
+   wire n_7628;
+   wire n_7629;
+   wire n_7630;
+   wire n_7631;
+   wire n_7632;
+   wire n_7633;
+   wire n_7634;
+   wire n_7635;
+   wire n_7636;
+   wire n_7637;
+   wire n_7638;
+   wire n_7639;
+   wire n_7640;
+   wire n_7641;
+   wire n_7642;
+   wire n_7643;
+   wire n_7644;
+   wire n_7645;
+   wire n_7646;
+   wire n_7647;
+   wire n_7648;
+   wire n_7649;
+   wire n_7650;
+   wire n_7651;
+   wire n_7652;
+   wire n_7653;
+   wire n_7654;
+   wire n_7655;
+   wire n_7656;
+   wire n_7657;
+   wire n_7658;
+   wire n_7659;
+   wire n_7660;
+   wire n_7661;
+   wire n_7662;
+   wire n_7663;
+   wire n_7664;
+   wire n_7665;
+   wire n_7666;
+   wire n_7667;
+   wire n_7668;
+   wire n_7669;
+   wire n_7670;
+   wire n_7671;
+   wire n_7672;
+   wire n_7673;
+   wire n_7674;
+   wire n_7675;
+   wire n_7676;
+   wire n_7677;
+   wire n_7678;
+   wire n_7679;
+   wire n_7680;
+   wire n_7681;
+   wire n_7682;
+   wire n_7683;
+   wire n_7684;
+   wire n_7685;
+   wire n_7686;
+   wire n_7687;
+   wire n_7688;
+   wire n_7689;
+   wire n_7690;
+   wire n_7691;
+   wire n_7692;
+   wire n_7693;
+   wire n_7694;
+   wire n_7695;
+   wire n_7696;
+   wire n_7697;
+   wire n_7698;
+   wire n_7699;
+   wire n_7700;
+   wire n_7701;
+   wire n_7702;
+   wire n_7703;
+   wire n_7704;
+   wire n_7705;
+   wire n_7706;
+   wire n_7707;
+   wire n_7708;
+   wire n_7709;
+   wire n_7710;
+   wire n_7711;
+   wire n_7712;
+   wire n_7713;
+   wire n_7714;
+   wire n_7715;
+   wire n_7716;
+   wire n_7717;
+   wire n_7718;
+   wire n_7719;
+   wire n_7720;
+   wire n_7721;
+   wire n_7722;
+   wire n_7723;
+   wire n_7724;
+   wire n_7725;
+   wire n_7726;
+   wire n_7727;
+   wire n_7728;
+   wire n_7729;
+   wire n_7730;
+   wire n_7731;
+   wire n_7732;
+   wire n_7733;
+   wire n_7734;
+   wire n_7735;
+   wire n_7736;
+   wire n_7737;
+   wire n_7738;
+   wire n_7740;
+   wire n_7741;
+   wire n_7742;
+   wire n_7743;
+   wire n_7744;
+   wire n_7745;
+   wire n_7746;
+   wire n_7748;
+   wire n_7749;
+   wire n_7750;
+   wire n_7751;
+   wire n_7752;
+   wire n_7754;
+   wire n_7756;
+   wire n_7757;
+   wire n_7758;
+   wire n_7759;
+   wire n_7760;
+   wire n_7761;
+   wire n_7762;
+   wire n_7763;
+   wire n_7764;
+   wire n_7765;
+   wire n_7766;
+   wire n_7767;
+   wire n_7768;
+   wire n_7769;
+   wire n_7770;
+   wire n_7771;
+   wire n_7772;
+   wire n_7773;
+   wire n_7774;
+   wire n_7775;
+   wire n_7776;
+   wire n_7777;
+   wire n_7778;
+   wire n_7779;
+   wire n_7780;
+   wire n_7781;
+   wire n_7782;
+   wire n_7783;
+   wire n_7784;
+   wire n_7785;
+   wire n_7786;
+   wire n_7787;
+   wire n_7788;
+   wire n_7789;
+   wire n_7790;
+   wire n_7791;
+   wire n_7792;
+   wire n_7793;
+   wire n_7794;
+   wire n_7795;
+   wire n_7796;
+   wire n_7797;
+   wire n_7798;
+   wire n_7799;
+   wire n_7800;
+   wire n_7801;
+   wire n_7802;
+   wire n_7803;
+   wire n_7804;
+   wire n_7805;
+   wire n_7806;
+   wire n_7807;
+   wire n_7808;
+   wire n_7809;
+   wire n_7810;
+   wire n_7811;
+   wire n_7812;
+   wire n_7813;
+   wire n_7814;
+   wire n_7815;
+   wire n_7816;
+   wire n_7817;
+   wire n_7818;
+   wire n_7820;
+   wire n_7822;
+   wire n_7823;
+   wire n_7824;
+   wire n_7825;
+   wire n_7827;
+   wire n_7828;
+   wire n_7829;
+   wire n_7830;
+   wire n_7831;
+   wire n_7832;
+   wire n_7833;
+   wire n_7834;
+   wire n_7835;
+   wire n_7836;
+   wire n_7837;
+   wire n_7838;
+   wire n_7839;
+   wire n_7840;
+   wire n_7841;
+   wire n_7842;
+   wire n_7843;
+   wire n_7844;
+   wire n_7845;
+   wire n_7846;
+   wire n_7847;
+   wire n_7848;
+   wire n_7849;
+   wire n_7850;
+   wire n_7851;
+   wire n_7852;
+   wire n_7853;
+   wire n_7854;
+   wire n_7855;
+   wire n_7856;
+   wire n_7857;
+   wire n_7858;
+   wire n_7864;
+   wire n_7865;
+   wire n_7867;
+   wire n_7868;
+   wire n_7869;
+   wire n_7870;
+   wire n_7871;
+   wire n_7875;
+   wire n_7876;
+   wire n_7877;
+   wire n_7878;
+   wire n_7879;
+   wire n_7880;
+   wire n_7881;
+   wire n_7882;
+   wire n_7883;
+   wire n_7884;
+   wire n_7885;
+   wire n_7886;
+   wire n_7887;
+   wire n_7888;
+   wire n_7889;
+   wire n_7890;
+   wire n_7891;
+   wire n_7892;
+   wire n_7893;
+   wire n_7894;
+   wire n_7895;
+   wire n_7896;
+   wire n_7897;
+   wire n_7898;
+   wire n_7899;
+   wire n_7900;
+   wire n_7901;
+   wire n_7902;
+   wire n_7903;
+   wire n_7904;
+   wire n_7906;
+   wire n_7907;
+   wire n_7908;
+   wire n_7909;
+   wire n_7911;
+   wire n_7912;
+   wire n_7913;
+   wire n_7914;
+   wire n_7915;
+   wire n_7916;
+   wire n_7917;
+   wire n_7918;
+   wire n_7919;
+   wire n_7920;
+   wire n_7921;
+   wire n_7922;
+   wire n_7923;
+   wire n_7924;
+   wire n_7925;
+   wire n_7926;
+   wire n_7927;
+   wire n_7928;
+   wire n_7929;
+   wire n_7930;
+   wire n_7931;
+   wire n_7932;
+   wire n_7933;
+   wire n_7934;
+   wire n_7935;
+   wire n_7937;
+   wire n_7938;
+   wire n_7939;
+   wire n_7940;
+   wire n_7941;
+   wire n_7942;
+   wire n_7943;
+   wire n_7944;
+   wire n_7945;
+   wire n_7946;
+   wire n_7947;
+   wire n_7948;
+   wire n_7949;
+   wire n_7950;
+   wire n_7951;
+   wire n_7952;
+   wire n_7953;
+   wire n_7954;
+   wire n_7955;
+   wire n_7956;
+   wire n_7957;
+   wire n_7958;
+   wire n_7959;
+   wire n_7960;
+   wire n_7961;
+   wire n_7962;
+   wire n_7963;
+   wire n_7964;
+   wire n_7965;
+   wire n_7966;
+   wire n_7967;
+   wire n_7968;
+   wire n_7969;
+   wire n_7970;
+   wire n_7971;
+   wire n_7972;
+   wire n_7973;
+   wire n_7974;
+   wire n_7975;
+   wire n_7976;
+   wire n_7977;
+   wire n_7978;
+   wire n_7979;
+   wire n_7980;
+   wire n_7981;
+   wire n_7982;
+   wire n_7983;
+   wire n_7984;
+   wire n_7985;
+   wire n_7986;
+   wire n_7987;
+   wire n_7988;
+   wire n_7989;
+   wire n_7990;
+   wire n_7991;
+   wire n_7992;
+   wire n_7993;
+   wire n_7994;
+   wire n_7995;
+   wire n_7996;
+   wire n_7997;
+   wire n_7998;
+   wire n_7999;
+   wire n_8000;
+   wire n_8001;
+   wire n_8002;
+   wire n_8003;
+   wire n_8004;
+   wire n_8005;
+   wire n_8006;
+   wire n_8007;
+   wire n_8008;
+   wire n_8009;
+   wire n_8010;
+   wire n_8011;
+   wire n_8012;
+   wire n_8013;
+   wire n_8014;
+   wire n_8015;
+   wire n_8016;
+   wire n_8017;
+   wire n_8018;
+   wire n_8019;
+   wire n_8020;
+   wire n_8021;
+   wire n_8022;
+   wire n_8023;
+   wire n_8024;
+   wire n_8025;
+   wire n_8026;
+   wire n_8027;
+   wire n_8028;
+   wire n_8029;
+   wire n_8030;
+   wire n_8031;
+   wire n_8032;
+   wire n_8033;
+   wire n_8034;
+   wire n_8035;
+   wire n_8036;
+   wire n_8037;
+   wire n_8038;
+   wire n_8039;
+   wire n_8040;
+   wire n_8041;
+   wire n_8042;
+   wire n_8043;
+   wire n_8044;
+   wire n_8045;
+   wire n_8046;
+   wire n_8047;
+   wire n_8048;
+   wire n_8049;
+   wire n_8050;
+   wire n_8051;
+   wire n_8052;
+   wire n_8053;
+   wire n_8054;
+   wire n_8055;
+   wire n_8056;
+   wire n_8057;
+   wire n_8058;
+   wire n_8059;
+   wire n_8060;
+   wire n_8061;
+   wire n_8062;
+   wire n_8063;
+   wire n_8064;
+   wire n_8065;
+   wire n_8066;
+   wire n_8067;
+   wire n_8068;
+   wire n_8069;
+   wire n_8070;
+   wire n_8071;
+   wire n_8072;
+   wire n_8073;
+   wire n_8074;
+   wire n_8075;
+   wire n_8076;
+   wire n_8077;
+   wire n_8078;
+   wire n_8079;
+   wire n_8080;
+   wire n_8081;
+   wire n_8082;
+   wire n_8083;
+   wire n_8084;
+   wire n_8085;
+   wire n_8086;
+   wire n_8087;
+   wire n_8088;
+   wire n_8089;
+   wire n_8090;
+   wire n_8091;
+   wire n_8092;
+   wire n_8093;
+   wire n_8094;
+   wire n_8095;
+   wire n_8096;
+   wire n_8097;
+   wire n_8098;
+   wire n_8099;
+   wire n_8100;
+   wire n_8101;
+   wire n_8102;
+   wire n_8103;
+   wire n_8104;
+   wire n_8105;
+   wire n_8106;
+   wire n_8107;
+   wire n_8108;
+   wire n_8109;
+   wire n_8110;
+   wire n_8111;
+   wire n_8112;
+   wire n_8113;
+   wire n_8114;
+   wire n_8115;
+   wire n_8116;
+   wire n_8117;
+   wire n_8118;
+   wire n_8119;
+   wire n_8120;
+   wire n_8121;
+   wire n_8122;
+   wire n_8123;
+   wire n_8124;
+   wire n_8125;
+   wire n_8126;
+   wire n_8127;
+   wire n_8128;
+   wire n_8129;
+   wire n_8130;
+   wire n_8131;
+   wire n_8132;
+   wire n_8133;
+   wire n_8134;
+   wire n_8135;
+   wire n_8136;
+   wire n_8137;
+   wire n_8138;
+   wire n_8139;
+   wire n_8140;
+   wire n_8141;
+   wire n_8142;
+   wire n_8143;
+   wire n_8144;
+   wire n_8145;
+   wire n_8146;
+   wire n_8147;
+   wire n_8148;
+   wire n_8150;
+   wire n_8151;
+   wire n_8152;
+   wire n_8154;
+   wire n_8155;
+   wire n_8156;
+   wire n_8157;
+   wire n_8158;
+   wire n_8159;
+   wire n_8160;
+   wire n_8161;
+   wire n_8162;
+   wire n_8163;
+   wire n_8164;
+   wire n_8165;
+   wire n_8166;
+   wire n_8167;
+   wire n_8168;
+   wire n_8170;
+   wire n_8172;
+   wire n_8174;
+   wire n_8176;
+   wire n_8178;
+   wire n_8180;
+   wire n_8181;
+   wire n_8182;
+   wire n_8183;
+   wire n_8184;
+   wire n_8185;
+   wire n_8186;
+   wire n_8187;
+   wire n_8188;
+   wire n_8189;
+   wire n_8190;
+   wire n_8192;
+   wire n_8193;
+   wire n_8194;
+   wire n_8195;
+   wire n_8197;
+   wire n_8198;
+   wire n_8199;
+   wire n_8200;
+   wire n_8201;
+   wire n_8202;
+   wire n_8203;
+   wire n_8204;
+   wire n_8205;
+   wire n_8208;
+   wire n_8209;
+   wire n_8210;
+   wire n_8211;
+   wire n_8213;
+   wire n_8216;
+   wire n_8217;
+   wire n_8218;
+   wire n_8219;
+   wire n_8221;
+   wire n_8222;
+   wire n_8223;
+   wire n_8225;
+   wire n_8228;
+   wire n_8229;
+   wire n_8232;
+   wire n_8233;
+   wire n_8234;
+   wire n_8235;
+   wire n_8237;
+   wire n_8240;
+   wire n_8241;
+   wire n_8243;
+   wire n_8248;
+   wire n_8250;
+   wire n_8251;
+   wire n_8252;
+   wire n_8253;
+   wire n_8254;
+   wire n_8255;
+   wire n_8256;
+   wire n_8257;
+   wire n_8258;
+   wire n_8259;
+   wire n_8260;
+   wire n_8261;
+   wire n_8262;
+   wire n_8263;
+   wire n_8264;
+   wire n_8265;
+   wire n_8266;
+   wire n_8267;
+   wire n_8268;
+   wire n_8269;
+   wire n_8270;
+   wire n_8271;
+   wire n_8272;
+   wire n_8273;
+   wire n_8275;
+   wire n_8276;
+   wire n_8277;
+   wire n_8279;
+   wire n_8281;
+   wire n_8282;
+   wire n_8283;
+   wire n_8284;
+   wire n_8286;
+   wire n_8287;
+   wire n_8288;
+   wire n_8289;
+   wire n_8290;
+   wire n_8291;
+   wire n_8292;
+   wire n_8293;
+   wire n_8294;
+   wire n_8295;
+   wire n_8296;
+   wire n_8297;
+   wire n_8298;
+   wire n_8299;
+   wire n_8300;
+   wire n_8301;
+   wire n_8302;
+   wire n_8303;
+   wire n_8304;
+   wire n_8305;
+   wire n_8306;
+   wire n_8307;
+   wire n_8308;
+   wire n_8309;
+   wire n_8310;
+   wire n_8313;
+   wire n_8314;
+   wire n_8315;
+   wire n_8319;
+   wire n_8320;
+   wire n_8321;
+   wire n_8322;
+   wire n_8323;
+   wire n_8324;
+   wire n_8325;
+   wire n_8327;
+   wire n_8328;
+   wire n_8331;
+   wire n_8332;
+   wire n_8333;
+   wire n_8334;
+   wire n_8335;
+   wire n_8336;
+   wire n_8337;
+   wire n_8338;
+   wire n_8339;
+   wire n_8340;
+   wire n_8341;
+   wire n_8342;
+   wire n_8343;
+   wire n_8344;
+   wire n_8345;
+   wire n_8346;
+   wire n_8347;
+   wire n_8348;
+   wire n_8349;
+   wire n_8350;
+   wire n_8351;
+   wire n_8352;
+   wire n_8353;
+   wire n_8354;
+   wire n_8355;
+   wire n_8356;
+   wire n_8357;
+   wire n_8358;
+   wire n_8359;
+   wire n_8360;
+   wire n_8361;
+   wire n_8362;
+   wire n_8363;
+   wire n_8364;
+   wire n_8365;
+   wire n_8366;
+   wire n_8367;
+   wire n_8368;
+   wire n_8369;
+   wire n_8370;
+   wire n_8371;
+   wire n_8372;
+   wire n_8373;
+   wire n_8374;
+   wire n_8375;
+   wire n_8376;
+   wire n_8377;
+   wire n_8378;
+   wire n_8379;
+   wire n_8380;
+   wire n_8381;
+   wire n_8382;
+   wire n_8383;
+   wire n_8384;
+   wire n_8385;
+   wire n_8386;
+   wire n_8387;
+   wire n_8388;
+   wire n_8389;
+   wire n_8390;
+   wire n_8391;
+   wire n_8392;
+   wire n_8393;
+   wire n_8394;
+   wire n_8395;
+   wire n_8396;
+   wire n_8397;
+   wire n_8398;
+   wire n_8399;
+   wire n_8400;
+   wire n_8401;
+   wire n_8402;
+   wire n_8403;
+   wire n_8404;
+   wire n_8405;
+   wire n_8406;
+   wire n_8407;
+   wire n_8408;
+   wire n_8409;
+   wire n_8410;
+   wire n_8411;
+   wire n_8412;
+   wire n_8413;
+   wire n_8414;
+   wire n_8415;
+   wire n_8416;
+   wire n_8417;
+   wire n_8418;
+   wire n_8419;
+   wire n_8420;
+   wire n_8421;
+   wire n_8422;
+   wire n_8423;
+   wire n_8424;
+   wire n_8425;
+   wire n_8426;
+   wire n_8427;
+   wire n_8428;
+   wire n_8429;
+   wire n_8430;
+   wire n_8431;
+   wire n_8432;
+   wire n_8433;
+   wire n_8434;
+   wire n_8435;
+   wire n_8436;
+   wire n_8437;
+   wire n_8438;
+   wire n_8439;
+   wire n_8440;
+   wire n_8441;
+   wire n_8442;
+   wire n_8443;
+   wire n_8444;
+   wire n_8445;
+   wire n_8446;
+   wire n_8447;
+   wire n_8448;
+   wire n_8449;
+   wire n_8450;
+   wire n_8451;
+   wire n_8452;
+   wire n_8453;
+   wire n_8454;
+   wire n_8455;
+   wire n_8456;
+   wire n_8457;
+   wire n_8458;
+   wire n_8459;
+   wire n_8460;
+   wire n_8461;
+   wire n_8462;
+   wire n_8463;
+   wire n_8464;
+   wire n_8465;
+   wire n_8466;
+   wire n_8467;
+   wire n_8468;
+   wire n_8469;
+   wire n_8470;
+   wire n_8471;
+   wire n_8472;
+   wire n_8473;
+   wire n_8474;
+   wire n_8475;
+   wire n_8477;
+   wire n_8478;
+   wire n_8479;
+   wire n_8480;
+   wire n_8481;
+   wire n_8482;
+   wire n_8483;
+   wire n_8484;
+   wire n_8485;
+   wire n_8486;
+   wire n_8487;
+   wire n_8488;
+   wire n_8489;
+   wire n_8490;
+   wire n_8491;
+   wire n_8492;
+   wire n_8493;
+   wire n_8494;
+   wire n_8495;
+   wire n_8496;
+   wire n_8497;
+   wire n_8498;
+   wire n_8499;
+   wire n_8500;
+   wire n_8501;
+   wire n_8502;
+   wire n_8503;
+   wire n_8504;
+   wire n_8505;
+   wire n_8506;
+   wire n_8507;
+   wire n_8508;
+   wire n_8509;
+   wire n_8510;
+   wire n_8511;
+   wire n_8512;
+   wire n_8513;
+   wire n_8514;
+   wire n_8515;
+   wire n_8516;
+   wire n_8517;
+   wire n_8518;
+   wire n_8519;
+   wire n_8520;
+   wire n_8521;
+   wire n_8522;
+   wire n_8523;
+   wire n_8524;
+   wire n_8525;
+   wire n_8526;
+   wire n_8527;
+   wire n_8528;
+   wire n_8529;
+   wire n_8530;
+   wire n_8531;
+   wire n_8532;
+   wire n_8533;
+   wire n_8534;
+   wire n_8535;
+   wire n_8536;
+   wire n_8537;
+   wire n_8538;
+   wire n_8539;
+   wire n_8540;
+   wire n_8541;
+   wire n_8542;
+   wire n_8543;
+   wire n_8544;
+   wire n_8545;
+   wire n_8546;
+   wire n_8547;
+   wire n_8548;
+   wire n_8549;
+   wire n_8550;
+   wire n_8551;
+   wire n_8552;
+   wire n_8553;
+   wire n_8554;
+   wire n_8555;
+   wire n_8556;
+   wire n_8557;
+   wire n_8558;
+   wire n_8559;
+   wire n_8560;
+   wire n_8561;
+   wire n_8562;
+   wire n_8563;
+   wire n_8564;
+   wire n_8565;
+   wire n_8566;
+   wire n_8567;
+   wire n_8568;
+   wire n_8569;
+   wire n_8570;
+   wire n_8571;
+   wire n_8572;
+   wire n_8573;
+   wire n_8574;
+   wire n_8575;
+   wire n_8576;
+   wire n_8577;
+   wire n_8578;
+   wire n_8579;
+   wire n_8580;
+   wire n_8581;
+   wire n_8582;
+   wire n_8583;
+   wire n_8584;
+   wire n_8585;
+   wire n_8586;
+   wire n_8587;
+   wire n_8588;
+   wire n_8589;
+   wire n_8590;
+   wire n_8591;
+   wire n_8592;
+   wire n_8593;
+   wire n_8594;
+   wire n_8595;
+   wire n_8596;
+   wire n_8597;
+   wire n_8598;
+   wire n_8599;
+   wire n_8600;
+   wire n_8601;
+   wire n_8602;
+   wire n_8603;
+   wire n_8604;
+   wire n_8605;
+   wire n_8606;
+   wire n_8607;
+   wire n_8608;
+   wire n_8609;
+   wire n_8610;
+   wire n_8611;
+   wire n_8612;
+   wire n_8613;
+   wire n_8614;
+   wire n_8615;
+   wire n_8616;
+   wire n_8617;
+   wire n_8618;
+   wire n_8619;
+   wire n_8620;
+   wire n_8621;
+   wire n_8622;
+   wire n_8623;
+   wire n_8624;
+   wire n_8625;
+   wire n_8626;
+   wire n_8627;
+   wire n_8628;
+   wire n_8629;
+   wire n_8630;
+   wire n_8631;
+   wire n_8632;
+   wire n_8633;
+   wire n_8634;
+   wire n_8635;
+   wire n_8636;
+   wire n_8637;
+   wire n_8638;
+   wire n_8639;
+   wire n_8640;
+   wire n_8641;
+   wire n_8642;
+   wire n_8643;
+   wire n_8644;
+   wire n_8645;
+   wire n_8646;
+   wire n_8647;
+   wire n_8648;
+   wire n_8649;
+   wire n_8650;
+   wire n_8651;
+   wire n_8652;
+   wire n_8653;
+   wire n_8654;
+   wire n_8655;
+   wire n_8656;
+   wire n_8657;
+   wire n_8658;
+   wire n_8659;
+   wire n_8660;
+   wire n_8661;
+   wire n_8662;
+   wire n_8663;
+   wire n_8664;
+   wire n_8665;
+   wire n_8666;
+   wire n_8667;
+   wire n_8668;
+   wire n_8669;
+   wire n_8670;
+   wire n_8671;
+   wire n_8672;
+   wire n_8673;
+   wire n_8674;
+   wire n_8675;
+   wire n_8676;
+   wire n_8677;
+   wire n_8678;
+   wire n_8679;
+   wire n_8680;
+   wire n_8681;
+   wire n_8682;
+   wire n_8683;
+   wire n_8684;
+   wire n_8685;
+   wire n_8686;
+   wire n_8687;
+   wire n_8688;
+   wire n_8689;
+   wire n_8690;
+   wire n_8691;
+   wire n_8692;
+   wire n_8693;
+   wire n_8694;
+   wire n_8695;
+   wire n_8696;
+   wire n_8697;
+   wire n_8698;
+   wire n_8699;
+   wire n_8700;
+   wire n_8701;
+   wire n_8702;
+   wire n_8703;
+   wire n_8704;
+   wire n_8705;
+   wire n_8706;
+   wire n_8707;
+   wire n_8708;
+   wire n_8709;
+   wire n_8710;
+   wire n_8711;
+   wire n_8712;
+   wire n_8713;
+   wire n_8714;
+   wire n_8715;
+   wire n_8716;
+   wire n_8717;
+   wire n_8718;
+   wire n_8719;
+   wire n_8720;
+   wire n_8721;
+   wire n_8722;
+   wire n_8723;
+   wire n_8724;
+   wire n_8725;
+   wire n_8726;
+   wire n_8727;
+   wire n_8728;
+   wire n_8729;
+   wire n_8730;
+   wire n_8731;
+   wire n_8732;
+   wire n_8733;
+   wire n_8734;
+   wire n_8735;
+   wire n_8736;
+   wire n_8737;
+   wire n_8738;
+   wire n_8739;
+   wire n_8740;
+   wire n_8741;
+   wire n_8742;
+   wire n_8743;
+   wire n_8744;
+   wire n_8745;
+   wire n_8746;
+   wire n_8747;
+   wire n_8748;
+   wire n_8749;
+   wire n_8750;
+   wire n_8751;
+   wire n_8752;
+   wire n_8753;
+   wire n_8754;
+   wire n_8755;
+   wire n_8756;
+   wire n_8757;
+   wire n_8758;
+   wire n_8759;
+   wire n_8760;
+   wire n_8761;
+   wire n_8762;
+   wire n_8763;
+   wire n_8764;
+   wire n_8765;
+   wire n_8766;
+   wire n_8767;
+   wire n_8768;
+   wire n_8769;
+   wire n_8770;
+   wire n_8771;
+   wire n_8772;
+   wire n_8773;
+   wire n_8774;
+   wire n_8775;
+   wire n_8776;
+   wire n_8777;
+   wire n_8778;
+   wire n_8779;
+   wire n_8780;
+   wire n_8781;
+   wire n_8782;
+   wire n_8783;
+   wire n_8784;
+   wire n_8785;
+   wire n_8786;
+   wire n_8787;
+   wire n_8788;
+   wire n_8789;
+   wire n_8790;
+   wire n_8791;
+   wire n_8792;
+   wire n_8793;
+   wire n_8794;
+   wire n_8795;
+   wire n_8796;
+   wire n_8797;
+   wire n_8798;
+   wire n_8799;
+   wire n_8800;
+   wire n_8801;
+   wire n_8802;
+   wire n_8803;
+   wire n_8804;
+   wire n_8805;
+   wire n_8806;
+   wire n_8807;
+   wire n_8808;
+   wire n_8809;
+   wire n_8810;
+   wire n_8811;
+   wire n_8812;
+   wire n_8813;
+   wire n_8814;
+   wire n_8815;
+   wire n_8816;
+   wire n_8817;
+   wire n_8818;
+   wire n_8819;
+   wire n_8820;
+   wire n_8821;
+   wire n_8822;
+   wire n_8823;
+   wire n_8824;
+   wire n_8825;
+   wire n_8826;
+   wire n_8827;
+   wire n_8828;
+   wire n_8829;
+   wire n_8830;
+   wire n_8831;
+   wire n_8832;
+   wire n_8833;
+   wire n_8834;
+   wire n_8835;
+   wire n_8836;
+   wire n_8837;
+   wire n_8838;
+   wire n_8839;
+   wire n_8840;
+   wire n_8841;
+   wire n_8842;
+   wire n_8843;
+   wire n_8844;
+   wire n_8845;
+   wire n_8846;
+   wire n_8847;
+   wire n_8848;
+   wire n_8849;
+   wire n_8850;
+   wire n_8851;
+   wire n_8852;
+   wire n_8853;
+   wire n_8854;
+   wire n_8855;
+   wire n_8856;
+   wire n_8857;
+   wire n_8858;
+   wire n_8859;
+   wire n_8860;
+   wire n_8861;
+   wire n_8862;
+   wire n_8863;
+   wire n_8864;
+   wire n_8865;
+   wire n_8866;
+   wire n_8867;
+   wire n_8868;
+   wire n_8869;
+   wire n_8870;
+   wire n_8871;
+   wire n_8872;
+   wire n_8873;
+   wire n_8874;
+   wire n_8875;
+   wire n_8876;
+   wire n_8877;
+   wire n_8878;
+   wire n_8879;
+   wire n_8880;
+   wire n_8881;
+   wire n_8882;
+   wire n_8883;
+   wire n_8884;
+   wire n_8885;
+   wire n_8886;
+   wire n_8887;
+   wire n_8888;
+   wire n_8889;
+   wire n_8890;
+   wire n_8891;
+   wire n_8892;
+   wire n_8893;
+   wire n_8894;
+   wire n_8895;
+   wire n_8896;
+   wire n_8897;
+   wire n_8898;
+   wire n_8899;
+   wire n_8900;
+   wire n_8901;
+   wire n_8902;
+   wire n_8903;
+   wire n_8904;
+   wire n_8905;
+   wire n_8906;
+   wire n_8907;
+   wire n_8908;
+   wire n_8909;
+   wire n_8910;
+   wire n_8911;
+   wire n_8912;
+   wire n_8913;
+   wire n_8914;
+   wire n_8915;
+   wire n_8916;
+   wire n_8917;
+   wire n_8918;
+   wire n_8919;
+   wire n_8920;
+   wire n_8921;
+   wire n_8922;
+   wire n_8923;
+   wire n_8924;
+   wire n_8925;
+   wire n_8926;
+   wire n_8927;
+   wire n_8928;
+   wire n_8929;
+   wire n_8931;
+   wire n_8932;
+   wire n_8933;
+   wire n_8934;
+   wire n_8935;
+   wire n_8936;
+   wire n_8937;
+   wire n_8938;
+   wire n_8939;
+   wire n_8940;
+   wire n_8942;
+   wire n_8943;
+   wire n_8944;
+   wire n_8945;
+   wire n_8946;
+   wire n_8947;
+   wire n_8948;
+   wire n_8949;
+   wire n_8950;
+   wire n_8951;
+   wire n_8952;
+   wire n_8953;
+   wire n_8954;
+   wire n_8955;
+   wire n_8956;
+   wire n_8957;
+   wire n_8958;
+   wire n_8959;
+   wire n_8960;
+   wire n_8961;
+   wire n_8962;
+   wire n_8963;
+   wire n_8964;
+   wire n_8965;
+   wire n_8966;
+   wire n_8967;
+   wire n_8968;
+   wire n_8969;
+   wire n_8970;
+   wire n_8971;
+   wire n_8972;
+   wire n_8973;
+   wire n_8974;
+   wire n_8975;
+   wire n_8976;
+   wire n_8977;
+   wire n_8978;
+   wire n_8979;
+   wire n_8980;
+   wire n_8981;
+   wire n_8982;
+   wire n_8983;
+   wire n_8984;
+   wire n_8985;
+   wire n_8986;
+   wire n_8987;
+   wire n_8988;
+   wire n_8989;
+   wire n_8990;
+   wire n_8991;
+   wire n_8992;
+   wire n_8993;
+   wire n_8994;
+   wire n_8995;
+   wire n_8996;
+   wire n_8997;
+   wire n_8998;
+   wire n_8999;
+   wire n_9000;
+   wire n_9001;
+   wire n_9002;
+   wire n_9003;
+   wire n_9004;
+   wire n_9005;
+   wire n_9006;
+   wire n_9007;
+   wire n_9008;
+   wire n_9009;
+   wire n_9010;
+   wire n_9011;
+   wire n_9012;
+   wire n_9013;
+   wire n_9014;
+   wire n_9015;
+   wire n_9016;
+   wire n_9017;
+   wire n_9018;
+   wire n_9019;
+   wire n_9020;
+   wire n_9021;
+   wire n_9022;
+   wire n_9023;
+   wire n_9024;
+   wire n_9025;
+   wire n_9026;
+   wire n_9027;
+   wire n_9028;
+   wire n_9029;
+   wire n_9030;
+   wire n_9031;
+   wire n_9032;
+   wire n_9033;
+   wire n_9034;
+   wire n_9035;
+   wire n_9036;
+   wire n_9037;
+   wire n_9038;
+   wire n_9039;
+   wire n_9040;
+   wire n_9041;
+   wire n_9042;
+   wire n_9043;
+   wire n_9044;
+   wire n_9045;
+   wire n_9046;
+   wire n_9047;
+   wire n_9048;
+   wire n_9049;
+   wire n_9050;
+   wire n_9051;
+   wire n_9052;
+   wire n_9053;
+   wire n_9054;
+   wire n_9055;
+   wire n_9056;
+   wire n_9057;
+   wire n_9058;
+   wire n_9059;
+   wire n_9060;
+   wire n_9061;
+   wire n_9062;
+   wire n_9063;
+   wire n_9064;
+   wire n_9065;
+   wire n_9066;
+   wire n_9067;
+   wire n_9068;
+   wire n_9069;
+   wire n_9070;
+   wire n_9071;
+   wire n_9072;
+   wire n_9073;
+   wire n_9074;
+   wire n_9075;
+   wire n_9076;
+   wire n_9077;
+   wire n_9078;
+   wire n_9079;
+   wire n_9080;
+   wire n_9081;
+   wire n_9082;
+   wire n_9083;
+   wire n_9084;
+   wire n_9085;
+   wire n_9086;
+   wire n_9087;
+   wire n_9088;
+   wire n_9089;
+   wire n_9090;
+   wire n_9091;
+   wire n_9092;
+   wire n_9093;
+   wire n_9094;
+   wire n_9095;
+   wire n_9096;
+   wire n_9097;
+   wire n_9098;
+   wire n_9099;
+   wire n_9100;
+   wire n_9101;
+   wire n_9102;
+   wire n_9103;
+   wire n_9104;
+   wire n_9105;
+   wire n_9106;
+   wire n_9107;
+   wire n_9108;
+   wire n_9109;
+   wire n_9110;
+   wire n_9111;
+   wire n_9112;
+   wire n_9113;
+   wire n_9114;
+   wire n_9115;
+   wire n_9116;
+   wire n_9117;
+   wire n_9118;
+   wire n_9119;
+   wire n_9120;
+   wire n_9121;
+   wire n_9122;
+   wire n_9123;
+   wire n_9124;
+   wire n_9125;
+   wire n_9126;
+   wire n_9127;
+   wire n_9128;
+   wire n_9129;
+   wire n_9130;
+   wire n_9131;
+   wire n_9132;
+   wire n_9133;
+   wire n_9134;
+   wire n_9135;
+   wire n_9136;
+   wire n_9137;
+   wire n_9138;
+   wire n_9139;
+   wire n_9140;
+   wire n_9141;
+   wire n_9142;
+   wire n_9143;
+   wire n_9144;
+   wire n_9145;
+   wire n_9146;
+   wire n_9147;
+   wire n_9148;
+   wire n_9149;
+   wire n_9150;
+   wire n_9151;
+   wire n_9152;
+   wire n_9153;
+   wire n_9154;
+   wire n_9155;
+   wire n_9156;
+   wire n_9157;
+   wire n_9158;
+   wire n_9159;
+   wire n_9160;
+   wire n_9161;
+   wire n_9162;
+   wire n_9163;
+   wire n_9164;
+   wire n_9165;
+   wire n_9166;
+   wire n_9167;
+   wire n_9168;
+   wire n_9169;
+   wire n_9170;
+   wire n_9171;
+   wire n_9172;
+   wire n_9173;
+   wire n_9174;
+   wire n_9175;
+   wire n_9176;
+   wire n_9177;
+   wire n_9178;
+   wire n_9179;
+   wire n_9180;
+   wire n_9181;
+   wire n_9182;
+   wire n_9183;
+   wire n_9184;
+   wire n_9185;
+   wire n_9186;
+   wire n_9187;
+   wire n_9188;
+   wire n_9189;
+   wire n_9190;
+   wire n_9191;
+   wire n_9192;
+   wire n_9193;
+   wire n_9194;
+   wire n_9195;
+   wire n_9196;
+   wire n_9197;
+   wire n_9198;
+   wire n_9199;
+   wire n_9200;
+   wire n_9201;
+   wire n_9202;
+   wire n_9203;
+   wire n_9204;
+   wire n_9205;
+   wire n_9206;
+   wire n_9207;
+   wire n_9208;
+   wire n_9209;
+   wire n_9210;
+   wire n_9211;
+   wire n_9212;
+   wire n_9213;
+   wire n_9214;
+   wire n_9215;
+   wire n_9216;
+   wire n_9217;
+   wire n_9218;
+   wire n_9219;
+   wire n_9220;
+   wire n_9221;
+   wire n_9222;
+   wire n_9223;
+   wire n_9224;
+   wire n_9225;
+   wire n_9226;
+   wire n_9227;
+   wire n_9228;
+   wire n_9229;
+   wire n_9230;
+   wire n_9231;
+   wire n_9232;
+   wire n_9233;
+   wire n_9234;
+   wire n_9235;
+   wire n_9236;
+   wire n_9237;
+   wire n_9238;
+   wire n_9239;
+   wire n_9240;
+   wire n_9241;
+   wire n_9242;
+   wire n_9243;
+   wire n_9244;
+   wire n_9245;
+   wire n_9246;
+   wire n_9247;
+   wire n_9248;
+   wire n_9249;
+   wire n_9250;
+   wire n_9251;
+   wire n_9252;
+   wire n_9253;
+   wire n_9254;
+   wire n_9255;
+   wire n_9256;
+   wire n_9257;
+   wire n_9258;
+   wire n_9259;
+   wire n_9260;
+   wire n_9261;
+   wire n_9262;
+   wire n_9263;
+   wire n_9264;
+   wire n_9265;
+   wire n_9266;
+   wire n_9267;
+   wire n_9268;
+   wire n_9269;
+   wire n_9270;
+   wire n_9271;
+   wire n_9272;
+   wire n_9273;
+   wire n_9274;
+   wire n_9275;
+   wire n_9276;
+   wire n_9277;
+   wire n_9278;
+   wire n_9279;
+   wire n_9280;
+   wire n_9281;
+   wire n_9282;
+   wire n_9283;
+   wire n_9284;
+   wire n_9285;
+   wire n_9286;
+   wire n_9287;
+   wire n_9288;
+   wire n_9289;
+   wire n_9290;
+   wire n_9291;
+   wire n_9292;
+   wire n_9293;
+   wire n_9294;
+   wire n_9295;
+   wire n_9296;
+   wire n_9297;
+   wire n_9298;
+   wire n_9299;
+   wire n_9300;
+   wire n_9301;
+   wire n_9302;
+   wire n_9303;
+   wire n_9304;
+   wire n_9305;
+   wire n_9306;
+   wire n_9307;
+   wire n_9308;
+   wire n_9309;
+   wire n_9310;
+   wire n_9311;
+   wire n_9312;
+   wire n_9313;
+   wire n_9314;
+   wire n_9315;
+   wire n_9316;
+   wire n_9317;
+   wire n_9318;
+   wire n_9319;
+   wire n_9320;
+   wire n_9321;
+   wire n_9322;
+   wire n_9323;
+   wire n_9324;
+   wire n_9325;
+   wire n_9326;
+   wire n_9327;
+   wire n_9328;
+   wire n_9329;
+   wire n_9330;
+   wire n_9331;
+   wire n_9332;
+   wire n_9333;
+   wire n_9334;
+   wire n_9335;
+   wire n_9336;
+   wire n_9337;
+   wire n_9338;
+   wire n_9339;
+   wire n_9340;
+   wire n_9341;
+   wire n_9342;
+   wire n_9343;
+   wire n_9344;
+   wire n_9345;
+   wire n_9346;
+   wire n_9347;
+   wire n_9348;
+   wire n_9349;
+   wire n_9350;
+   wire n_9351;
+   wire n_9352;
+   wire n_9353;
+   wire n_9354;
+   wire n_9355;
+   wire n_9356;
+   wire n_9357;
+   wire n_9358;
+   wire n_9359;
+   wire n_9360;
+   wire n_9361;
+   wire n_9362;
+   wire n_9363;
+   wire n_9364;
+   wire n_9365;
+   wire n_9366;
+   wire n_9367;
+   wire n_9368;
+   wire n_9369;
+   wire n_9370;
+   wire n_9371;
+   wire n_9372;
+   wire n_9373;
+   wire n_9374;
+   wire n_9375;
+   wire n_9376;
+   wire n_9377;
+   wire n_9378;
+   wire n_9379;
+   wire n_9380;
+   wire n_9381;
+   wire n_9382;
+   wire n_9383;
+   wire n_9384;
+   wire n_9385;
+   wire n_9386;
+   wire n_9387;
+   wire n_9388;
+   wire n_9389;
+   wire n_9390;
+   wire n_9391;
+   wire n_9392;
+   wire n_9393;
+   wire n_9394;
+   wire n_9395;
+   wire n_9396;
+   wire n_9397;
+   wire n_9398;
+   wire n_9399;
+   wire n_9400;
+   wire n_9401;
+   wire n_9402;
+   wire n_9403;
+   wire n_9404;
+   wire n_9405;
+   wire n_9406;
+   wire n_9407;
+   wire n_9408;
+   wire n_9409;
+   wire n_9410;
+   wire n_9411;
+   wire n_9412;
+   wire n_9413;
+   wire n_9414;
+   wire n_9415;
+   wire n_9416;
+   wire n_9417;
+   wire n_9418;
+   wire n_9419;
+   wire n_9420;
+   wire n_9421;
+   wire n_9422;
+   wire n_9423;
+   wire n_9424;
+   wire n_9425;
+   wire n_9426;
+   wire n_9427;
+   wire n_9428;
+   wire n_9429;
+   wire n_9430;
+   wire n_9431;
+   wire n_9432;
+   wire n_9433;
+   wire n_9434;
+   wire n_9435;
+   wire n_9436;
+   wire n_9437;
+   wire n_9438;
+   wire n_9439;
+   wire n_9440;
+   wire n_9441;
+   wire n_9442;
+   wire n_9443;
+   wire n_9444;
+   wire n_9445;
+   wire n_9446;
+   wire n_9447;
+   wire n_9448;
+   wire n_9449;
+   wire n_9450;
+   wire n_9451;
+   wire n_9452;
+   wire n_9453;
+   wire n_9454;
+   wire n_9455;
+   wire n_9456;
+   wire n_9457;
+   wire n_9458;
+   wire n_9459;
+   wire n_9460;
+   wire n_9461;
+   wire n_9462;
+   wire n_9463;
+   wire n_9464;
+   wire n_9465;
+   wire n_9466;
+   wire n_9467;
+   wire n_9468;
+   wire n_9469;
+   wire n_9470;
+   wire n_9471;
+   wire n_9472;
+   wire n_9473;
+   wire n_9474;
+   wire n_9475;
+   wire n_9476;
+   wire n_9477;
+   wire n_9478;
+   wire n_9479;
+   wire n_9480;
+   wire n_9481;
+   wire n_9482;
+   wire n_9483;
+   wire n_9484;
+   wire n_9485;
+   wire n_9486;
+   wire n_9487;
+   wire n_9488;
+   wire n_9489;
+   wire n_9490;
+   wire n_9491;
+   wire n_9492;
+   wire n_9493;
+   wire n_9494;
+   wire n_9495;
+   wire n_9496;
+   wire n_9497;
+   wire n_9498;
+   wire n_9499;
+   wire n_9500;
+   wire n_9501;
+   wire n_9502;
+   wire n_9503;
+   wire n_9504;
+   wire n_9505;
+   wire n_9506;
+   wire n_9507;
+   wire n_9508;
+   wire n_9509;
+   wire n_9510;
+   wire n_9511;
+   wire n_9512;
+   wire n_9513;
+   wire n_9514;
+   wire n_9515;
+   wire n_9516;
+   wire n_9517;
+   wire n_9518;
+   wire n_9519;
+   wire n_9520;
+   wire n_9521;
+   wire n_9522;
+   wire n_9523;
+   wire n_9524;
+   wire n_9525;
+   wire n_9526;
+   wire n_9527;
+   wire n_9528;
+   wire n_9529;
+   wire n_9530;
+   wire n_9531;
+   wire n_9532;
+   wire n_9533;
+   wire n_9534;
+   wire n_9535;
+   wire n_9536;
+   wire n_9537;
+   wire n_9538;
+   wire n_9539;
+   wire n_9540;
+   wire n_9541;
+   wire n_9542;
+   wire n_9543;
+   wire n_9544;
+   wire n_9545;
+   wire n_9546;
+   wire n_9547;
+   wire n_9548;
+   wire n_9549;
+   wire n_9550;
+   wire n_9551;
+   wire n_9552;
+   wire n_9553;
+   wire n_9554;
+   wire n_9555;
+   wire n_9556;
+   wire n_9557;
+   wire n_9558;
+   wire n_9559;
+   wire n_9560;
+   wire n_9561;
+   wire n_9562;
+   wire n_9563;
+   wire n_9564;
+   wire n_9565;
+   wire n_9566;
+   wire n_9567;
+   wire n_9568;
+   wire n_9569;
+   wire n_9570;
+   wire n_9571;
+   wire n_9572;
+   wire n_9573;
+   wire n_9574;
+   wire n_9575;
+   wire n_9576;
+   wire n_9577;
+   wire n_9578;
+   wire n_9579;
+   wire n_9580;
+   wire n_9581;
+   wire n_9582;
+   wire n_9583;
+   wire n_9584;
+   wire n_9585;
+   wire n_9586;
+   wire n_9587;
+   wire n_9588;
+   wire n_9589;
+   wire n_9590;
+   wire n_9591;
+   wire n_9592;
+   wire n_9593;
+   wire n_9594;
+   wire n_9595;
+   wire n_9596;
+   wire n_9597;
+   wire n_9598;
+   wire n_9599;
+   wire n_9600;
+   wire n_9601;
+   wire n_9602;
+   wire n_9603;
+   wire n_9604;
+   wire n_9605;
+   wire n_9606;
+   wire n_9607;
+   wire n_9608;
+   wire n_9609;
+   wire n_9610;
+   wire n_9611;
+   wire n_9612;
+   wire n_9613;
+   wire n_9614;
+   wire n_9615;
+   wire n_9616;
+   wire n_9617;
+   wire n_9618;
+   wire n_9619;
+   wire n_9620;
+   wire n_9621;
+   wire n_9622;
+   wire n_9623;
+   wire n_9624;
+   wire n_9625;
+   wire n_9626;
+   wire n_9627;
+   wire n_9628;
+   wire n_9629;
+   wire n_9630;
+   wire n_9631;
+   wire n_9632;
+   wire n_9633;
+   wire n_9634;
+   wire n_9635;
+   wire n_9636;
+   wire n_9637;
+   wire n_9638;
+   wire n_9639;
+   wire n_9640;
+   wire n_9641;
+   wire n_9642;
+   wire n_9643;
+   wire n_9644;
+   wire n_9645;
+   wire n_9646;
+   wire n_9647;
+   wire n_9648;
+   wire n_9649;
+   wire n_9650;
+   wire n_9651;
+   wire n_9652;
+   wire n_9653;
+   wire n_9654;
+   wire n_9655;
+   wire n_9656;
+   wire n_9657;
+   wire n_9658;
+   wire n_9659;
+   wire n_9660;
+   wire n_9661;
+   wire n_9662;
+   wire n_9663;
+   wire n_9664;
+   wire n_9665;
+   wire n_9666;
+   wire n_9667;
+   wire n_9668;
+   wire n_9669;
+   wire n_9670;
+   wire n_9671;
+   wire n_9672;
+   wire n_9673;
+   wire n_9674;
+   wire n_9675;
+   wire n_9676;
+   wire n_9677;
+   wire n_9678;
+   wire n_9679;
+   wire n_9680;
+   wire n_9681;
+   wire n_9682;
+   wire n_9683;
+   wire n_9684;
+   wire n_9685;
+   wire n_9686;
+   wire n_9687;
+   wire n_9688;
+   wire n_9689;
+   wire n_9690;
+   wire n_9691;
+   wire n_9692;
+   wire n_9693;
+   wire n_9694;
+   wire n_9695;
+   wire n_9696;
+   wire n_9697;
+   wire n_9698;
+   wire n_9699;
+   wire n_9700;
+   wire n_9701;
+   wire n_9702;
+   wire n_9703;
+   wire n_9704;
+   wire n_9705;
+   wire n_9706;
+   wire n_9707;
+   wire n_9708;
+   wire n_9709;
+   wire n_9710;
+   wire n_9711;
+   wire n_9712;
+   wire n_9713;
+   wire n_9714;
+   wire n_9715;
+   wire n_9716;
+   wire n_9717;
+   wire n_9718;
+   wire n_9719;
+   wire n_9720;
+   wire n_9721;
+   wire n_9722;
+   wire n_9723;
+   wire n_9724;
+   wire n_9725;
+   wire n_9726;
+   wire n_9727;
+   wire n_9728;
+   wire n_9729;
+   wire n_9730;
+   wire n_9731;
+   wire n_9732;
+   wire n_9733;
+   wire n_9734;
+   wire n_9735;
+   wire n_9736;
+   wire n_9737;
+   wire n_9738;
+   wire n_9739;
+   wire n_9740;
+   wire n_9741;
+   wire n_9742;
+   wire n_9743;
+   wire n_9744;
+   wire n_9745;
+   wire n_9746;
+   wire n_9747;
+   wire n_9748;
+   wire n_9749;
+   wire n_9750;
+   wire n_9751;
+   wire n_9752;
+   wire n_9753;
+   wire n_9754;
+   wire n_9755;
+   wire n_9756;
+   wire n_9757;
+   wire n_9758;
+   wire n_9759;
+   wire n_9760;
+   wire n_9761;
+   wire n_9762;
+   wire n_9763;
+   wire n_9764;
+   wire n_9765;
+   wire n_9766;
+   wire n_9767;
+   wire n_9768;
+   wire n_9769;
+   wire n_9770;
+   wire n_9771;
+   wire n_9772;
+   wire n_9773;
+   wire n_9774;
+   wire n_9775;
+   wire n_9776;
+   wire n_9777;
+   wire n_9778;
+   wire n_9779;
+   wire n_9780;
+   wire n_9781;
+   wire n_9782;
+   wire n_9783;
+   wire n_9784;
+   wire n_9785;
+   wire n_9786;
+   wire n_9787;
+   wire n_9788;
+   wire n_9789;
+   wire n_9790;
+   wire n_9791;
+   wire n_9792;
+   wire n_9793;
+   wire n_9794;
+   wire n_9795;
+   wire n_9796;
+   wire n_9797;
+   wire n_9798;
+   wire n_9799;
+   wire n_9800;
+   wire n_9801;
+   wire n_9802;
+   wire n_9803;
+   wire n_9804;
+   wire n_9805;
+   wire n_9806;
+   wire n_9807;
+   wire n_9808;
+   wire n_9809;
+   wire n_9810;
+   wire n_9811;
+   wire n_9812;
+   wire n_9813;
+   wire n_9814;
+   wire n_9815;
+   wire n_9816;
+   wire n_9817;
+   wire n_9818;
+   wire n_9819;
+   wire n_9820;
+   wire n_9821;
+   wire n_9822;
+   wire n_9823;
+   wire n_9824;
+   wire n_9825;
+   wire n_9826;
+   wire n_9827;
+   wire n_9828;
+   wire n_9829;
+   wire n_9830;
+   wire n_9831;
+   wire n_9832;
+   wire n_9833;
+   wire n_9834;
+   wire n_9835;
+   wire n_9836;
+   wire n_9837;
+   wire n_9838;
+   wire n_9839;
+   wire n_9840;
+   wire n_9841;
+   wire n_9842;
+   wire n_9843;
+   wire n_9844;
+   wire n_9845;
+   wire n_9846;
+   wire n_9847;
+   wire n_9848;
+   wire n_9849;
+   wire n_9850;
+   wire n_9851;
+   wire n_9852;
+   wire n_9853;
+   wire n_9854;
+   wire n_9855;
+   wire n_9856;
+   wire n_9857;
+   wire n_9858;
+   wire n_9859;
+   wire n_9860;
+   wire n_9861;
+   wire n_9862;
+   wire n_9863;
+   wire n_9864;
+   wire n_9865;
+   wire n_9866;
+   wire n_9867;
+   wire n_9868;
+   wire n_9869;
+   wire n_9870;
+   wire n_9871;
+   wire n_9872;
+   wire n_9873;
+   wire n_9874;
+   wire n_9875;
+   wire n_9876;
+   wire n_9877;
+   wire n_9878;
+   wire n_9879;
+   wire n_9880;
+   wire n_9881;
+   wire n_9882;
+   wire n_9883;
+   wire n_9884;
+   wire n_9885;
+   wire n_9886;
+   wire n_9887;
+   wire n_9888;
+   wire n_9889;
+   wire n_9890;
+   wire n_9891;
+   wire n_9892;
+   wire n_9893;
+   wire n_9894;
+   wire n_9895;
+   wire n_9896;
+   wire n_9897;
+   wire n_9898;
+   wire n_9899;
+   wire n_9900;
+   wire n_9901;
+   wire n_9902;
+   wire n_9903;
+   wire n_9904;
+   wire n_9905;
+   wire n_9906;
+   wire n_9907;
+   wire n_9908;
+   wire n_9909;
+   wire n_9910;
+   wire n_9911;
+   wire n_9912;
+   wire n_9913;
+   wire n_9914;
+   wire n_9915;
+   wire n_9916;
+   wire n_9917;
+   wire n_9918;
+   wire n_9919;
+   wire n_9920;
+   wire n_9921;
+   wire n_9922;
+   wire n_9923;
+   wire n_9924;
+   wire n_9925;
+   wire n_9926;
+   wire n_9927;
+   wire n_9928;
+   wire n_9929;
+   wire n_9930;
+   wire n_9931;
+   wire n_9932;
+   wire n_9933;
+   wire n_9934;
+   wire n_9935;
+   wire n_9936;
+   wire n_9937;
+   wire n_9938;
+   wire n_9939;
+   wire n_9940;
+   wire n_9941;
+   wire n_9942;
+   wire n_9943;
+   wire n_9944;
+   wire n_9945;
+   wire n_9946;
+   wire n_9947;
+   wire n_9948;
+   wire n_9949;
+   wire n_9950;
+   wire n_9951;
+   wire n_9952;
+   wire n_9953;
+   wire n_9954;
+   wire n_9955;
+   wire n_9956;
+   wire n_9957;
+   wire n_9958;
+   wire n_9959;
+   wire n_9960;
+   wire n_9961;
+   wire n_9962;
+   wire n_9963;
+   wire n_9964;
+   wire n_9965;
+   wire n_9966;
+   wire n_9967;
+   wire n_9968;
+   wire n_9969;
+   wire n_9970;
+   wire n_9971;
+   wire n_9972;
+   wire n_9973;
+   wire n_9974;
+   wire n_9975;
+   wire n_9976;
+   wire n_9977;
+   wire n_9978;
+   wire n_9979;
+   wire n_9980;
+   wire n_9981;
+   wire n_9982;
+   wire n_9983;
+   wire n_9984;
+   wire n_9985;
+   wire n_9986;
+   wire n_9987;
+   wire n_9988;
+   wire n_9989;
+   wire n_9990;
+   wire n_9991;
+   wire n_9992;
+   wire n_9993;
+   wire n_9994;
+   wire n_9995;
+   wire n_9996;
+   wire n_9997;
+   wire n_9998;
+   wire n_9999;
+   wire n_10000;
+   wire n_10001;
+   wire n_10002;
+   wire n_10003;
+   wire n_10004;
+   wire n_10005;
+   wire n_10006;
+   wire n_10007;
+   wire n_10008;
+   wire n_10009;
+   wire n_10010;
+   wire n_10011;
+   wire n_10012;
+   wire n_10013;
+   wire n_10014;
+   wire n_10015;
+   wire n_10016;
+   wire n_10017;
+   wire n_10018;
+   wire n_10019;
+   wire n_10020;
+   wire n_10021;
+   wire n_10022;
+   wire n_10023;
+   wire n_10024;
+   wire n_10025;
+   wire n_10026;
+   wire n_10027;
+   wire n_10028;
+   wire n_10029;
+   wire n_10030;
+   wire n_10031;
+   wire n_10032;
+   wire n_10033;
+   wire n_10034;
+   wire n_10035;
+   wire n_10036;
+   wire n_10037;
+   wire n_10038;
+   wire n_10039;
+   wire n_10040;
+   wire n_10041;
+   wire n_10042;
+   wire n_10043;
+   wire n_10044;
+   wire n_10045;
+   wire n_10046;
+   wire n_10047;
+   wire n_10048;
+   wire n_10049;
+   wire n_10050;
+   wire n_10051;
+   wire n_10052;
+   wire n_10053;
+   wire n_10054;
+   wire n_10055;
+   wire n_10056;
+   wire n_10057;
+   wire n_10058;
+   wire n_10059;
+   wire n_10060;
+   wire n_10061;
+   wire n_10062;
+   wire n_10063;
+   wire n_10064;
+   wire n_10065;
+   wire n_10066;
+   wire n_10067;
+   wire n_10068;
+   wire n_10069;
+   wire n_10070;
+   wire n_10071;
+   wire n_10072;
+   wire n_10073;
+   wire n_10074;
+   wire n_10075;
+   wire n_10076;
+   wire n_10077;
+   wire n_10078;
+   wire n_10079;
+   wire n_10080;
+   wire n_10081;
+   wire n_10082;
+   wire n_10083;
+   wire n_10084;
+   wire n_10085;
+   wire n_10086;
+   wire n_10087;
+   wire n_10088;
+   wire n_10089;
+   wire n_10090;
+   wire n_10091;
+   wire n_10092;
+   wire n_10093;
+   wire n_10094;
+   wire n_10095;
+   wire n_10096;
+   wire n_10097;
+   wire n_10098;
+   wire n_10099;
+   wire n_10100;
+   wire n_10101;
+   wire n_10102;
+   wire n_10103;
+   wire n_10104;
+   wire n_10105;
+   wire n_10106;
+   wire n_10107;
+   wire n_10108;
+   wire n_10109;
+   wire n_10110;
+   wire n_10111;
+   wire n_10112;
+   wire n_10113;
+   wire n_10114;
+   wire n_10115;
+   wire n_10116;
+   wire n_10117;
+   wire n_10118;
+   wire n_10119;
+   wire n_10120;
+   wire n_10121;
+   wire n_10122;
+   wire n_10123;
+   wire n_10124;
+   wire n_10125;
+   wire n_10126;
+   wire n_10127;
+   wire n_10128;
+   wire n_10129;
+   wire n_10130;
+   wire n_10131;
+   wire n_10132;
+   wire n_10133;
+   wire n_10134;
+   wire n_10135;
+   wire n_10136;
+   wire n_10137;
+   wire n_10138;
+   wire n_10139;
+   wire n_10140;
+   wire n_10141;
+   wire n_10142;
+   wire n_10143;
+   wire n_10144;
+   wire n_10145;
+   wire n_10146;
+   wire n_10147;
+   wire n_10148;
+   wire n_10149;
+   wire n_10150;
+   wire n_10151;
+   wire n_10152;
+   wire n_10153;
+   wire n_10154;
+   wire n_10155;
+   wire n_10156;
+   wire n_10157;
+   wire n_10158;
+   wire n_10159;
+   wire n_10160;
+   wire n_10161;
+   wire n_10162;
+   wire n_10163;
+   wire n_10164;
+   wire n_10165;
+   wire n_10166;
+   wire n_10167;
+   wire n_10168;
+   wire n_10169;
+   wire n_10170;
+   wire n_10171;
+   wire n_10172;
+   wire n_10173;
+   wire n_10174;
+   wire n_10175;
+   wire n_10176;
+   wire n_10177;
+   wire n_10178;
+   wire n_10179;
+   wire n_10180;
+   wire n_10181;
+   wire n_10182;
+   wire n_10183;
+   wire n_10184;
+   wire n_10185;
+   wire n_10186;
+   wire n_10187;
+   wire n_10188;
+   wire n_10189;
+   wire n_10190;
+   wire n_10191;
+   wire n_10192;
+   wire n_10193;
+   wire n_10194;
+   wire n_10195;
+   wire n_10196;
+   wire n_10197;
+   wire n_10198;
+   wire n_10199;
+   wire n_10200;
+   wire n_10201;
+   wire n_10202;
+   wire n_10203;
+   wire n_10204;
+   wire n_10205;
+   wire n_10206;
+   wire n_10207;
+   wire n_10208;
+   wire n_10209;
+   wire n_10210;
+   wire n_10211;
+   wire n_10212;
+   wire n_10213;
+   wire n_10214;
+   wire n_10215;
+   wire n_10216;
+   wire n_10217;
+   wire n_10218;
+   wire n_10219;
+   wire n_10220;
+   wire n_10221;
+   wire n_10222;
+   wire n_10223;
+   wire n_10224;
+   wire n_10225;
+   wire n_10226;
+   wire n_10227;
+   wire n_10228;
+   wire n_10229;
+   wire n_10230;
+   wire n_10231;
+   wire n_10232;
+   wire n_10233;
+   wire n_10234;
+   wire n_10235;
+   wire n_10236;
+   wire n_10237;
+   wire n_10238;
+   wire n_10239;
+   wire n_10240;
+   wire n_10241;
+   wire n_10242;
+   wire n_10243;
+   wire n_10244;
+   wire n_10245;
+   wire n_10246;
+   wire n_10247;
+   wire n_10248;
+   wire n_10249;
+   wire n_10250;
+   wire n_10251;
+   wire n_10252;
+   wire n_10253;
+   wire n_10254;
+   wire n_10255;
+   wire n_10256;
+   wire n_10257;
+   wire n_10258;
+   wire n_10259;
+   wire n_10260;
+   wire n_10261;
+   wire n_10262;
+   wire n_10263;
+   wire n_10264;
+   wire n_10265;
+   wire n_10266;
+   wire n_10267;
+   wire n_10268;
+   wire n_10269;
+   wire n_10270;
+   wire n_10271;
+   wire n_10272;
+   wire n_10273;
+   wire n_10274;
+   wire n_10275;
+   wire n_10276;
+   wire n_10277;
+   wire n_10278;
+   wire n_10279;
+   wire n_10280;
+   wire n_10281;
+   wire n_10282;
+   wire n_10283;
+   wire n_10284;
+   wire n_10285;
+   wire n_10286;
+   wire n_10287;
+   wire n_10288;
+   wire n_10289;
+   wire n_10290;
+   wire n_10291;
+   wire n_10292;
+   wire n_10293;
+   wire n_10294;
+   wire n_10295;
+   wire n_10296;
+   wire n_10297;
+   wire n_10298;
+   wire n_10299;
+   wire n_10300;
+   wire n_10301;
+   wire n_10302;
+   wire n_10303;
+   wire n_10304;
+   wire n_10305;
+   wire n_10306;
+   wire n_10307;
+   wire n_10308;
+   wire n_10309;
+   wire n_10310;
+   wire n_10311;
+   wire n_10312;
+   wire n_10313;
+   wire n_10314;
+   wire n_10315;
+   wire n_10316;
+   wire n_10317;
+   wire n_10318;
+   wire n_10319;
+   wire n_10320;
+   wire n_10321;
+   wire n_10322;
+   wire n_10323;
+   wire n_10324;
+   wire n_10325;
+   wire n_10326;
+   wire n_10327;
+   wire n_10328;
+   wire n_10329;
+   wire n_10330;
+   wire n_10331;
+   wire n_10332;
+   wire n_10333;
+   wire n_10334;
+   wire n_10335;
+   wire n_10336;
+   wire n_10337;
+   wire n_10338;
+   wire n_10339;
+   wire n_10340;
+   wire n_10341;
+   wire n_10342;
+   wire n_10343;
+   wire n_10344;
+   wire n_10345;
+   wire n_10346;
+   wire n_10347;
+   wire n_10348;
+   wire n_10349;
+   wire n_10350;
+   wire n_10351;
+   wire n_10352;
+   wire n_10353;
+   wire n_10354;
+   wire n_10355;
+   wire n_10356;
+   wire n_10357;
+   wire n_10358;
+   wire n_10359;
+   wire n_10360;
+   wire n_10361;
+   wire n_10362;
+   wire n_10363;
+   wire n_10364;
+   wire n_10365;
+   wire n_10366;
+   wire n_10367;
+   wire n_10368;
+   wire n_10369;
+   wire n_10370;
+   wire n_10371;
+   wire n_10372;
+   wire n_10373;
+   wire n_10374;
+   wire n_10375;
+   wire n_10376;
+   wire n_10377;
+   wire n_10378;
+   wire n_10379;
+   wire n_10380;
+   wire n_10381;
+   wire n_10382;
+   wire n_10383;
+   wire n_10384;
+   wire n_10385;
+   wire n_10386;
+   wire n_10387;
+   wire n_10388;
+   wire n_10389;
+   wire n_10390;
+   wire n_10391;
+   wire n_10392;
+   wire n_10393;
+   wire n_10394;
+   wire n_10395;
+   wire n_10396;
+   wire n_10397;
+   wire n_10398;
+   wire n_10399;
+   wire n_10400;
+   wire n_10401;
+   wire n_10402;
+   wire n_10403;
+   wire n_10404;
+   wire n_10405;
+   wire n_10406;
+   wire n_10407;
+   wire n_10408;
+   wire n_10409;
+   wire n_10410;
+   wire n_10411;
+   wire n_10412;
+   wire n_10413;
+   wire n_10414;
+   wire n_10415;
+   wire n_10416;
+   wire n_10417;
+   wire n_10418;
+   wire n_10419;
+   wire n_10420;
+   wire n_10421;
+   wire n_10422;
+   wire n_10423;
+   wire n_10424;
+   wire n_10425;
+   wire n_10426;
+   wire n_10427;
+   wire n_10428;
+   wire n_10429;
+   wire n_10430;
+   wire n_10431;
+   wire n_10432;
+   wire n_10433;
+   wire n_10434;
+   wire n_10435;
+   wire n_10436;
+   wire n_10437;
+   wire n_10438;
+   wire n_10439;
+   wire n_10440;
+   wire n_10441;
+   wire n_10442;
+   wire n_10443;
+   wire n_10444;
+   wire n_10445;
+   wire n_10446;
+   wire n_10447;
+   wire n_10448;
+   wire n_10449;
+   wire n_10450;
+   wire n_10451;
+   wire n_10452;
+   wire n_10453;
+   wire n_10454;
+   wire n_10455;
+   wire n_10456;
+   wire n_10457;
+   wire n_10458;
+   wire n_10459;
+   wire n_10460;
+   wire n_10461;
+   wire n_10462;
+   wire n_10463;
+   wire n_10464;
+   wire n_10465;
+   wire n_10466;
+   wire n_10467;
+   wire n_10468;
+   wire n_10469;
+   wire n_10470;
+   wire n_10471;
+   wire n_10472;
+   wire n_10473;
+   wire n_10474;
+   wire n_10475;
+   wire n_10476;
+   wire n_10477;
+   wire n_10478;
+   wire n_10479;
+   wire n_10480;
+   wire n_10481;
+   wire n_10482;
+   wire n_10483;
+   wire n_10484;
+   wire n_10485;
+   wire n_10486;
+   wire n_10487;
+   wire n_10488;
+   wire n_10489;
+   wire n_10490;
+   wire n_10491;
+   wire n_10492;
+   wire n_10493;
+   wire n_10494;
+   wire n_10495;
+   wire n_10496;
+   wire n_10497;
+   wire n_10498;
+   wire n_10499;
+   wire n_10500;
+   wire n_10501;
+   wire n_10502;
+   wire n_10503;
+   wire n_10504;
+   wire n_10505;
+   wire n_10506;
+   wire n_10507;
+   wire n_10508;
+   wire n_10509;
+   wire n_10510;
+   wire n_10511;
+   wire n_10512;
+   wire n_10513;
+   wire n_10514;
+   wire n_10515;
+   wire n_10516;
+   wire n_10517;
+   wire n_10518;
+   wire n_10519;
+   wire n_10520;
+   wire n_10521;
+   wire n_10522;
+   wire n_10523;
+   wire n_10524;
+   wire n_10525;
+   wire n_10526;
+   wire n_10527;
+   wire n_10528;
+   wire n_10529;
+   wire n_10530;
+   wire n_10531;
+   wire n_10532;
+   wire n_10533;
+   wire n_10534;
+   wire n_10535;
+   wire n_10536;
+   wire n_10537;
+   wire n_10538;
+   wire n_10539;
+   wire n_10540;
+   wire n_10541;
+   wire n_10542;
+   wire n_10543;
+   wire n_10544;
+   wire n_10545;
+   wire n_10546;
+   wire n_10547;
+   wire n_10548;
+   wire n_10549;
+   wire n_10550;
+   wire n_10551;
+   wire n_10552;
+   wire n_10553;
+   wire n_10554;
+   wire n_10555;
+   wire n_10556;
+   wire n_10557;
+   wire n_10558;
+   wire n_10559;
+   wire n_10560;
+   wire n_10561;
+   wire n_10562;
+   wire n_10563;
+   wire n_10564;
+   wire n_10565;
+   wire n_10566;
+   wire n_10567;
+   wire n_10568;
+   wire n_10569;
+   wire n_10570;
+   wire n_10571;
+   wire n_10572;
+   wire n_10573;
+   wire n_10574;
+   wire n_10575;
+   wire n_10576;
+   wire n_10577;
+   wire n_10578;
+   wire n_10579;
+   wire n_10580;
+   wire n_10581;
+   wire n_10582;
+   wire n_10583;
+   wire n_10584;
+   wire n_10585;
+   wire n_10586;
+   wire n_10587;
+   wire n_10588;
+   wire n_10589;
+   wire n_10590;
+   wire n_10591;
+   wire n_10592;
+   wire n_10593;
+   wire n_10594;
+   wire n_10595;
+   wire n_10596;
+   wire n_10597;
+   wire n_10598;
+   wire n_10599;
+   wire n_10600;
+   wire n_10601;
+   wire n_10602;
+   wire n_10603;
+   wire n_10604;
+   wire n_10605;
+   wire n_10606;
+   wire n_10607;
+   wire n_10608;
+   wire n_10609;
+   wire n_10610;
+   wire n_10611;
+   wire n_10612;
+   wire n_10613;
+   wire n_10614;
+   wire n_10615;
+   wire n_10616;
+   wire n_10617;
+   wire n_10618;
+   wire n_10619;
+   wire n_10620;
+   wire n_10621;
+   wire n_10622;
+   wire n_10623;
+   wire n_10624;
+   wire n_10625;
+   wire n_10626;
+   wire n_10627;
+   wire n_10628;
+   wire n_10629;
+   wire n_10630;
+   wire n_10631;
+   wire n_10632;
+   wire n_10633;
+   wire n_10634;
+   wire n_10635;
+   wire n_10636;
+   wire n_10637;
+   wire n_10638;
+   wire n_10639;
+   wire n_10640;
+   wire n_10641;
+   wire n_10642;
+   wire n_10643;
+   wire n_10644;
+   wire n_10645;
+   wire n_10646;
+   wire n_10647;
+   wire n_10648;
+   wire n_10649;
+   wire n_10650;
+   wire n_10651;
+   wire n_10652;
+   wire n_10653;
+   wire n_10654;
+   wire n_10655;
+   wire n_10656;
+   wire n_10657;
+   wire n_10658;
+   wire n_10659;
+   wire n_10660;
+   wire n_10661;
+   wire n_10662;
+   wire n_10663;
+   wire n_10664;
+   wire n_10665;
+   wire n_10666;
+   wire n_10667;
+   wire n_10668;
+   wire n_10669;
+   wire n_10670;
+   wire n_10671;
+   wire n_10672;
+   wire n_10673;
+   wire n_10674;
+   wire n_10675;
+   wire n_10676;
+   wire n_10677;
+   wire n_10678;
+   wire n_10679;
+   wire n_10680;
+   wire n_10681;
+   wire n_10682;
+   wire n_10683;
+   wire n_10684;
+   wire n_10685;
+   wire n_10686;
+   wire n_10687;
+   wire n_10688;
+   wire n_10689;
+   wire n_10690;
+   wire n_10691;
+   wire n_10692;
+   wire n_10693;
+   wire n_10694;
+   wire n_10695;
+   wire n_10696;
+   wire n_10697;
+   wire n_10698;
+   wire n_10699;
+   wire n_10700;
+   wire n_10701;
+   wire n_10702;
+   wire n_10703;
+   wire n_10704;
+   wire n_10705;
+   wire n_10706;
+   wire n_10707;
+   wire n_10708;
+   wire n_10709;
+   wire n_10710;
+   wire n_10711;
+   wire n_10712;
+   wire n_10713;
+   wire n_10714;
+   wire n_10715;
+   wire n_10716;
+   wire n_10717;
+   wire n_10718;
+   wire n_10719;
+   wire n_10720;
+   wire n_10721;
+   wire n_10722;
+   wire n_10723;
+   wire n_10724;
+   wire n_10725;
+   wire n_10726;
+   wire n_10727;
+   wire n_10728;
+   wire n_10729;
+   wire n_10730;
+   wire n_10731;
+   wire n_10732;
+   wire n_10733;
+   wire n_10734;
+   wire n_10735;
+   wire n_10736;
+   wire n_10737;
+   wire n_10738;
+   wire n_10739;
+   wire n_10740;
+   wire n_10741;
+   wire n_10742;
+   wire n_10743;
+   wire n_10744;
+   wire n_10745;
+   wire n_10746;
+   wire n_10747;
+   wire n_10748;
+   wire n_10749;
+   wire n_10750;
+   wire n_10751;
+   wire n_10752;
+   wire n_10753;
+   wire n_10754;
+   wire n_10755;
+   wire n_10756;
+   wire n_10757;
+   wire n_10758;
+   wire n_10759;
+   wire n_10760;
+   wire n_10761;
+   wire n_10762;
+   wire n_10763;
+   wire n_10764;
+   wire n_10765;
+   wire n_10766;
+   wire n_10767;
+   wire n_10768;
+   wire n_10769;
+   wire n_10770;
+   wire n_10771;
+   wire n_10772;
+   wire n_10773;
+   wire n_10774;
+   wire n_10775;
+   wire n_10776;
+   wire n_10777;
+   wire n_10778;
+   wire n_10779;
+   wire n_10780;
+   wire n_10781;
+   wire n_10782;
+   wire n_10783;
+   wire n_10784;
+   wire n_10785;
+   wire n_10786;
+   wire n_10787;
+   wire n_10788;
+   wire n_10789;
+   wire n_10790;
+   wire n_10791;
+   wire n_10792;
+   wire n_10793;
+   wire n_10794;
+   wire n_10795;
+   wire n_10796;
+   wire n_10797;
+   wire n_10798;
+   wire n_10799;
+   wire n_10800;
+   wire n_10801;
+   wire n_10802;
+   wire n_10803;
+   wire n_10804;
+   wire n_10805;
+   wire n_10806;
+   wire n_10807;
+   wire n_10808;
+   wire n_10809;
+   wire n_10810;
+   wire n_10811;
+   wire n_10812;
+   wire n_10813;
+   wire n_10814;
+   wire n_10815;
+   wire n_10816;
+   wire n_10817;
+   wire n_10818;
+   wire n_10819;
+   wire n_10820;
+   wire n_10821;
+   wire n_10822;
+   wire n_10823;
+   wire n_10824;
+   wire n_10825;
+   wire n_10826;
+   wire n_10827;
+   wire n_10828;
+   wire n_10829;
+   wire n_10830;
+   wire n_10831;
+   wire n_10832;
+   wire n_10833;
+   wire n_10834;
+   wire n_10835;
+   wire n_10836;
+   wire n_10837;
+   wire n_10838;
+   wire n_10839;
+   wire n_10840;
+   wire n_10841;
+   wire n_10842;
+   wire n_10843;
+   wire n_10844;
+   wire n_10845;
+   wire n_10846;
+   wire n_10847;
+   wire n_10848;
+   wire n_10849;
+   wire n_10850;
+   wire n_10851;
+   wire n_10852;
+   wire n_10853;
+   wire n_10854;
+   wire n_10855;
+   wire n_10856;
+   wire n_10857;
+   wire n_10858;
+   wire n_10859;
+   wire n_10860;
+   wire n_10861;
+   wire n_10862;
+   wire n_10863;
+   wire n_10864;
+   wire n_10865;
+   wire n_10866;
+   wire n_10867;
+   wire n_10868;
+   wire n_10869;
+   wire n_10870;
+   wire n_10871;
+   wire n_10872;
+   wire n_10873;
+   wire n_10874;
+   wire n_10875;
+   wire n_10876;
+   wire n_10877;
+   wire n_10878;
+   wire n_10879;
+   wire n_10880;
+   wire n_10881;
+   wire n_10882;
+   wire n_10883;
+   wire n_10884;
+   wire n_10885;
+   wire n_10886;
+   wire n_10887;
+   wire n_10888;
+   wire n_10889;
+   wire n_10890;
+   wire n_10891;
+   wire n_10892;
+   wire n_10893;
+   wire n_10894;
+   wire n_10895;
+   wire n_10896;
+   wire n_10897;
+   wire n_10898;
+   wire n_10899;
+   wire n_10900;
+   wire n_10901;
+   wire n_10902;
+   wire n_10903;
+   wire n_10904;
+   wire n_10905;
+   wire n_10906;
+   wire n_10907;
+   wire n_10908;
+   wire n_10909;
+   wire n_10910;
+   wire n_10911;
+   wire n_10912;
+   wire n_10913;
+   wire n_10914;
+   wire n_10915;
+   wire n_10916;
+   wire n_10917;
+   wire n_10918;
+   wire n_10919;
+   wire n_10920;
+   wire n_10921;
+   wire n_10922;
+   wire n_10923;
+   wire n_10924;
+   wire n_10925;
+   wire n_10926;
+   wire n_10927;
+   wire n_10928;
+   wire n_10929;
+   wire n_10930;
+   wire n_10931;
+   wire n_10932;
+   wire n_10933;
+   wire n_10934;
+   wire n_10935;
+   wire n_10936;
+   wire n_10937;
+   wire n_10938;
+   wire n_10939;
+   wire n_10940;
+   wire n_10941;
+   wire n_10942;
+   wire n_10943;
+   wire n_10944;
+   wire n_10945;
+   wire n_10946;
+   wire n_10947;
+   wire n_10948;
+   wire n_10949;
+   wire n_10950;
+   wire n_10951;
+   wire n_10952;
+   wire n_10953;
+   wire n_10954;
+   wire n_10955;
+   wire n_10956;
+   wire n_10957;
+   wire n_10958;
+   wire n_10959;
+   wire n_10960;
+   wire n_10961;
+   wire n_10962;
+   wire n_10963;
+   wire n_10964;
+   wire n_10965;
+   wire n_10966;
+   wire n_10967;
+   wire n_10968;
+   wire n_10969;
+   wire n_10970;
+   wire n_10971;
+   wire n_10972;
+   wire n_10973;
+   wire n_10974;
+   wire n_10975;
+   wire n_10976;
+   wire n_10977;
+   wire n_10978;
+   wire n_10979;
+   wire n_10980;
+   wire n_10981;
+   wire n_10982;
+   wire n_10983;
+   wire n_10984;
+   wire n_10985;
+   wire n_10986;
+   wire n_10987;
+   wire n_10988;
+   wire n_10989;
+   wire n_10990;
+   wire n_10991;
+   wire n_10992;
+   wire n_10993;
+   wire n_10994;
+   wire n_10995;
+   wire n_10996;
+   wire n_10997;
+   wire n_10998;
+   wire n_10999;
+   wire n_11000;
+   wire n_11001;
+   wire n_11002;
+   wire n_11003;
+   wire n_11004;
+   wire n_11005;
+   wire n_11006;
+   wire n_11007;
+   wire n_11008;
+   wire n_11009;
+   wire n_11010;
+   wire n_11011;
+   wire n_11012;
+   wire n_11013;
+   wire n_11014;
+   wire n_11015;
+   wire n_11016;
+   wire n_11017;
+   wire n_11018;
+   wire n_11019;
+   wire n_11020;
+   wire n_11021;
+   wire n_11022;
+   wire n_11023;
+   wire n_11024;
+   wire n_11025;
+   wire n_11026;
+   wire n_11027;
+   wire n_11028;
+   wire n_11029;
+   wire n_11030;
+   wire n_11031;
+   wire n_11032;
+   wire n_11033;
+   wire n_11034;
+   wire n_11035;
+   wire n_11036;
+   wire n_11037;
+   wire n_11038;
+   wire n_11039;
+   wire n_11040;
+   wire n_11041;
+   wire n_11042;
+   wire n_11043;
+   wire n_11044;
+   wire n_11045;
+   wire n_11046;
+   wire n_11047;
+   wire n_11048;
+   wire n_11049;
+   wire n_11050;
+   wire n_11051;
+   wire n_11052;
+   wire n_11053;
+   wire n_11054;
+   wire n_11055;
+   wire n_11056;
+   wire n_11057;
+   wire n_11058;
+   wire n_11059;
+   wire n_11060;
+   wire n_11061;
+   wire n_11062;
+   wire n_11063;
+   wire n_11064;
+   wire n_11065;
+   wire n_11066;
+   wire n_11067;
+   wire n_11068;
+   wire n_11069;
+   wire n_11070;
+   wire n_11071;
+   wire n_11072;
+   wire n_11073;
+   wire n_11074;
+   wire n_11075;
+   wire n_11076;
+   wire n_11077;
+   wire n_11078;
+   wire n_11079;
+   wire n_11080;
+   wire n_11081;
+   wire n_11082;
+   wire n_11083;
+   wire n_11084;
+   wire n_11085;
+   wire n_11086;
+   wire n_11087;
+   wire n_11088;
+   wire n_11089;
+   wire n_11090;
+   wire n_11091;
+   wire n_11092;
+   wire n_11093;
+   wire n_11094;
+   wire n_11095;
+   wire n_11096;
+   wire n_11097;
+   wire n_11098;
+   wire n_11099;
+   wire n_11100;
+   wire n_11101;
+   wire n_11102;
+   wire n_11103;
+   wire n_11104;
+   wire n_11105;
+   wire n_11106;
+   wire n_11107;
+   wire n_11108;
+   wire n_11109;
+   wire n_11110;
+   wire n_11111;
+   wire n_11112;
+   wire n_11113;
+   wire n_11114;
+   wire n_11115;
+   wire n_11116;
+   wire n_11117;
+   wire n_11118;
+   wire n_11119;
+   wire n_11120;
+   wire n_11121;
+   wire n_11122;
+   wire n_11123;
+   wire n_11124;
+   wire n_11125;
+   wire n_11126;
+   wire n_11127;
+   wire n_11128;
+   wire n_11129;
+   wire n_11130;
+   wire n_11131;
+   wire n_11132;
+   wire n_11133;
+   wire n_11134;
+   wire n_11135;
+   wire n_11136;
+   wire n_11137;
+   wire n_11138;
+   wire n_11139;
+   wire n_11140;
+   wire n_11141;
+   wire n_11142;
+   wire n_11143;
+   wire n_11144;
+   wire n_11145;
+   wire n_11146;
+   wire n_11147;
+   wire n_11148;
+   wire n_11149;
+   wire n_11150;
+   wire n_11151;
+   wire n_11152;
+   wire n_11153;
+   wire n_11154;
+   wire n_11155;
+   wire n_11156;
+   wire n_11157;
+   wire n_11158;
+   wire n_11159;
+   wire n_11160;
+   wire n_11161;
+   wire n_11162;
+   wire n_11163;
+   wire n_11164;
+   wire n_11165;
+   wire n_11166;
+   wire n_11167;
+   wire n_11168;
+   wire n_11169;
+   wire n_11170;
+   wire n_11171;
+   wire n_11172;
+   wire n_11173;
+   wire n_11174;
+   wire n_11175;
+   wire n_11176;
+   wire n_11177;
+   wire n_11178;
+   wire n_11179;
+   wire n_11180;
+   wire n_11181;
+   wire n_11182;
+   wire n_11183;
+   wire n_11184;
+   wire n_11185;
+   wire n_11186;
+   wire n_11187;
+   wire n_11188;
+   wire n_11189;
+   wire n_11190;
+   wire n_11191;
+   wire n_11192;
+   wire n_11193;
+   wire n_11194;
+   wire n_11195;
+   wire n_11196;
+   wire n_11197;
+   wire n_11198;
+   wire n_11199;
+   wire n_11200;
+   wire n_11201;
+   wire n_11202;
+   wire n_11203;
+   wire n_11204;
+   wire n_11205;
+   wire n_11206;
+   wire n_11207;
+   wire n_11208;
+   wire n_11209;
+   wire n_11210;
+   wire n_11211;
+   wire n_11212;
+   wire n_11213;
+   wire n_11214;
+   wire n_11215;
+   wire n_11216;
+   wire n_11217;
+   wire n_11218;
+   wire n_11219;
+   wire n_11220;
+   wire n_11221;
+   wire n_11222;
+   wire n_11223;
+   wire n_11224;
+   wire n_11225;
+   wire n_11226;
+   wire n_11227;
+   wire n_11228;
+   wire n_11229;
+   wire n_11230;
+   wire n_11231;
+   wire n_11232;
+   wire n_11233;
+   wire n_11234;
+   wire n_11235;
+   wire n_11236;
+   wire n_11237;
+   wire n_11238;
+   wire n_11239;
+   wire n_11240;
+   wire n_11241;
+   wire n_11242;
+   wire n_11243;
+   wire n_11244;
+   wire n_11245;
+   wire n_11246;
+   wire n_11247;
+   wire n_11248;
+   wire n_11249;
+   wire n_11250;
+   wire n_11251;
+   wire n_11252;
+   wire n_11253;
+   wire n_11254;
+   wire n_11255;
+   wire n_11256;
+   wire n_11257;
+   wire n_11258;
+   wire n_11259;
+   wire n_11260;
+   wire n_11261;
+   wire n_11262;
+   wire n_11263;
+   wire n_11264;
+   wire n_11265;
+   wire n_11266;
+   wire n_11267;
+   wire n_11268;
+   wire n_11269;
+   wire n_11270;
+   wire n_11271;
+   wire n_11272;
+   wire n_11273;
+   wire n_11274;
+   wire n_11275;
+   wire n_11276;
+   wire n_11277;
+   wire n_11278;
+   wire n_11279;
+   wire n_11280;
+   wire n_11281;
+   wire n_11282;
+   wire n_11283;
+   wire n_11284;
+   wire n_11285;
+   wire n_11286;
+   wire n_11287;
+   wire n_11288;
+   wire n_11289;
+   wire n_11290;
+   wire n_11291;
+   wire n_11292;
+   wire n_11293;
+   wire n_11294;
+   wire n_11295;
+   wire n_11296;
+   wire n_11297;
+   wire n_11298;
+   wire n_11299;
+   wire n_11300;
+   wire n_11301;
+   wire n_11302;
+   wire n_11303;
+   wire n_11304;
+   wire n_11305;
+   wire n_11306;
+   wire n_11307;
+   wire n_11308;
+   wire n_11309;
+   wire n_11310;
+   wire n_11311;
+   wire n_11312;
+   wire n_11313;
+   wire n_11314;
+   wire n_11315;
+   wire n_11316;
+   wire n_11317;
+   wire n_11318;
+   wire n_11319;
+   wire n_11320;
+   wire n_11321;
+   wire n_11322;
+   wire n_11323;
+   wire n_11324;
+   wire n_11325;
+   wire n_11326;
+   wire n_11327;
+   wire n_11328;
+   wire n_11329;
+   wire n_11330;
+   wire n_11331;
+   wire n_11332;
+   wire n_11333;
+   wire n_11334;
+   wire n_11335;
+   wire n_11336;
+   wire n_11339;
+   wire n_11340;
+   wire n_11341;
+   wire n_11342;
+   wire n_11343;
+   wire n_11344;
+   wire n_11345;
+   wire n_11346;
+   wire n_11347;
+   wire n_11348;
+   wire n_11349;
+   wire n_11350;
+   wire n_11351;
+   wire n_11352;
+   wire n_11353;
+   wire n_11354;
+   wire n_11355;
+   wire n_11356;
+   wire n_11357;
+   wire n_11358;
+   wire n_11359;
+   wire n_11360;
+   wire n_11361;
+   wire n_11362;
+   wire n_11363;
+   wire n_11364;
+   wire n_11365;
+   wire n_11366;
+   wire n_11367;
+   wire n_11368;
+   wire n_11369;
+   wire n_11370;
+   wire n_11371;
+   wire n_11372;
+   wire n_11373;
+   wire n_11374;
+   wire n_11375;
+   wire n_11376;
+   wire n_11377;
+   wire n_11378;
+   wire n_11379;
+   wire n_11380;
+   wire n_11381;
+   wire n_11382;
+   wire n_11383;
+   wire n_11384;
+   wire n_11385;
+   wire n_11386;
+   wire n_11387;
+   wire n_11388;
+   wire n_11389;
+   wire n_11390;
+   wire n_11391;
+   wire n_11392;
+   wire n_11393;
+   wire n_11394;
+   wire n_11395;
+   wire n_11396;
+   wire n_11397;
+   wire n_11398;
+   wire n_11399;
+   wire n_11400;
+   wire n_11403;
+   wire n_11404;
+   wire n_11406;
+   wire n_11413;
+   wire n_11418;
+   wire n_11420;
+   wire n_11421;
+   wire n_11422;
+   wire n_11423;
+   wire n_11428;
+   wire n_11430;
+   wire n_11431;
+   wire n_11432;
+   wire n_11434;
+   wire n_11435;
+   wire n_11436;
+   wire n_11437;
+   wire n_11439;
+   wire n_11440;
+   wire n_11445;
+   wire n_11448;
+   wire n_11451;
+   wire n_11452;
+   wire n_11453;
+   wire n_11454;
+   wire n_11459;
+   wire n_11461;
+   wire n_11465;
+   wire n_11466;
+   wire n_11469;
+   wire n_11470;
+   wire n_11471;
+   wire n_11472;
+   wire n_11478;
+   wire n_11479;
+   wire n_11483;
+   wire n_11484;
+   wire n_11485;
+   wire n_11488;
+   wire n_11489;
+   wire n_11490;
+   wire n_11492;
+   wire n_11493;
+   wire n_11494;
+   wire n_11495;
+   wire n_11496;
+   wire n_11497;
+   wire n_11498;
+   wire n_11499;
+   wire n_11500;
+   wire n_11501;
+   wire n_11502;
+   wire n_11503;
+   wire n_11504;
+   wire n_11505;
+   wire n_11506;
+   wire n_11507;
+   wire n_11508;
+   wire n_11509;
+   wire n_11510;
+   wire n_11511;
+   wire n_11512;
+   wire n_11513;
+   wire n_11514;
+   wire n_11515;
+   wire n_11516;
+   wire n_11517;
+   wire n_11518;
+   wire n_11519;
+   wire n_11520;
+   wire n_11521;
+   wire n_11522;
+   wire n_11523;
+   wire n_11524;
+   wire n_11525;
+   wire n_11526;
+   wire n_11527;
+   wire n_11528;
+   wire n_11529;
+   wire n_11530;
+   wire n_11531;
+   wire n_11532;
+   wire n_11533;
+   wire n_11534;
+   wire n_11535;
+   wire n_11536;
+   wire n_11537;
+   wire n_11538;
+   wire n_11539;
+   wire n_11540;
+   wire n_11541;
+   wire n_11542;
+   wire n_11543;
+   wire n_11544;
+   wire n_11547;
+   wire n_11552;
+   wire n_11554;
+   wire n_11556;
+   wire n_11559;
+   wire n_11565;
+   wire n_11567;
+   wire n_11573;
+   wire n_11581;
+   wire n_11582;
+   wire n_11584;
+   wire n_11594;
+   wire n_11598;
+   wire n_11600;
+   wire n_11605;
+   wire n_11608;
+   wire n_11609;
+   wire n_11622;
+   wire n_11624;
+   wire n_11632;
+   wire n_11637;
+   wire n_11640;
+   wire n_11644;
+   wire n_11647;
+   wire n_11649;
+   wire n_11650;
+   wire n_11651;
+   wire n_11652;
+   wire n_11653;
+   wire n_11654;
+   wire n_11655;
+   wire n_11656;
+   wire n_11657;
+   wire n_11658;
+   wire n_11659;
+   wire n_11660;
+   wire n_11661;
+   wire n_11662;
+   wire n_11663;
+   wire n_11664;
+   wire n_11665;
+   wire n_11666;
+   wire n_11667;
+   wire n_11668;
+   wire n_11669;
+   wire n_11670;
+   wire n_11671;
+   wire n_11672;
+   wire n_11673;
+   wire n_11674;
+   wire n_11675;
+   wire n_11676;
+   wire n_11677;
+   wire n_11678;
+   wire n_11679;
+   wire n_11680;
+   wire n_11681;
+   wire n_11682;
+   wire n_11683;
+   wire n_11684;
+   wire n_11685;
+   wire n_11686;
+   wire n_11687;
+   wire n_11688;
+   wire n_11689;
+   wire n_11690;
+   wire n_11691;
+   wire n_11692;
+   wire n_11693;
+   wire n_11694;
+   wire n_11695;
+   wire n_11696;
+   wire n_11697;
+   wire n_11698;
+   wire n_11699;
+   wire n_11700;
+   wire n_11701;
+   wire n_11702;
+   wire n_11703;
+   wire n_11704;
+   wire n_11705;
+   wire n_11706;
+   wire n_11707;
+   wire n_11708;
+   wire n_11709;
+   wire n_11710;
+   wire n_11711;
+   wire n_11712;
+   wire n_11713;
+   wire n_11714;
+   wire n_11715;
+   wire n_11716;
+   wire n_11717;
+   wire n_11718;
+   wire n_11719;
+   wire n_11720;
+   wire n_11721;
+   wire n_11722;
+   wire n_11723;
+   wire n_11724;
+   wire n_11725;
+   wire n_11726;
+   wire n_11727;
+   wire n_11728;
+   wire n_11729;
+   wire n_11730;
+   wire n_11731;
+   wire n_11732;
+   wire n_11733;
+   wire n_11734;
+   wire n_11735;
+   wire n_11736;
+   wire n_11737;
+   wire n_11738;
+   wire n_11739;
+   wire n_11740;
+   wire n_11741;
+   wire n_11742;
+   wire n_11743;
+   wire n_11744;
+   wire n_11745;
+   wire n_11746;
+   wire n_11747;
+   wire n_11748;
+   wire n_11749;
+   wire n_11750;
+   wire n_11751;
+   wire n_11752;
+   wire n_11753;
+   wire n_11754;
+   wire n_11755;
+   wire n_11756;
+   wire n_11757;
+   wire n_11758;
+   wire n_11759;
+   wire n_11760;
+   wire n_11761;
+   wire n_11762;
+   wire n_11763;
+   wire n_11764;
+   wire n_11765;
+   wire n_11766;
+   wire n_11767;
+   wire n_11768;
+   wire n_11769;
+   wire n_11770;
+   wire n_11771;
+   wire n_11772;
+   wire n_11773;
+   wire n_11774;
+   wire n_11775;
+   wire n_11776;
+   wire n_11777;
+   wire n_11778;
+   wire n_11779;
+   wire n_11780;
+   wire n_11781;
+   wire n_11782;
+   wire n_11783;
+   wire n_11784;
+   wire n_11785;
+   wire n_11786;
+   wire n_11787;
+   wire n_11788;
+   wire n_11789;
+   wire n_11790;
+   wire n_11791;
+   wire n_11792;
+   wire n_11793;
+   wire n_11794;
+   wire n_11795;
+   wire n_11796;
+   wire n_11797;
+   wire n_11798;
+   wire n_11799;
+   wire n_11800;
+   wire n_11801;
+   wire n_11802;
+   wire n_11803;
+   wire n_11804;
+   wire n_11805;
+   wire n_11806;
+   wire n_11807;
+   wire n_11808;
+   wire n_11809;
+   wire n_11810;
+   wire n_11811;
+   wire n_11812;
+   wire n_11813;
+   wire n_11814;
+   wire n_11815;
+   wire n_11816;
+   wire n_11817;
+   wire n_11818;
+   wire n_11819;
+   wire n_11820;
+   wire n_11821;
+   wire n_11822;
+   wire n_11823;
+   wire n_11824;
+   wire n_11825;
+   wire n_11826;
+   wire n_11827;
+   wire n_11828;
+   wire n_11829;
+   wire n_11830;
+   wire n_11831;
+   wire n_11832;
+   wire n_11833;
+   wire n_11834;
+   wire n_11835;
+   wire n_11836;
+   wire n_11837;
+   wire n_11838;
+   wire n_11839;
+   wire n_11840;
+   wire n_11841;
+   wire n_11842;
+   wire n_11843;
+   wire n_11844;
+   wire n_11845;
+   wire n_11846;
+   wire n_11847;
+   wire n_11848;
+   wire n_11849;
+   wire n_11850;
+   wire n_11851;
+   wire n_11852;
+   wire n_11853;
+   wire n_11854;
+   wire n_11855;
+   wire n_11856;
+   wire n_11857;
+   wire n_11858;
+   wire n_11859;
+   wire n_11860;
+   wire n_11861;
+   wire n_11862;
+   wire n_11863;
+   wire n_11864;
+   wire n_11865;
+   wire n_11866;
+   wire n_11867;
+   wire n_11868;
+   wire n_11869;
+   wire n_11870;
+   wire n_11871;
+   wire n_11872;
+   wire n_11873;
+   wire n_11874;
+   wire n_11875;
+   wire n_11876;
+   wire n_11877;
+   wire n_11878;
+   wire n_11879;
+   wire n_11880;
+   wire n_11881;
+   wire n_11882;
+   wire n_11883;
+   wire n_11884;
+   wire n_11885;
+   wire n_11886;
+   wire n_11887;
+   wire n_11888;
+   wire n_11889;
+   wire n_11890;
+   wire n_11891;
+   wire n_11892;
+   wire n_11893;
+   wire n_11894;
+   wire n_11895;
+   wire n_11896;
+   wire n_11897;
+   wire n_11898;
+   wire n_11899;
+   wire n_11900;
+   wire n_11901;
+   wire n_11902;
+   wire n_11903;
+   wire n_11904;
+   wire n_11905;
+   wire n_11906;
+   wire n_11907;
+   wire n_11908;
+   wire n_11909;
+   wire n_11910;
+   wire n_11911;
+   wire n_11912;
+   wire n_11913;
+   wire n_11914;
+   wire n_11915;
+   wire n_11916;
+   wire n_11917;
+   wire n_11918;
+   wire n_11919;
+   wire n_11920;
+   wire n_11921;
+   wire n_11922;
+   wire n_11923;
+   wire n_11924;
+   wire n_11925;
+   wire n_11926;
+   wire n_11927;
+   wire n_11928;
+   wire n_11929;
+   wire n_11930;
+   wire n_11931;
+   wire n_11932;
+   wire n_11933;
+   wire n_11934;
+   wire n_11935;
+   wire n_11941;
+   wire n_11942;
+   wire n_11943;
+   wire n_11944;
+   wire n_11945;
+   wire n_11946;
+   wire n_11947;
+   wire n_11948;
+   wire n_11949;
+   wire n_11950;
+   wire n_11951;
+   wire n_11952;
+   wire n_11953;
+   wire n_11954;
+   wire n_11955;
+   wire n_11956;
+   wire n_11957;
+   wire n_11958;
+   wire n_11959;
+   wire n_11960;
+   wire n_11961;
+   wire n_11962;
+   wire n_11963;
+   wire n_11964;
+   wire n_11965;
+   wire n_11966;
+   wire n_11967;
+   wire n_11968;
+   wire n_11969;
+   wire n_11970;
+   wire n_11971;
+   wire n_11972;
+   wire n_11973;
+   wire n_11974;
+   wire n_11975;
+   wire n_11976;
+   wire n_11977;
+   wire n_11978;
+   wire n_11979;
+   wire n_11980;
+   wire n_11981;
+   wire n_11982;
+   wire n_11983;
+   wire n_11984;
+   wire n_11985;
+   wire n_11986;
+   wire n_11987;
+   wire n_11988;
+   wire n_11989;
+   wire n_11990;
+   wire n_11991;
+   wire n_11992;
+   wire n_11993;
+   wire n_11994;
+   wire n_11995;
+   wire n_11996;
+   wire n_11997;
+   wire n_11998;
+   wire n_11999;
+   wire n_12000;
+   wire n_12001;
+   wire n_12002;
+   wire n_12003;
+   wire n_12004;
+   wire n_12005;
+   wire n_12006;
+   wire n_12007;
+   wire n_12008;
+   wire n_12009;
+   wire n_12010;
+   wire n_12011;
+   wire n_12012;
+   wire n_12013;
+   wire n_12014;
+   wire n_12015;
+   wire n_12016;
+   wire n_12017;
+   wire n_12018;
+   wire n_12019;
+   wire n_12020;
+   wire n_12021;
+   wire n_12022;
+   wire n_12023;
+   wire n_12024;
+   wire n_12025;
+   wire n_12026;
+   wire n_12027;
+   wire n_12028;
+   wire n_12029;
+   wire n_12030;
+   wire n_12031;
+   wire n_12032;
+   wire n_12033;
+   wire n_12034;
+   wire n_12035;
+   wire n_12036;
+   wire n_12037;
+   wire n_12038;
+   wire n_12039;
+   wire n_12040;
+   wire n_12041;
+   wire n_12042;
+   wire n_12043;
+   wire n_12044;
+   wire n_12045;
+   wire n_12046;
+   wire n_12047;
+   wire n_12048;
+   wire n_12049;
+   wire n_12050;
+   wire n_12051;
+   wire n_12052;
+   wire n_12053;
+   wire n_12054;
+   wire n_12055;
+   wire n_12056;
+   wire n_12057;
+   wire n_12058;
+   wire n_12059;
+   wire n_12060;
+   wire n_12061;
+   wire n_12062;
+   wire n_12063;
+   wire n_12064;
+   wire n_12065;
+   wire n_12066;
+   wire n_12067;
+   wire n_12068;
+   wire n_12069;
+   wire n_12070;
+   wire n_12071;
+   wire n_12072;
+   wire n_12073;
+   wire n_12074;
+   wire n_12075;
+   wire n_12076;
+   wire n_12077;
+   wire n_12078;
+   wire n_12079;
+   wire n_12081;
+   wire n_12083;
+   wire n_12084;
+   wire n_12085;
+   wire n_12086;
+   wire n_12087;
+   wire n_12088;
+   wire n_12089;
+   wire n_12090;
+   wire n_12091;
+   wire n_12092;
+   wire n_12093;
+   wire n_12094;
+   wire n_12095;
+   wire n_12096;
+   wire n_12097;
+   wire n_12098;
+   wire n_12099;
+   wire n_12100;
+   wire n_12101;
+   wire n_12102;
+   wire n_12103;
+   wire n_12104;
+   wire n_12105;
+   wire n_12106;
+   wire n_12107;
+   wire n_12108;
+   wire n_12109;
+   wire n_12110;
+   wire n_12111;
+   wire n_12112;
+   wire n_12113;
+   wire n_12114;
+   wire n_12115;
+   wire n_12116;
+   wire n_12117;
+   wire n_12118;
+   wire n_12119;
+   wire n_12120;
+   wire n_12137;
+   wire n_12138;
+   wire n_12139;
+   wire n_12141;
+   wire n_12142;
+   wire n_12143;
+   wire n_12144;
+   wire n_12145;
+   wire n_12146;
+   wire n_12147;
+   wire n_12148;
+   wire n_12149;
+   wire n_12150;
+   wire n_12151;
+   wire n_12152;
+   wire n_12153;
+   wire n_12154;
+   wire n_12155;
+   wire n_12156;
+   wire n_12157;
+   wire n_12158;
+   wire n_12160;
+   wire n_12161;
+   wire n_12162;
+   wire n_12163;
+   wire n_12164;
+   wire n_12180;
+   wire n_12181;
+   wire n_12182;
+   wire n_12183;
+   wire n_12184;
+   wire n_12185;
+   wire n_12186;
+   wire n_12187;
+   wire n_12189;
+   wire n_12190;
+   wire n_12192;
+   wire n_12193;
+   wire n_12194;
+   wire n_12196;
+   wire n_12197;
+   wire n_12200;
+   wire n_12202;
+   wire n_12203;
+   wire n_12204;
+   wire n_12205;
+   wire n_12206;
+   wire n_12207;
+   wire n_12208;
+   wire n_12209;
+   wire n_12210;
+   wire n_12211;
+   wire n_12212;
+   wire n_12213;
+   wire n_12214;
+   wire n_12215;
+   wire n_12216;
+   wire n_12217;
+   wire n_12218;
+   wire n_12219;
+   wire n_12220;
+   wire n_12221;
+   wire n_12222;
+   wire n_12223;
+   wire n_12224;
+   wire n_12225;
+   wire n_12226;
+   wire n_12227;
+   wire n_12228;
+   wire n_12229;
+   wire n_12230;
+   wire n_12231;
+   wire n_12232;
+   wire n_12233;
+   wire n_12234;
+   wire n_12235;
+   wire n_12236;
+   wire n_12237;
+   wire n_12238;
+   wire n_12239;
+   wire n_12240;
+   wire n_12241;
+   wire n_12242;
+   wire n_12243;
+   wire n_12244;
+   wire n_12245;
+   wire n_12246;
+   wire n_12247;
+   wire n_12248;
+   wire n_12249;
+   wire n_12250;
+   wire n_12251;
+   wire n_12252;
+   wire n_12253;
+   wire n_12254;
+   wire n_12255;
+   wire n_12256;
+   wire n_12257;
+   wire n_12258;
+   wire n_12259;
+   wire n_12260;
+   wire n_12261;
+   wire n_12262;
+   wire n_12263;
+   wire n_12264;
+   wire n_12265;
+   wire n_12266;
+   wire n_12267;
+   wire n_12268;
+   wire n_12269;
+   wire n_12270;
+   wire n_12271;
+   wire n_12272;
+   wire n_12273;
+   wire n_12274;
+   wire n_12275;
+   wire n_12276;
+   wire n_12277;
+   wire n_12278;
+   wire n_12279;
+   wire n_12280;
+   wire n_12281;
+   wire n_12282;
+   wire n_12283;
+   wire n_12284;
+   wire n_12285;
+   wire n_12286;
+   wire n_12287;
+   wire n_12288;
+   wire n_12289;
+   wire n_12290;
+   wire n_12291;
+   wire n_12292;
+   wire n_12293;
+   wire n_12294;
+   wire n_12295;
+   wire n_12296;
+   wire n_12297;
+   wire n_12298;
+   wire n_12299;
+   wire n_12300;
+   wire n_12301;
+   wire n_12303;
+   wire n_12304;
+   wire n_12305;
+   wire n_12306;
+   wire n_12307;
+   wire n_12308;
+   wire n_12309;
+   wire n_12310;
+   wire n_12311;
+   wire n_12312;
+   wire n_12313;
+   wire n_12314;
+   wire n_12315;
+   wire n_12316;
+   wire n_12317;
+   wire n_12318;
+   wire n_12319;
+   wire n_12320;
+   wire n_12321;
+   wire n_12322;
+   wire n_12323;
+   wire n_12324;
+   wire n_12325;
+   wire n_12326;
+   wire n_12327;
+   wire n_12328;
+   wire n_12329;
+   wire n_12330;
+   wire n_12331;
+   wire n_12332;
+   wire n_12333;
+   wire n_12334;
+   wire n_12335;
+   wire n_12336;
+   wire n_12337;
+   wire n_12338;
+   wire n_12339;
+   wire n_12340;
+   wire n_12341;
+   wire n_12342;
+   wire n_12343;
+   wire n_12344;
+   wire n_12345;
+   wire n_12346;
+   wire n_12347;
+   wire n_12348;
+   wire n_12349;
+   wire n_12350;
+   wire n_12351;
+   wire n_12352;
+   wire n_12353;
+   wire n_12354;
+   wire n_12355;
+   wire n_12356;
+   wire n_12357;
+   wire n_12358;
+   wire n_12359;
+   wire n_12360;
+   wire n_12361;
+   wire n_12362;
+   wire n_12363;
+   wire n_12364;
+   wire n_12365;
+   wire n_12366;
+   wire n_12367;
+   wire n_12368;
+   wire n_12369;
+   wire n_12370;
+   wire n_12371;
+   wire n_12372;
+   wire n_12373;
+   wire n_12374;
+   wire n_12375;
+   wire n_12376;
+   wire n_12377;
+   wire n_12378;
+   wire n_12379;
+   wire n_12380;
+   wire n_12381;
+   wire n_12382;
+   wire n_12383;
+   wire n_12384;
+   wire n_12385;
+   wire n_12386;
+   wire n_12387;
+   wire n_12388;
+   wire n_12390;
+   wire n_12391;
+   wire n_12392;
+   wire n_12393;
+   wire n_12394;
+   wire n_12395;
+   wire n_12498;
+   wire n_12524;
+   wire n_12525;
+   wire n_12538;
+   wire n_12539;
+   wire n_12543;
+   wire n_12544;
+   wire n_12545;
+   wire n_12693;
+   wire n_12694;
+   wire n_12695;
+   wire n_12696;
+   wire n_12697;
+   wire n_12698;
+   wire n_12699;
+   wire n_12700;
+   wire n_12701;
+   wire n_12702;
+   wire n_12703;
+   wire n_12704;
+   wire n_12705;
+   wire n_12706;
+   wire n_12707;
+   wire n_12708;
+   wire n_12709;
+   wire n_12710;
+   wire n_12711;
+   wire n_12712;
+   wire n_12713;
+   wire n_12714;
+   wire n_12715;
+   wire n_12716;
+   wire n_12717;
+   wire n_12718;
+   wire n_12719;
+   wire n_12720;
+   wire n_12721;
+   wire n_12722;
+   wire n_12723;
+   wire n_12724;
+   wire n_12725;
+   wire n_12726;
+   wire n_12727;
+   wire n_12728;
+   wire n_12729;
+   wire n_12730;
+   wire n_12731;
+   wire n_12732;
+   wire n_12733;
+   wire n_12734;
+   wire n_12735;
+   wire n_12736;
+   wire n_12737;
+   wire n_12738;
+   wire n_12739;
+   wire n_12740;
+   wire n_12741;
+   wire n_12742;
+   wire n_12743;
+   wire n_12744;
+   wire n_12745;
+   wire n_12746;
+   wire n_12747;
+   wire n_12748;
+   wire n_12749;
+   wire n_12750;
+   wire n_12751;
+   wire n_12752;
+   wire n_12753;
+   wire n_12754;
+   wire n_12755;
+   wire n_12756;
+   wire n_12757;
+   wire n_12758;
+   wire n_12759;
+   wire n_12760;
+   wire n_12761;
+   wire n_12762;
+   wire n_12763;
+   wire n_12764;
+   wire n_12765;
+   wire n_12766;
+   wire n_12767;
+   wire n_12768;
+   wire n_12769;
+   wire n_12770;
+   wire n_12771;
+   wire n_12772;
+   wire n_12773;
+   wire n_12774;
+   wire n_12775;
+   wire n_12776;
+   wire n_12777;
+   wire n_12778;
+   wire n_12779;
+   wire n_12780;
+   wire n_12781;
+   wire n_12782;
+   wire n_12783;
+   wire n_12784;
+   wire n_12785;
+   wire n_12786;
+   wire n_12787;
+   wire n_12788;
+   wire n_12789;
+   wire n_12790;
+   wire n_12791;
+   wire n_12792;
+   wire n_12793;
+   wire n_12794;
+   wire n_12795;
+   wire n_12796;
+   wire n_12797;
+   wire n_12798;
+   wire n_12799;
+   wire n_12800;
+   wire n_12801;
+   wire n_12802;
+   wire n_12803;
+   wire n_12804;
+   wire n_12805;
+   wire n_12806;
+   wire n_12807;
+   wire n_12808;
+   wire n_12809;
+   wire n_12810;
+   wire n_12811;
+   wire n_12812;
+   wire n_12813;
+   wire n_12814;
+   wire n_12815;
+   wire n_12816;
+   wire n_12817;
+   wire n_12818;
+   wire n_12819;
+   wire n_12820;
+   wire n_12821;
+   wire n_12822;
+   wire n_12823;
+   wire n_12824;
+   wire n_12825;
+   wire n_12826;
+   wire n_12827;
+   wire n_12828;
+   wire n_12829;
+   wire n_12830;
+   wire n_12831;
+   wire n_12832;
+   wire n_12833;
+   wire n_12834;
+   wire n_12835;
+   wire n_12836;
+   wire n_12837;
+   wire n_12838;
+   wire n_12839;
+   wire n_12840;
+   wire n_12841;
+   wire n_12842;
+   wire n_12843;
+   wire n_12844;
+   wire n_12845;
+   wire n_12846;
+   wire n_12847;
+   wire n_12848;
+   wire n_12849;
+   wire n_12850;
+   wire n_12851;
+   wire n_12852;
+   wire n_12853;
+   wire n_12854;
+   wire n_12855;
+   wire n_12856;
+   wire n_12857;
+   wire n_12858;
+   wire n_12859;
+   wire n_12860;
+   wire n_12861;
+   wire n_12862;
+   wire n_12863;
+   wire n_12864;
+   wire n_12865;
+   wire n_12866;
+   wire n_12867;
+   wire n_12868;
+   wire n_12869;
+   wire n_12870;
+   wire n_12871;
+   wire n_12872;
+   wire n_12873;
+   wire n_12874;
+   wire n_12875;
+   wire n_12876;
+   wire n_12877;
+   wire n_12878;
+   wire n_12879;
+   wire n_12880;
+   wire n_12881;
+   wire n_12882;
+   wire n_12883;
+   wire n_12884;
+   wire n_12885;
+   wire n_12886;
+   wire n_12887;
+   wire n_12888;
+   wire n_12889;
+   wire n_12890;
+   wire n_12891;
+   wire n_12892;
+   wire n_12893;
+   wire n_12894;
+   wire n_12895;
+   wire n_12896;
+   wire n_12897;
+   wire n_12898;
+   wire n_12899;
+   wire n_12900;
+   wire n_12901;
+   wire n_12902;
+   wire n_12903;
+   wire n_12904;
+   wire n_12905;
+   wire n_12906;
+   wire n_12907;
+   wire n_12908;
+   wire n_12909;
+   wire n_12910;
+   wire n_12911;
+   wire n_12912;
+   wire n_12913;
+   wire n_12914;
+   wire n_12915;
+   wire n_12916;
+   wire n_12917;
+   wire n_12918;
+   wire n_12919;
+   wire n_12920;
+   wire n_12921;
+   wire n_12922;
+   wire n_12923;
+   wire n_12924;
+   wire n_12925;
+   wire n_12926;
+   wire n_12927;
+   wire n_12928;
+   wire n_12929;
+   wire n_12930;
+   wire n_12931;
+   wire n_12932;
+   wire n_12933;
+   wire n_12934;
+   wire n_12935;
+   wire n_12936;
+   wire n_12937;
+   wire n_12938;
+   wire n_12939;
+   wire n_12940;
+   wire n_12941;
+   wire n_12942;
+   wire n_12943;
+   wire n_12944;
+   wire n_12945;
+   wire n_12946;
+   wire n_12947;
+   wire n_12948;
+   wire n_12949;
+   wire n_12950;
+   wire n_12951;
+   wire n_12952;
+   wire n_12953;
+   wire n_12954;
+   wire n_12955;
+   wire n_12956;
+   wire n_12957;
+   wire n_12958;
+   wire n_12959;
+   wire n_12960;
+   wire n_12961;
+   wire n_12962;
+   wire n_12963;
+   wire n_12964;
+   wire n_12965;
+   wire n_12966;
+   wire n_12967;
+   wire n_12968;
+   wire n_12969;
+   wire n_12970;
+   wire n_12971;
+   wire n_12972;
+   wire n_12973;
+   wire n_12974;
+   wire n_12975;
+   wire n_12976;
+   wire n_12977;
+   wire n_12978;
+   wire n_12979;
+   wire n_12980;
+   wire n_12981;
+   wire n_12982;
+   wire n_12983;
+   wire n_12984;
+   wire n_12985;
+   wire n_12986;
+   wire n_12987;
+   wire n_12988;
+   wire n_12989;
+   wire n_12990;
+   wire n_12991;
+   wire n_12992;
+   wire n_12993;
+   wire n_12994;
+   wire n_12995;
+   wire n_12996;
+   wire n_12997;
+   wire n_12998;
+   wire n_12999;
+   wire n_13000;
+   wire n_13001;
+   wire n_13002;
+   wire n_13003;
+   wire n_13004;
+   wire n_13005;
+   wire n_13006;
+   wire n_13007;
+   wire n_13008;
+   wire n_13009;
+   wire n_13010;
+   wire n_13011;
+   wire n_13012;
+   wire n_13013;
+   wire n_13014;
+   wire n_13015;
+   wire n_13016;
+   wire n_13017;
+   wire n_13018;
+   wire n_13019;
+   wire n_13020;
+   wire n_13021;
+   wire n_13022;
+   wire n_13023;
+   wire n_13024;
+   wire n_13025;
+   wire n_13026;
+   wire n_13027;
+   wire n_13028;
+   wire n_13029;
+   wire n_13030;
+   wire n_13031;
+   wire n_13032;
+   wire n_13033;
+   wire n_13034;
+   wire n_13035;
+   wire n_13036;
+   wire n_13037;
+   wire n_13038;
+   wire n_13039;
+   wire n_13040;
+   wire n_13041;
+   wire n_13042;
+   wire n_13043;
+   wire n_13044;
+   wire n_13045;
+   wire n_13046;
+   wire n_13047;
+   wire n_13048;
+   wire n_13049;
+   wire n_13050;
+   wire n_13051;
+   wire n_13052;
+   wire n_13053;
+   wire n_13054;
+   wire n_13055;
+   wire n_13056;
+   wire n_13057;
+   wire n_13058;
+   wire n_13059;
+   wire n_13060;
+   wire n_13061;
+   wire n_13062;
+   wire n_13063;
+   wire n_13064;
+   wire n_13065;
+   wire n_13066;
+   wire n_13067;
+   wire n_13068;
+   wire n_13069;
+   wire n_13070;
+   wire n_13071;
+   wire n_13072;
+   wire n_13073;
+   wire n_13074;
+   wire n_13075;
+   wire n_13076;
+   wire n_13077;
+   wire n_13078;
+   wire n_13079;
+   wire n_13080;
+   wire n_13081;
+   wire n_13082;
+   wire n_13083;
+   wire n_13084;
+   wire n_13085;
+   wire n_13086;
+   wire n_13087;
+   wire n_13088;
+   wire n_13089;
+   wire n_13090;
+   wire n_13091;
+   wire n_13092;
+   wire n_13093;
+   wire n_13094;
+   wire n_13095;
+   wire n_13096;
+   wire n_13097;
+   wire n_13098;
+   wire n_13099;
+   wire n_13100;
+   wire n_13101;
+   wire n_13102;
+   wire n_13103;
+   wire n_13104;
+   wire n_13105;
+   wire n_13106;
+   wire n_13107;
+   wire n_13108;
+   wire n_13109;
+   wire n_13110;
+   wire n_13111;
+   wire n_13112;
+   wire n_13113;
+   wire n_13114;
+   wire n_13115;
+   wire n_13116;
+   wire n_13117;
+   wire n_13118;
+   wire n_13119;
+   wire n_13120;
+   wire n_13121;
+   wire n_13122;
+   wire n_13123;
+   wire n_13124;
+   wire n_13125;
+   wire n_13126;
+   wire n_13127;
+   wire n_13128;
+   wire n_13129;
+   wire n_13130;
+   wire n_13131;
+   wire n_13132;
+   wire n_13133;
+   wire n_13134;
+   wire n_13135;
+   wire n_13136;
+   wire n_13137;
+   wire n_13138;
+   wire n_13139;
+   wire n_13140;
+   wire n_13141;
+   wire n_13142;
+   wire n_13143;
+   wire n_13144;
+   wire n_13145;
+   wire n_13146;
+   wire n_13147;
+   wire n_13148;
+   wire n_13149;
+   wire n_13150;
+   wire n_13151;
+   wire n_13152;
+   wire n_13153;
+   wire n_13154;
+   wire n_13155;
+   wire n_13156;
+   wire n_13157;
+   wire n_13158;
+   wire n_13159;
+   wire n_13160;
+   wire n_13161;
+   wire n_13162;
+   wire n_13163;
+   wire n_13164;
+   wire n_13165;
+   wire n_13166;
+   wire n_13167;
+   wire n_13168;
+   wire n_13169;
+   wire n_13170;
+   wire n_13171;
+   wire n_13172;
+   wire n_13173;
+   wire n_13174;
+   wire n_13175;
+   wire n_13176;
+   wire n_13177;
+   wire n_13178;
+   wire n_13179;
+   wire n_13180;
+   wire n_13181;
+   wire n_13182;
+   wire n_13183;
+   wire n_13184;
+   wire n_13185;
+   wire n_13186;
+   wire n_13187;
+   wire n_13188;
+   wire n_13189;
+   wire n_13190;
+   wire n_13191;
+   wire n_13192;
+   wire n_13193;
+   wire n_13194;
+   wire n_13195;
+   wire n_13196;
+   wire n_13197;
+   wire n_13198;
+   wire n_13199;
+   wire n_13200;
+   wire n_13201;
+   wire n_13202;
+   wire n_13203;
+   wire n_13204;
+   wire n_13205;
+   wire n_13206;
+   wire n_13207;
+   wire n_13208;
+   wire n_13209;
+   wire n_13210;
+   wire n_13211;
+   wire n_13212;
+   wire n_13213;
+   wire n_13214;
+   wire n_13215;
+   wire n_13216;
+   wire n_13217;
+   wire n_13218;
+   wire n_13219;
+   wire n_13220;
+   wire n_13221;
+   wire n_13222;
+   wire n_13223;
+   wire n_13224;
+   wire n_13225;
+   wire n_13226;
+   wire n_13227;
+   wire n_13228;
+   wire n_13229;
+   wire n_13230;
+   wire n_13231;
+   wire n_13232;
+   wire n_13233;
+   wire n_13234;
+   wire n_13235;
+   wire n_13236;
+   wire n_13237;
+   wire n_13238;
+   wire n_13239;
+   wire n_13240;
+   wire n_13241;
+   wire n_13242;
+   wire n_13243;
+   wire n_13244;
+   wire n_13245;
+   wire n_13246;
+   wire n_13247;
+   wire n_13248;
+   wire n_13249;
+   wire n_13250;
+   wire n_13251;
+   wire n_13252;
+   wire n_13253;
+   wire n_13254;
+   wire n_13255;
+   wire n_13256;
+   wire n_13257;
+   wire n_13258;
+   wire n_13259;
+   wire n_13260;
+   wire n_13261;
+   wire n_13262;
+   wire n_13263;
+   wire n_13264;
+   wire n_13265;
+   wire n_13266;
+   wire n_13267;
+   wire n_13268;
+   wire n_13269;
+   wire n_13270;
+   wire n_13271;
+   wire n_13272;
+   wire n_13273;
+   wire n_13274;
+   wire n_13275;
+   wire n_13276;
+   wire n_13277;
+   wire n_13278;
+   wire n_13279;
+   wire n_13280;
+   wire n_13281;
+   wire n_13282;
+   wire n_13283;
+   wire n_13284;
+   wire n_13285;
+   wire n_13286;
+   wire n_13287;
+   wire n_13288;
+   wire n_13289;
+   wire n_13290;
+   wire n_13291;
+   wire n_13292;
+   wire n_13293;
+   wire n_13294;
+   wire n_13295;
+   wire n_13296;
+   wire n_13297;
+   wire n_13298;
+   wire n_13299;
+   wire n_13300;
+   wire n_13301;
+   wire n_13302;
+   wire n_13303;
+   wire n_13304;
+   wire n_13305;
+   wire n_13306;
+   wire n_13307;
+   wire n_13308;
+   wire n_13309;
+   wire n_13310;
+   wire n_13311;
+   wire n_13312;
+   wire n_13313;
+   wire n_13314;
+   wire n_13315;
+   wire n_13316;
+   wire n_13317;
+   wire n_13318;
+   wire n_13319;
+   wire n_13320;
+   wire n_13321;
+   wire n_13322;
+   wire n_13323;
+   wire n_13324;
+   wire n_13325;
+   wire n_13326;
+   wire n_13327;
+   wire n_13328;
+   wire n_13329;
+   wire n_13330;
+   wire n_13331;
+   wire n_13332;
+   wire n_13333;
+   wire n_13334;
+   wire n_13335;
+   wire n_13336;
+   wire n_13337;
+   wire n_13338;
+   wire n_13339;
+   wire n_13340;
+   wire n_13341;
+   wire n_13342;
+   wire n_13343;
+   wire n_13344;
+   wire n_13345;
+   wire n_13346;
+   wire n_13347;
+   wire n_13348;
+   wire n_13349;
+   wire n_13350;
+   wire n_13351;
+   wire n_13352;
+   wire n_13353;
+   wire n_13354;
+   wire n_13355;
+   wire n_13356;
+   wire n_13357;
+   wire n_13358;
+   wire n_13359;
+   wire n_13360;
+   wire n_13361;
+   wire n_13362;
+   wire n_13363;
+   wire n_13364;
+   wire n_13365;
+   wire n_13366;
+   wire n_13367;
+   wire n_13368;
+   wire n_13369;
+   wire n_13370;
+   wire n_13371;
+   wire n_13372;
+   wire n_13373;
+   wire n_13374;
+   wire n_13375;
+   wire n_13376;
+   wire n_13377;
+   wire n_13378;
+   wire n_13379;
+   wire n_13380;
+   wire n_13381;
+   wire n_13382;
+   wire n_13383;
+   wire n_13384;
+   wire n_13385;
+   wire n_13386;
+   wire n_13387;
+   wire n_13388;
+   wire n_13389;
+   wire n_13390;
+   wire n_13391;
+   wire n_13392;
+   wire n_13393;
+   wire n_13394;
+   wire n_13395;
+   wire n_13396;
+   wire n_13397;
+   wire n_13398;
+   wire n_13399;
+   wire n_13400;
+   wire n_13401;
+   wire n_13402;
+   wire n_13403;
+   wire n_13404;
+   wire n_13405;
+   wire n_13406;
+   wire n_13407;
+   wire n_13408;
+   wire n_13409;
+   wire n_13410;
+   wire n_13411;
+   wire n_13412;
+   wire n_13413;
+   wire n_13414;
+   wire n_13415;
+   wire n_13416;
+   wire n_13417;
+   wire n_13418;
+   wire n_13419;
+   wire n_13420;
+   wire n_13421;
+   wire n_13422;
+   wire n_13423;
+   wire n_13424;
+   wire n_13425;
+   wire n_13426;
+   wire n_13427;
+   wire n_13428;
+   wire n_13429;
+   wire n_13430;
+   wire n_13431;
+   wire n_13432;
+   wire n_13433;
+   wire n_13434;
+   wire n_13435;
+   wire n_13436;
+   wire n_13437;
+   wire n_13438;
+   wire n_13439;
+   wire n_13440;
+   wire n_13441;
+   wire n_13442;
+   wire n_13443;
+   wire n_13444;
+   wire n_13445;
+   wire n_13446;
+   wire n_13447;
+   wire n_13448;
+   wire n_13449;
+   wire n_13450;
+   wire n_13451;
+   wire n_13452;
+   wire n_13453;
+   wire n_13454;
+   wire n_13455;
+   wire n_13456;
+   wire n_13457;
+   wire n_13458;
+   wire n_13459;
+   wire n_13460;
+   wire n_13461;
+   wire n_13462;
+   wire n_13463;
+   wire n_13464;
+   wire n_13465;
+   wire n_13466;
+   wire n_13467;
+   wire n_13468;
+   wire n_13469;
+   wire n_13470;
+   wire n_13471;
+   wire n_13472;
+   wire n_13473;
+   wire n_13474;
+   wire n_13475;
+   wire n_13476;
+   wire n_13477;
+   wire n_13478;
+   wire n_13479;
+   wire n_13480;
+   wire n_13481;
+   wire n_13482;
+   wire n_13483;
+   wire n_13484;
+   wire n_13485;
+   wire n_13486;
+   wire n_13487;
+   wire n_13488;
+   wire n_13489;
+   wire n_13490;
+   wire n_13491;
+   wire n_13492;
+   wire n_13493;
+   wire n_13494;
+   wire n_13495;
+   wire n_13496;
+   wire n_13497;
+   wire n_13498;
+   wire n_13499;
+   wire n_13500;
+   wire n_13501;
+   wire n_13502;
+   wire n_13503;
+   wire n_13504;
+   wire n_13505;
+   wire n_13506;
+   wire n_13507;
+   wire n_13508;
+   wire n_13509;
+   wire n_13510;
+   wire n_13511;
+   wire n_13512;
+   wire n_13513;
+   wire n_13514;
+   wire n_13515;
+   wire n_13516;
+   wire n_13517;
+   wire n_13518;
+   wire n_13519;
+   wire n_13520;
+   wire n_13521;
+   wire n_13522;
+   wire n_13523;
+   wire n_13524;
+   wire n_13525;
+   wire n_13526;
+   wire n_13527;
+   wire n_13528;
+   wire n_13529;
+   wire n_13530;
+   wire n_13531;
+   wire n_13532;
+   wire n_13533;
+   wire n_13534;
+   wire n_13535;
+   wire n_13536;
+   wire n_13537;
+   wire n_13538;
+   wire n_13539;
+   wire n_13540;
+   wire n_13541;
+   wire n_13542;
+   wire n_13543;
+   wire n_13544;
+   wire n_13545;
+   wire n_13546;
+   wire n_13547;
+   wire n_13548;
+   wire n_13549;
+   wire n_13550;
+   wire n_13551;
+   wire n_13552;
+   wire n_13553;
+   wire n_13554;
+   wire n_13555;
+   wire n_13556;
+   wire n_13557;
+   wire n_13558;
+   wire n_13559;
+   wire n_13560;
+   wire n_13561;
+   wire n_13562;
+   wire n_13563;
+   wire n_13564;
+   wire n_13565;
+   wire n_13566;
+   wire n_13567;
+   wire n_13568;
+   wire n_13569;
+   wire n_13570;
+   wire n_13571;
+   wire n_13572;
+   wire n_13573;
+   wire n_13574;
+   wire n_13575;
+   wire n_13576;
+   wire n_13577;
+   wire n_13578;
+   wire n_13579;
+   wire n_13580;
+   wire n_13581;
+   wire n_13582;
+   wire n_13583;
+   wire n_13584;
+   wire n_13585;
+   wire n_13586;
+   wire n_13587;
+   wire n_13588;
+   wire n_13589;
+   wire n_13590;
+   wire n_13591;
+   wire n_13592;
+   wire n_13593;
+   wire n_13594;
+   wire n_13595;
+   wire n_13596;
+   wire n_13597;
+   wire n_13598;
+   wire n_13599;
+   wire n_13600;
+   wire n_13601;
+   wire n_13602;
+   wire n_13603;
+   wire n_13604;
+   wire n_13605;
+   wire n_13606;
+   wire n_13607;
+   wire n_13608;
+   wire n_13609;
+   wire n_13610;
+   wire n_13611;
+   wire n_13612;
+   wire n_13613;
+   wire n_13614;
+   wire n_13615;
+   wire n_13616;
+   wire n_13617;
+   wire n_13618;
+   wire n_13619;
+   wire n_13620;
+   wire n_13621;
+   wire n_13622;
+   wire n_13623;
+   wire n_13624;
+   wire n_13625;
+   wire n_13626;
+   wire n_13627;
+   wire n_13628;
+   wire n_13629;
+   wire n_13630;
+   wire n_13631;
+   wire n_13632;
+   wire n_13633;
+   wire n_13634;
+   wire n_13635;
+   wire n_13636;
+   wire n_13637;
+   wire n_13638;
+   wire n_13639;
+   wire n_13640;
+   wire n_13641;
+   wire n_13642;
+   wire n_13643;
+   wire n_13644;
+   wire n_13645;
+   wire n_13646;
+   wire n_13647;
+   wire n_13648;
+   wire n_13649;
+   wire n_13650;
+   wire n_13651;
+   wire n_13652;
+   wire n_13653;
+   wire n_13654;
+   wire n_13655;
+   wire n_13656;
+   wire n_13657;
+   wire n_13658;
+   wire n_13659;
+   wire n_13660;
+   wire n_13661;
+   wire n_13662;
+   wire n_13663;
+   wire n_13664;
+   wire n_13665;
+   wire n_13666;
+   wire n_13667;
+   wire n_13668;
+   wire n_13669;
+   wire n_13670;
+   wire n_13671;
+   wire n_13672;
+   wire n_13673;
+   wire n_13674;
+   wire n_13675;
+   wire n_13676;
+   wire n_13677;
+   wire n_13678;
+   wire n_13679;
+   wire n_13680;
+   wire n_13681;
+   wire n_13682;
+   wire n_13683;
+   wire n_13684;
+   wire n_13685;
+   wire n_13686;
+   wire n_13687;
+   wire n_13688;
+   wire n_13689;
+   wire n_13690;
+   wire n_13691;
+   wire n_13692;
+   wire n_13693;
+   wire n_13694;
+   wire n_13695;
+   wire n_13696;
+   wire n_13697;
+   wire n_13698;
+   wire n_13699;
+   wire n_13700;
+   wire n_13701;
+   wire n_13702;
+   wire n_13703;
+   wire n_13704;
+   wire n_13705;
+   wire n_13706;
+   wire n_13707;
+   wire n_13708;
+   wire n_13709;
+   wire n_13710;
+   wire n_13711;
+   wire n_13712;
+   wire n_13713;
+   wire n_13714;
+   wire n_13715;
+   wire n_13716;
+   wire n_13717;
+   wire n_13718;
+   wire n_13719;
+   wire n_13720;
+   wire n_13721;
+   wire n_13722;
+   wire n_13723;
+   wire n_13724;
+   wire n_13725;
+   wire n_13726;
+   wire n_13727;
+   wire n_13728;
+   wire n_13729;
+   wire n_13730;
+   wire n_13731;
+   wire n_13732;
+   wire n_13733;
+   wire n_13734;
+   wire n_13735;
+   wire n_13736;
+   wire n_13737;
+   wire n_13738;
+   wire n_13739;
+   wire n_13740;
+   wire n_13741;
+   wire n_13742;
+   wire n_13743;
+   wire n_13744;
+   wire n_13745;
+   wire n_13746;
+   wire n_13747;
+   wire n_13748;
+   wire n_13749;
+   wire n_13750;
+   wire n_13751;
+   wire n_13752;
+   wire n_13753;
+   wire n_13754;
+   wire n_13755;
+   wire n_13756;
+   wire n_13757;
+   wire n_13758;
+   wire n_13759;
+   wire n_13760;
+   wire n_13761;
+   wire n_13762;
+   wire n_13763;
+   wire n_13764;
+   wire n_13765;
+   wire n_13766;
+   wire n_13767;
+   wire n_13768;
+   wire n_13769;
+   wire n_13770;
+   wire n_13771;
+   wire n_13772;
+   wire n_13773;
+   wire n_13774;
+   wire n_13775;
+   wire n_13776;
+   wire n_13777;
+   wire n_13778;
+   wire n_13779;
+   wire n_13780;
+   wire n_13781;
+   wire n_13782;
+   wire n_13783;
+   wire n_13784;
+   wire n_13785;
+   wire n_13786;
+   wire n_13787;
+   wire n_13788;
+   wire n_13789;
+   wire n_13790;
+   wire n_13791;
+   wire n_13792;
+   wire n_13793;
+   wire n_13794;
+   wire n_13795;
+   wire n_13796;
+   wire n_13797;
+   wire n_13798;
+   wire n_13799;
+   wire n_13800;
+   wire n_13801;
+   wire n_13802;
+   wire n_13803;
+   wire n_13804;
+   wire n_13805;
+   wire n_13806;
+   wire n_13807;
+   wire n_13808;
+   wire n_13809;
+   wire n_13810;
+   wire n_13811;
+   wire n_13812;
+   wire n_13813;
+   wire n_13814;
+   wire n_13815;
+   wire n_13816;
+   wire n_13817;
+   wire n_13818;
+   wire n_13819;
+   wire n_13820;
+   wire n_13821;
+   wire n_13822;
+   wire n_13823;
+   wire n_13824;
+   wire n_13825;
+   wire n_13826;
+   wire n_13827;
+   wire n_13828;
+   wire n_13829;
+   wire n_13830;
+   wire n_13831;
+   wire n_13832;
+   wire n_13833;
+   wire n_13834;
+   wire n_13835;
+   wire n_13836;
+   wire n_13837;
+   wire n_13838;
+   wire n_13839;
+   wire n_13840;
+   wire n_13841;
+   wire n_13842;
+   wire n_13843;
+   wire n_13844;
+   wire n_13845;
+   wire n_13846;
+   wire n_13847;
+   wire n_13848;
+   wire n_13849;
+   wire n_13850;
+   wire n_13851;
+   wire n_13852;
+   wire n_13853;
+   wire n_13854;
+   wire n_13855;
+   wire n_13856;
+   wire n_13857;
+   wire n_13858;
+   wire n_13859;
+   wire n_13860;
+   wire n_13861;
+   wire n_13862;
+   wire n_13863;
+   wire n_13864;
+   wire n_13865;
+   wire n_13866;
+   wire n_13867;
+   wire n_13868;
+   wire n_13869;
+   wire n_13870;
+   wire n_13871;
+   wire n_13872;
+   wire n_13873;
+   wire n_13874;
+   wire n_13875;
+   wire n_13876;
+   wire n_13877;
+   wire n_13878;
+   wire n_13879;
+   wire n_13880;
+   wire n_13881;
+   wire n_13882;
+   wire n_13883;
+   wire n_13884;
+   wire n_13885;
+   wire n_13886;
+   wire n_13887;
+   wire n_13888;
+   wire n_13889;
+   wire n_13890;
+   wire n_13891;
+   wire n_13892;
+   wire n_13893;
+   wire n_13894;
+   wire n_13895;
+   wire n_13896;
+   wire n_13897;
+   wire n_13898;
+   wire n_13899;
+   wire n_13900;
+   wire n_13901;
+   wire n_13902;
+   wire n_13903;
+   wire n_13904;
+   wire n_13905;
+   wire n_13906;
+   wire n_13907;
+   wire n_13908;
+   wire n_13909;
+   wire n_13910;
+   wire n_13911;
+   wire n_13912;
+   wire n_13913;
+   wire n_13914;
+   wire n_13915;
+   wire n_13916;
+   wire n_13917;
+   wire n_13918;
+   wire n_13919;
+   wire n_13920;
+   wire n_13921;
+   wire n_13922;
+   wire n_13923;
+   wire n_13924;
+   wire n_13925;
+   wire n_13926;
+   wire n_13927;
+   wire n_13928;
+   wire n_13929;
+   wire n_13930;
+   wire n_13931;
+   wire n_13932;
+   wire n_13933;
+   wire n_13934;
+   wire n_13935;
+   wire n_13936;
+   wire n_13937;
+   wire n_13938;
+   wire n_13939;
+   wire n_13940;
+   wire n_13941;
+   wire n_13942;
+   wire n_13943;
+   wire n_13944;
+   wire n_13945;
+   wire n_13946;
+   wire n_13947;
+   wire n_13948;
+   wire n_13949;
+   wire n_13950;
+   wire n_13951;
+   wire n_13952;
+   wire n_13953;
+   wire n_13954;
+   wire n_13955;
+   wire n_13956;
+   wire n_13957;
+   wire n_13958;
+   wire n_13959;
+   wire n_13960;
+   wire n_13961;
+   wire n_13962;
+   wire n_13963;
+   wire n_13964;
+   wire n_13965;
+   wire n_13966;
+   wire n_13967;
+   wire n_13968;
+   wire n_13969;
+   wire n_13970;
+   wire n_13971;
+   wire n_13972;
+   wire n_13973;
+   wire n_13974;
+   wire n_13975;
+   wire n_13976;
+   wire n_13977;
+   wire n_13978;
+   wire n_13979;
+   wire n_13980;
+   wire n_13981;
+   wire n_13982;
+   wire n_13983;
+   wire n_13984;
+   wire n_13985;
+   wire n_13986;
+   wire n_13987;
+   wire n_13988;
+   wire n_13989;
+   wire n_13990;
+   wire n_13991;
+   wire n_13992;
+   wire n_13993;
+   wire n_13994;
+   wire n_13995;
+   wire n_13996;
+   wire n_13997;
+   wire n_13998;
+   wire n_13999;
+   wire n_14000;
+   wire n_14001;
+   wire n_14002;
+   wire n_14003;
+   wire n_14004;
+   wire n_14005;
+   wire n_14006;
+   wire n_14007;
+   wire n_14008;
+   wire n_14009;
+   wire n_14010;
+   wire n_14011;
+   wire n_14012;
+   wire n_14013;
+   wire n_14014;
+   wire n_14015;
+   wire n_14016;
+   wire n_14017;
+   wire n_14018;
+   wire n_14019;
+   wire n_14020;
+   wire n_14021;
+   wire n_14022;
+   wire n_14023;
+   wire n_14024;
+   wire n_14025;
+   wire n_14026;
+   wire n_14027;
+   wire n_14028;
+   wire n_14029;
+   wire n_14030;
+   wire n_14031;
+   wire n_14032;
+   wire n_14033;
+   wire n_14034;
+   wire n_14035;
+   wire n_14036;
+   wire n_14037;
+   wire n_14038;
+   wire n_14039;
+   wire n_14040;
+   wire n_14041;
+   wire n_14042;
+   wire n_14043;
+   wire n_14044;
+   wire n_14045;
+   wire n_14046;
+   wire n_14047;
+   wire n_14048;
+   wire n_14049;
+   wire n_14050;
+   wire n_14051;
+   wire n_14052;
+   wire n_14053;
+   wire n_14054;
+   wire n_14055;
+   wire n_14056;
+   wire n_14057;
+   wire n_14058;
+   wire n_14059;
+   wire n_14060;
+   wire n_14061;
+   wire n_14062;
+   wire n_14063;
+   wire n_14064;
+   wire n_14065;
+   wire n_14066;
+   wire n_14067;
+   wire n_14068;
+   wire n_14069;
+   wire n_14070;
+   wire n_14071;
+   wire n_14072;
+   wire n_14073;
+   wire n_14074;
+   wire n_14075;
+   wire n_14076;
+   wire n_14077;
+   wire n_14078;
+   wire n_14079;
+   wire n_14080;
+   wire n_14081;
+   wire n_14082;
+   wire n_14083;
+   wire n_14084;
+   wire n_14085;
+   wire n_14086;
+   wire n_14087;
+   wire n_14088;
+   wire n_14089;
+   wire n_14090;
+   wire n_14091;
+   wire n_14092;
+   wire n_14093;
+   wire n_14094;
+   wire n_14095;
+   wire n_14096;
+   wire n_14097;
+   wire n_14098;
+   wire n_14099;
+   wire n_14100;
+   wire n_14101;
+   wire n_14102;
+   wire n_14103;
+   wire n_14104;
+   wire n_14105;
+   wire n_14106;
+   wire n_14107;
+   wire n_14108;
+   wire n_14109;
+   wire n_14110;
+   wire n_14111;
+   wire n_14112;
+   wire n_14113;
+   wire n_14114;
+   wire n_14115;
+   wire n_14116;
+   wire n_14117;
+   wire n_14118;
+   wire n_14119;
+   wire n_14120;
+   wire n_14121;
+   wire n_14122;
+   wire n_14123;
+   wire n_14124;
+   wire n_14125;
+   wire n_14126;
+   wire n_14127;
+   wire n_14128;
+   wire n_14129;
+   wire n_14130;
+   wire n_14131;
+   wire n_14132;
+   wire n_14133;
+   wire n_14134;
+   wire n_14135;
+   wire n_14136;
+   wire n_14137;
+   wire n_14138;
+   wire n_14139;
+   wire n_14140;
+   wire n_14141;
+   wire n_14142;
+   wire n_14143;
+   wire n_14144;
+   wire n_14145;
+   wire n_14146;
+   wire n_14147;
+   wire n_14148;
+   wire n_14149;
+   wire n_14150;
+   wire n_14151;
+   wire n_14152;
+   wire n_14153;
+   wire n_14154;
+   wire n_14155;
+   wire n_14156;
+   wire n_14157;
+   wire n_14158;
+   wire n_14159;
+   wire n_14160;
+   wire n_14161;
+   wire n_14162;
+   wire n_14163;
+   wire n_14164;
+   wire n_14165;
+   wire n_14166;
+   wire n_14167;
+   wire n_14168;
+   wire n_14169;
+   wire n_14170;
+   wire n_14171;
+   wire n_14172;
+   wire n_14173;
+   wire n_14174;
+   wire n_14175;
+   wire n_14176;
+   wire n_14177;
+   wire n_14178;
+   wire n_14179;
+   wire n_14180;
+   wire n_14181;
+   wire n_14182;
+   wire n_14183;
+   wire n_14184;
+   wire n_14185;
+   wire n_14186;
+   wire n_14187;
+   wire n_14188;
+   wire n_14189;
+   wire n_14190;
+   wire n_14191;
+   wire n_14192;
+   wire n_14193;
+   wire n_14194;
+   wire n_14195;
+   wire n_14196;
+   wire n_14197;
+   wire n_14198;
+   wire n_14199;
+   wire n_14200;
+   wire n_14201;
+   wire n_14202;
+   wire n_14203;
+   wire n_14204;
+   wire n_14205;
+   wire n_14206;
+   wire n_14207;
+   wire n_14208;
+   wire n_14209;
+   wire n_14210;
+   wire n_14211;
+   wire n_14212;
+   wire n_14213;
+   wire n_14214;
+   wire n_14215;
+   wire n_14216;
+   wire n_14217;
+   wire n_14218;
+   wire n_14219;
+   wire n_14220;
+   wire n_14221;
+   wire n_14222;
+   wire n_14223;
+   wire n_14224;
+   wire n_14225;
+   wire n_14226;
+   wire n_14227;
+   wire n_14228;
+   wire n_14229;
+   wire n_14230;
+   wire n_14231;
+   wire n_14232;
+   wire n_14233;
+   wire n_14234;
+   wire n_14235;
+   wire n_14236;
+   wire n_14237;
+   wire n_14238;
+   wire n_14239;
+   wire n_14240;
+   wire n_14241;
+   wire n_14242;
+   wire n_14243;
+   wire n_14244;
+   wire n_14245;
+   wire n_14246;
+   wire n_14247;
+   wire n_14248;
+   wire n_14249;
+   wire n_14250;
+   wire n_14251;
+   wire n_14252;
+   wire n_14253;
+   wire n_14254;
+   wire n_14255;
+   wire n_14256;
+   wire n_14257;
+   wire n_14258;
+   wire n_14259;
+   wire n_14260;
+   wire n_14261;
+   wire n_14262;
+   wire n_14263;
+   wire n_14264;
+   wire n_14265;
+   wire n_14266;
+   wire n_14267;
+   wire n_14268;
+   wire n_14269;
+   wire n_14270;
+   wire n_14271;
+   wire n_14272;
+   wire n_14273;
+   wire n_14274;
+   wire n_14275;
+   wire n_14276;
+   wire n_14277;
+   wire n_14278;
+   wire n_14279;
+   wire n_14280;
+   wire n_14281;
+   wire n_14282;
+   wire n_14283;
+   wire n_14284;
+   wire n_14285;
+   wire n_14286;
+   wire n_14287;
+   wire n_14288;
+   wire n_14289;
+   wire n_14290;
+   wire n_14291;
+   wire n_14292;
+   wire n_14293;
+   wire n_14294;
+   wire n_14295;
+   wire n_14296;
+   wire n_14297;
+   wire n_14298;
+   wire n_14299;
+   wire n_14300;
+   wire n_14301;
+   wire n_14302;
+   wire n_14303;
+   wire n_14304;
+   wire n_14305;
+   wire n_14306;
+   wire n_14307;
+   wire n_14308;
+   wire n_14309;
+   wire n_14310;
+   wire n_14311;
+   wire n_14312;
+   wire n_14313;
+   wire n_14314;
+   wire n_14315;
+   wire n_14316;
+   wire n_14317;
+   wire n_14318;
+   wire n_14319;
+   wire n_14320;
+   wire n_14321;
+   wire n_14322;
+   wire n_14323;
+   wire n_14324;
+   wire n_14325;
+   wire n_14326;
+   wire n_14327;
+   wire n_14328;
+   wire n_14329;
+   wire n_14330;
+   wire n_14331;
+   wire n_14332;
+   wire n_14333;
+   wire n_14334;
+   wire n_14335;
+   wire n_14336;
+   wire n_14337;
+   wire n_14338;
+   wire n_14339;
+   wire n_14340;
+   wire n_14341;
+   wire n_14342;
+   wire n_14343;
+   wire n_14344;
+   wire n_14345;
+   wire n_14346;
+   wire n_14347;
+   wire n_14348;
+   wire n_14349;
+   wire n_14350;
+   wire n_14351;
+   wire n_14352;
+   wire n_14353;
+   wire n_14354;
+   wire n_14355;
+   wire n_14356;
+   wire n_14357;
+   wire n_14358;
+   wire n_14359;
+   wire n_14360;
+   wire n_14361;
+   wire n_14362;
+   wire n_14363;
+   wire n_14364;
+   wire n_14365;
+   wire n_14366;
+   wire n_14367;
+   wire n_14368;
+   wire n_14369;
+   wire n_14370;
+   wire n_14371;
+   wire n_14372;
+   wire n_14373;
+   wire n_14374;
+   wire n_14375;
+   wire n_14376;
+   wire n_14377;
+   wire n_14378;
+   wire n_14379;
+   wire n_14380;
+   wire n_14381;
+   wire n_14382;
+   wire n_14383;
+   wire n_14384;
+   wire n_14385;
+   wire n_14386;
+   wire n_14387;
+   wire n_14388;
+   wire n_14389;
+   wire n_14390;
+   wire n_14391;
+   wire n_14392;
+   wire n_14393;
+   wire n_14394;
+   wire n_14395;
+   wire n_14396;
+   wire n_14397;
+   wire n_14398;
+   wire n_14399;
+   wire n_14400;
+   wire n_14401;
+   wire n_14402;
+   wire n_14403;
+   wire n_14404;
+   wire n_14405;
+   wire n_14406;
+   wire n_14407;
+   wire n_14408;
+   wire n_14409;
+   wire n_14410;
+   wire n_14411;
+   wire n_14412;
+   wire n_14413;
+   wire n_14414;
+   wire n_14415;
+   wire n_14416;
+   wire n_14417;
+   wire n_14418;
+   wire n_14419;
+   wire n_14420;
+   wire n_14421;
+   wire n_14422;
+   wire n_14423;
+   wire n_14424;
+   wire n_14425;
+   wire n_14426;
+   wire n_14427;
+   wire n_14428;
+   wire n_14429;
+   wire n_14430;
+   wire n_14431;
+   wire n_14432;
+   wire n_14433;
+   wire n_14434;
+   wire n_14435;
+   wire n_14436;
+   wire n_14437;
+   wire n_14438;
+   wire n_14439;
+   wire n_14440;
+   wire n_14441;
+   wire n_14442;
+   wire n_14443;
+   wire n_14444;
+   wire n_14445;
+   wire n_14446;
+   wire n_14447;
+   wire n_14448;
+   wire n_14449;
+   wire n_14450;
+   wire n_14451;
+   wire n_14452;
+   wire n_14453;
+   wire n_14454;
+   wire n_14455;
+   wire n_14456;
+   wire n_14457;
+   wire n_14458;
+   wire n_14459;
+   wire n_14460;
+   wire n_14461;
+   wire n_14462;
+   wire n_14463;
+   wire n_14464;
+   wire n_14465;
+   wire n_14466;
+   wire n_14467;
+   wire n_14468;
+   wire n_14469;
+   wire n_14470;
+   wire n_14471;
+   wire n_14472;
+   wire n_14473;
+   wire n_14474;
+   wire n_14475;
+   wire n_14476;
+   wire n_14477;
+   wire n_14478;
+   wire n_14479;
+   wire n_14480;
+   wire n_14481;
+   wire n_14482;
+   wire n_14483;
+   wire n_14484;
+   wire n_14485;
+   wire n_14486;
+   wire n_14487;
+   wire n_14488;
+   wire n_14489;
+   wire n_14490;
+   wire n_14491;
+   wire n_14492;
+   wire n_14493;
+   wire n_14494;
+   wire n_14495;
+   wire n_14496;
+   wire n_14497;
+   wire n_14498;
+   wire n_14499;
+   wire n_14500;
+   wire n_14501;
+   wire n_14502;
+   wire n_14503;
+   wire n_14504;
+   wire n_14505;
+   wire n_14506;
+   wire n_14507;
+   wire n_14508;
+   wire n_14509;
+   wire n_14510;
+   wire n_14511;
+   wire n_14512;
+   wire n_14513;
+   wire n_14514;
+   wire n_14515;
+   wire n_14516;
+   wire n_14517;
+   wire n_14518;
+   wire n_14519;
+   wire n_14520;
+   wire n_14521;
+   wire n_14522;
+   wire n_14523;
+   wire n_14524;
+   wire n_14525;
+   wire n_14526;
+   wire n_14527;
+   wire n_14528;
+   wire n_14529;
+   wire n_14530;
+   wire n_14531;
+   wire n_14532;
+   wire n_14533;
+   wire n_14534;
+   wire n_14535;
+   wire n_14536;
+   wire n_14537;
+   wire n_14538;
+   wire n_14539;
+   wire n_14540;
+   wire n_14541;
+   wire n_14542;
+   wire n_14543;
+   wire n_14544;
+   wire n_14545;
+   wire n_14546;
+   wire n_14547;
+   wire n_14548;
+   wire n_14549;
+   wire n_14550;
+   wire n_14551;
+   wire n_14552;
+   wire n_14553;
+   wire n_14554;
+   wire n_14555;
+   wire n_14556;
+   wire n_14557;
+   wire n_14558;
+   wire n_14559;
+   wire n_14560;
+   wire n_14561;
+   wire n_14562;
+   wire n_14563;
+   wire n_14564;
+   wire n_14565;
+   wire n_14566;
+   wire n_14567;
+   wire n_14568;
+   wire n_14569;
+   wire n_14570;
+   wire n_14571;
+   wire n_14572;
+   wire n_14573;
+   wire n_14574;
+   wire n_14575;
+   wire n_14576;
+   wire n_14577;
+   wire n_14578;
+   wire n_14579;
+   wire n_14580;
+   wire n_14581;
+   wire n_14582;
+   wire n_14583;
+   wire n_14584;
+   wire n_14585;
+   wire n_14586;
+   wire n_14587;
+   wire n_14588;
+   wire n_14589;
+   wire n_14590;
+   wire n_14591;
+   wire n_14592;
+   wire n_14593;
+   wire n_14594;
+   wire n_14595;
+   wire n_14596;
+   wire n_14597;
+   wire n_14598;
+   wire n_14599;
+   wire n_14600;
+   wire n_14601;
+   wire n_14602;
+   wire n_14603;
+   wire n_14604;
+   wire n_14605;
+   wire n_14606;
+   wire n_14607;
+   wire n_14608;
+   wire n_14609;
+   wire n_14610;
+   wire n_14611;
+   wire n_14612;
+   wire n_14613;
+   wire n_14614;
+   wire n_14615;
+   wire n_14616;
+   wire n_14617;
+   wire n_14618;
+   wire n_14619;
+   wire n_14620;
+   wire n_14621;
+   wire n_14622;
+   wire n_14623;
+   wire n_14624;
+   wire n_14625;
+   wire n_14626;
+   wire n_14627;
+   wire n_14628;
+   wire n_14629;
+   wire n_14630;
+   wire n_14631;
+   wire n_14632;
+   wire n_14633;
+   wire n_14634;
+   wire n_14635;
+   wire n_14636;
+   wire n_14637;
+   wire n_14638;
+   wire n_14639;
+   wire n_14640;
+   wire n_14641;
+   wire n_14642;
+   wire n_14643;
+   wire n_14644;
+   wire n_14645;
+   wire n_14646;
+   wire n_14647;
+   wire n_14648;
+   wire n_14649;
+   wire n_14650;
+   wire n_14651;
+   wire n_14652;
+   wire n_14653;
+   wire n_14654;
+   wire n_14655;
+   wire n_14656;
+   wire n_14657;
+   wire n_14658;
+   wire n_14659;
+   wire n_14660;
+   wire n_14661;
+   wire n_14662;
+   wire n_14663;
+   wire n_14664;
+   wire n_14665;
+   wire n_14666;
+   wire n_14667;
+   wire n_14668;
+   wire n_14669;
+   wire n_14670;
+   wire n_14671;
+   wire n_14672;
+   wire n_14673;
+   wire n_14674;
+   wire n_14675;
+   wire n_14676;
+   wire n_14677;
+   wire n_14678;
+   wire n_14679;
+   wire n_14680;
+   wire n_14681;
+   wire n_14682;
+   wire n_14683;
+   wire n_14684;
+   wire n_14685;
+   wire n_14686;
+   wire n_14687;
+   wire n_14688;
+   wire n_14689;
+   wire n_14690;
+   wire n_14691;
+   wire n_14692;
+   wire n_14693;
+   wire n_14694;
+   wire n_14695;
+   wire n_14696;
+   wire n_14697;
+   wire n_14698;
+   wire n_14699;
+   wire n_14700;
+   wire n_14701;
+   wire n_14702;
+   wire n_14703;
+   wire n_14704;
+   wire n_14705;
+   wire n_14706;
+   wire n_14707;
+   wire n_14708;
+   wire n_14709;
+   wire n_14710;
+   wire n_14711;
+   wire n_14712;
+   wire n_14713;
+   wire n_14714;
+   wire n_14715;
+   wire n_14716;
+   wire n_14717;
+   wire n_14718;
+   wire n_14719;
+   wire n_14720;
+   wire n_14721;
+   wire n_14722;
+   wire n_14723;
+   wire n_14724;
+   wire n_14725;
+   wire n_14726;
+   wire n_14727;
+   wire n_14728;
+   wire n_14729;
+   wire n_14730;
+   wire n_14731;
+   wire n_14732;
+   wire n_14733;
+   wire n_14734;
+   wire n_14735;
+   wire n_14736;
+   wire n_14737;
+   wire n_14738;
+   wire n_14739;
+   wire n_14740;
+   wire n_14741;
+   wire n_14742;
+   wire n_14743;
+   wire n_14744;
+   wire n_14745;
+   wire n_14746;
+   wire n_14747;
+   wire n_14748;
+   wire n_14749;
+   wire n_14750;
+   wire n_14751;
+   wire n_14752;
+   wire n_14753;
+   wire n_14754;
+   wire n_14755;
+   wire n_14756;
+   wire n_14757;
+   wire n_14758;
+   wire n_14759;
+   wire n_14760;
+   wire n_14761;
+   wire n_14762;
+   wire n_14763;
+   wire n_14764;
+   wire n_14765;
+   wire n_14766;
+   wire n_14767;
+   wire n_14768;
+   wire n_14769;
+   wire n_14770;
+   wire n_14771;
+   wire n_14772;
+   wire n_14773;
+   wire n_14774;
+   wire n_14775;
+   wire n_14776;
+   wire n_14777;
+   wire n_14778;
+   wire n_14779;
+   wire n_14780;
+   wire n_14781;
+   wire n_14782;
+   wire n_14783;
+   wire n_14784;
+   wire n_14785;
+   wire n_14786;
+   wire n_14787;
+   wire n_14788;
+   wire n_14789;
+   wire n_14790;
+   wire n_14791;
+   wire n_14792;
+   wire n_14793;
+   wire n_14794;
+   wire n_14795;
+   wire n_14796;
+   wire n_14797;
+   wire n_14798;
+   wire n_14799;
+   wire n_14800;
+   wire n_14801;
+   wire n_14802;
+   wire n_14803;
+   wire n_14804;
+   wire n_14805;
+   wire n_14806;
+   wire n_14807;
+   wire n_14808;
+   wire n_14809;
+   wire n_14810;
+   wire n_14811;
+   wire n_14812;
+   wire n_14813;
+   wire n_14814;
+   wire n_14815;
+   wire n_14816;
+   wire n_14817;
+   wire n_14818;
+   wire n_14819;
+   wire n_14820;
+   wire n_14821;
+   wire n_14822;
+   wire n_14823;
+   wire n_14824;
+   wire n_14825;
+   wire n_14826;
+   wire n_14827;
+   wire n_14828;
+   wire n_14829;
+   wire n_14830;
+   wire n_14831;
+   wire n_14832;
+   wire n_14833;
+   wire n_14834;
+   wire n_14835;
+   wire n_14836;
+   wire n_14837;
+   wire n_14838;
+   wire n_14839;
+   wire n_14840;
+   wire n_14841;
+   wire n_14842;
+   wire n_14843;
+   wire n_14844;
+   wire n_14845;
+   wire n_14846;
+   wire n_14847;
+   wire n_14848;
+   wire n_14849;
+   wire n_14850;
+   wire n_14851;
+   wire n_14852;
+   wire n_14853;
+   wire n_14854;
+   wire n_14855;
+   wire n_14856;
+   wire n_14857;
+   wire n_14858;
+   wire n_14859;
+   wire n_14860;
+   wire n_14861;
+   wire n_14862;
+   wire n_14863;
+   wire n_14864;
+   wire n_14865;
+   wire n_14866;
+   wire n_14867;
+   wire n_14868;
+   wire n_14869;
+   wire n_14870;
+   wire n_14871;
+   wire n_14872;
+   wire n_14873;
+   wire n_14874;
+   wire n_14875;
+   wire n_14876;
+   wire n_14877;
+   wire n_14878;
+   wire n_14879;
+   wire n_14880;
+   wire n_14881;
+   wire n_14882;
+   wire n_14883;
+   wire n_14884;
+   wire n_14885;
+   wire n_14886;
+   wire n_14887;
+   wire n_14888;
+   wire n_14889;
+   wire n_14890;
+   wire n_14891;
+   wire n_14892;
+   wire n_14893;
+   wire n_14894;
+   wire n_14895;
+   wire n_14896;
+   wire n_14897;
+   wire n_14898;
+   wire n_14899;
+   wire n_14900;
+   wire n_14901;
+   wire n_14902;
+   wire n_14903;
+   wire n_14904;
+   wire n_14905;
+   wire n_14906;
+   wire n_14907;
+   wire n_14908;
+   wire n_14909;
+   wire n_14910;
+   wire n_14911;
+   wire n_14912;
+   wire n_14913;
+   wire n_14914;
+   wire n_14915;
+   wire n_14916;
+   wire n_14917;
+   wire n_14918;
+   wire n_14919;
+   wire n_14920;
+   wire n_14921;
+   wire n_14922;
+   wire n_14923;
+   wire n_14924;
+   wire n_14925;
+   wire n_14926;
+   wire n_14927;
+   wire n_14928;
+   wire n_14929;
+   wire n_14930;
+   wire n_14931;
+   wire n_14932;
+   wire n_14933;
+   wire n_14934;
+   wire n_14935;
+   wire n_14936;
+   wire n_14937;
+   wire n_14938;
+   wire n_14939;
+   wire n_14940;
+   wire n_14941;
+   wire n_14942;
+   wire n_14943;
+   wire n_14944;
+   wire n_14945;
+   wire n_14946;
+   wire n_14947;
+   wire n_14948;
+   wire n_14949;
+   wire n_14950;
+   wire n_14951;
+   wire n_14952;
+   wire n_14953;
+   wire n_14954;
+   wire n_14955;
+   wire n_14956;
+   wire n_14957;
+   wire n_14958;
+   wire n_14959;
+   wire n_14960;
+   wire n_14961;
+   wire n_14962;
+   wire n_14963;
+   wire n_14964;
+   wire n_14965;
+   wire n_14966;
+   wire n_14967;
+   wire n_14968;
+   wire n_14969;
+   wire n_14970;
+   wire n_14971;
+   wire n_14972;
+   wire n_14973;
+   wire n_14974;
+   wire n_14975;
+   wire n_14976;
+   wire n_14977;
+   wire n_14978;
+   wire n_14979;
+   wire n_14980;
+   wire n_14981;
+   wire n_14982;
+   wire n_14983;
+   wire n_14984;
+   wire n_14985;
+   wire n_14986;
+   wire n_14987;
+   wire n_14988;
+   wire n_14989;
+   wire n_14990;
+   wire n_14991;
+   wire n_14992;
+   wire n_14993;
+   wire n_14994;
+   wire n_14995;
+   wire n_14996;
+   wire n_14997;
+   wire n_14998;
+   wire n_14999;
+   wire n_15000;
+   wire n_15001;
+   wire n_15002;
+   wire n_15003;
+   wire n_15004;
+   wire n_15005;
+   wire n_15006;
+   wire n_15007;
+   wire n_15008;
+   wire n_15009;
+   wire n_15010;
+   wire n_15011;
+   wire n_15012;
+   wire n_15013;
+   wire n_15014;
+   wire n_15015;
+   wire n_15016;
+   wire n_15017;
+   wire n_15018;
+   wire n_15019;
+   wire n_15020;
+   wire n_15021;
+   wire n_15022;
+   wire n_15023;
+   wire n_15024;
+   wire n_15025;
+   wire n_15026;
+   wire n_15027;
+   wire n_15028;
+   wire n_15029;
+   wire n_15030;
+   wire n_15031;
+   wire n_15032;
+   wire n_15033;
+   wire n_15034;
+   wire n_15035;
+   wire n_15036;
+   wire n_15037;
+   wire n_15038;
+   wire n_15039;
+   wire n_15040;
+   wire n_15041;
+   wire n_15042;
+   wire n_15043;
+   wire n_15044;
+   wire n_15045;
+   wire n_15046;
+   wire n_15047;
+   wire n_15048;
+   wire n_15049;
+   wire n_15050;
+   wire n_15051;
+   wire n_15052;
+   wire n_15053;
+   wire n_15054;
+   wire n_15055;
+   wire n_15056;
+   wire n_15057;
+   wire n_15058;
+   wire n_15059;
+   wire n_15060;
+   wire n_15061;
+   wire n_15062;
+   wire n_15063;
+   wire n_15064;
+   wire n_15065;
+   wire n_15066;
+   wire n_15067;
+   wire n_15068;
+   wire n_15069;
+   wire n_15070;
+   wire n_15071;
+   wire n_15072;
+   wire n_15073;
+   wire n_15074;
+   wire n_15075;
+   wire n_15076;
+   wire n_15077;
+   wire n_15078;
+   wire n_15079;
+   wire n_15080;
+   wire n_15081;
+   wire n_15082;
+   wire n_15083;
+   wire n_15084;
+   wire n_15085;
+   wire n_15086;
+   wire n_15087;
+   wire n_15088;
+   wire n_15089;
+   wire n_15090;
+   wire n_15091;
+   wire n_15092;
+   wire n_15093;
+   wire n_15094;
+   wire n_15095;
+   wire n_15096;
+   wire n_15097;
+   wire n_15098;
+   wire n_15099;
+   wire n_15100;
+   wire n_15101;
+   wire n_15102;
+   wire n_15103;
+   wire n_15104;
+   wire n_15105;
+   wire n_15106;
+   wire n_15107;
+   wire n_15108;
+   wire n_15109;
+   wire n_15110;
+   wire n_15111;
+   wire n_15112;
+   wire n_15113;
+   wire n_15114;
+   wire n_15115;
+   wire n_15116;
+   wire n_15117;
+   wire n_15118;
+   wire n_15119;
+   wire n_15120;
+   wire n_15121;
+   wire n_15122;
+   wire n_15123;
+   wire n_15124;
+   wire n_15125;
+   wire n_15126;
+   wire n_15127;
+   wire n_15128;
+   wire n_15129;
+   wire n_15130;
+   wire n_15131;
+   wire n_15132;
+   wire n_15133;
+   wire n_15134;
+   wire n_15135;
+   wire n_15136;
+   wire n_15137;
+   wire n_15138;
+   wire n_15139;
+   wire n_15140;
+   wire n_15141;
+   wire n_15142;
+   wire n_15143;
+   wire n_15144;
+   wire n_15145;
+   wire n_15146;
+   wire n_15147;
+   wire n_15148;
+   wire n_15149;
+   wire n_15150;
+   wire n_15151;
+   wire n_15152;
+   wire n_15153;
+   wire n_15154;
+   wire n_15155;
+   wire n_15156;
+   wire n_15157;
+   wire n_15158;
+   wire n_15159;
+   wire n_15160;
+   wire n_15161;
+   wire n_15162;
+   wire n_15163;
+   wire n_15164;
+   wire n_15165;
+   wire n_15166;
+   wire n_15167;
+   wire n_15168;
+   wire n_15169;
+   wire n_15170;
+   wire n_15171;
+   wire n_15172;
+   wire n_15173;
+   wire n_15174;
+   wire n_15175;
+   wire n_15176;
+   wire n_15177;
+   wire n_15178;
+   wire n_15179;
+   wire n_15180;
+   wire n_15181;
+   wire n_15182;
+   wire n_15183;
+   wire n_15184;
+   wire n_15185;
+   wire n_15186;
+   wire n_15187;
+   wire n_15188;
+   wire n_15189;
+   wire n_15190;
+   wire n_15191;
+   wire n_15192;
+   wire n_15193;
+   wire n_15194;
+   wire n_15195;
+   wire n_15196;
+   wire n_15197;
+   wire n_15198;
+   wire n_15199;
+   wire n_15200;
+   wire n_15201;
+   wire n_15202;
+   wire n_15203;
+   wire n_15204;
+   wire n_15205;
+   wire n_15206;
+   wire n_15207;
+   wire n_15208;
+   wire n_15209;
+   wire n_15210;
+   wire n_15211;
+   wire n_15212;
+   wire n_15213;
+   wire n_15214;
+   wire n_15215;
+   wire n_15216;
+   wire n_15217;
+   wire n_15218;
+   wire n_15219;
+   wire n_15220;
+   wire n_15221;
+   wire n_15222;
+   wire n_15223;
+   wire n_15224;
+   wire n_15225;
+   wire n_15226;
+   wire n_15227;
+   wire n_15228;
+   wire n_15229;
+   wire n_15230;
+   wire n_15231;
+   wire n_15232;
+   wire n_15233;
+   wire n_15234;
+   wire n_15235;
+   wire n_15236;
+   wire n_15237;
+   wire n_15238;
+   wire n_15239;
+   wire n_15240;
+   wire n_15241;
+   wire n_15242;
+   wire n_15243;
+   wire n_15244;
+   wire n_15245;
+   wire n_15246;
+   wire n_15247;
+   wire n_15248;
+   wire n_15249;
+   wire n_15250;
+   wire n_15251;
+   wire n_15252;
+   wire n_15253;
+   wire n_15254;
+   wire n_15255;
+   wire n_15256;
+   wire n_15257;
+   wire n_15258;
+   wire n_15259;
+   wire n_15260;
+   wire n_15261;
+   wire n_15262;
+   wire n_15263;
+   wire n_15264;
+   wire n_15265;
+   wire n_15266;
+   wire n_15267;
+   wire n_15268;
+   wire n_15269;
+   wire n_15270;
+   wire n_15271;
+   wire n_15272;
+   wire n_15273;
+   wire n_15274;
+   wire n_15275;
+   wire n_15276;
+   wire n_15277;
+   wire n_15278;
+   wire n_15279;
+   wire n_15280;
+   wire n_15281;
+   wire n_15282;
+   wire n_15283;
+   wire n_15284;
+   wire n_15285;
+   wire n_15286;
+   wire n_15287;
+   wire n_15288;
+   wire n_15289;
+   wire n_15290;
+   wire n_15291;
+   wire n_15292;
+   wire n_15293;
+   wire n_15294;
+   wire n_15295;
+   wire n_15296;
+   wire n_15297;
+   wire n_15298;
+   wire n_15299;
+   wire n_15300;
+   wire n_15301;
+   wire n_15302;
+   wire n_15303;
+   wire n_15304;
+   wire n_15305;
+   wire n_15306;
+   wire n_15307;
+   wire n_15308;
+   wire n_15309;
+   wire n_15310;
+   wire n_15311;
+   wire n_15312;
+   wire n_15313;
+   wire n_15314;
+   wire n_15315;
+   wire n_15316;
+   wire n_15317;
+   wire n_15318;
+   wire n_15319;
+   wire n_15320;
+   wire n_15321;
+   wire n_15322;
+   wire n_15323;
+   wire n_15324;
+   wire n_15325;
+   wire n_15326;
+   wire n_15327;
+   wire n_15328;
+   wire n_15329;
+   wire n_15330;
+   wire n_15331;
+   wire n_15332;
+   wire n_15333;
+   wire n_15334;
+   wire n_15335;
+   wire n_15336;
+   wire n_15337;
+   wire n_15338;
+   wire n_15339;
+   wire n_15340;
+   wire n_15341;
+   wire n_15342;
+   wire n_15343;
+   wire n_15344;
+   wire n_15345;
+   wire n_15346;
+   wire n_15347;
+   wire n_15348;
+   wire n_15349;
+   wire n_15350;
+   wire n_15351;
+   wire n_15352;
+   wire n_15353;
+   wire n_15354;
+   wire n_15355;
+   wire n_15356;
+   wire n_15357;
+   wire n_15358;
+   wire n_15359;
+   wire n_15360;
+   wire n_15361;
+   wire n_15362;
+   wire n_15363;
+   wire n_15364;
+   wire n_15365;
+   wire n_15366;
+   wire n_15367;
+   wire n_15368;
+   wire n_15369;
+   wire n_15370;
+   wire n_15371;
+   wire n_15372;
+   wire n_15373;
+   wire n_15374;
+   wire n_15375;
+   wire n_15376;
+   wire n_15377;
+   wire n_15378;
+   wire n_15379;
+   wire n_15380;
+   wire n_15381;
+   wire n_15382;
+   wire n_15383;
+   wire n_15384;
+   wire n_15385;
+   wire n_15386;
+   wire n_15387;
+   wire n_15388;
+   wire n_15389;
+   wire n_15390;
+   wire n_15391;
+   wire n_15392;
+   wire n_15393;
+   wire n_15394;
+   wire n_15395;
+   wire n_15396;
+   wire n_15397;
+   wire n_15398;
+   wire n_15399;
+   wire n_15400;
+   wire n_15401;
+   wire n_15402;
+   wire n_15403;
+   wire n_15404;
+   wire n_15405;
+   wire n_15406;
+   wire n_15407;
+   wire n_15408;
+   wire n_15409;
+   wire n_15410;
+   wire n_15411;
+   wire n_15412;
+   wire n_15413;
+   wire n_15414;
+   wire n_15415;
+   wire n_15416;
+   wire n_15417;
+   wire n_15418;
+   wire n_15419;
+   wire n_15420;
+   wire n_15421;
+   wire n_15422;
+   wire n_15423;
+   wire n_15424;
+   wire n_15425;
+   wire n_15426;
+   wire n_15427;
+   wire n_15428;
+   wire n_15429;
+   wire n_15430;
+   wire n_15431;
+   wire n_15432;
+   wire n_15433;
+   wire n_15434;
+   wire n_15435;
+   wire n_15436;
+   wire n_15437;
+   wire n_15438;
+   wire n_15439;
+   wire n_15440;
+   wire n_15441;
+   wire n_15442;
+   wire n_15443;
+   wire n_15444;
+   wire n_15445;
+   wire n_15446;
+   wire n_15447;
+   wire n_15448;
+   wire n_15449;
+   wire n_15450;
+   wire n_15451;
+   wire n_15452;
+   wire n_15453;
+   wire n_15454;
+   wire n_15455;
+   wire n_15456;
+   wire n_15457;
+   wire n_15458;
+   wire n_15459;
+   wire n_15460;
+   wire n_15461;
+   wire n_15462;
+   wire n_15463;
+   wire n_15464;
+   wire n_15465;
+   wire n_15466;
+   wire n_15467;
+   wire n_15468;
+   wire n_15469;
+   wire n_15470;
+   wire n_15471;
+   wire n_15472;
+   wire n_15473;
+   wire n_15474;
+   wire n_15475;
+   wire n_15476;
+   wire n_15477;
+   wire n_15478;
+   wire n_15479;
+   wire n_15480;
+   wire n_15481;
+   wire n_15482;
+   wire n_15483;
+   wire n_15484;
+   wire n_15485;
+   wire n_15486;
+   wire n_15487;
+   wire n_15488;
+   wire n_15489;
+   wire n_15490;
+   wire n_15491;
+   wire n_15492;
+   wire n_15493;
+   wire n_15494;
+   wire n_15495;
+   wire n_15496;
+   wire n_15497;
+   wire n_15498;
+   wire n_15499;
+   wire n_15500;
+   wire n_15501;
+   wire n_15502;
+   wire n_15503;
+   wire n_15504;
+   wire n_15505;
+   wire n_15506;
+   wire n_15507;
+   wire n_15508;
+   wire n_15509;
+   wire n_15510;
+   wire n_15511;
+   wire n_15512;
+   wire n_15513;
+   wire n_15514;
+   wire n_15515;
+   wire n_15516;
+   wire n_15517;
+   wire n_15518;
+   wire n_15519;
+   wire n_15520;
+   wire n_15521;
+   wire n_15522;
+   wire n_15523;
+   wire n_15524;
+   wire n_15525;
+   wire n_15526;
+   wire n_15527;
+   wire n_15528;
+   wire n_15529;
+   wire n_15530;
+   wire n_15531;
+   wire n_15532;
+   wire n_15533;
+   wire n_15534;
+   wire n_15535;
+   wire n_15536;
+   wire n_15537;
+   wire n_15538;
+   wire n_15539;
+   wire n_15540;
+   wire n_15541;
+   wire n_15542;
+   wire n_15543;
+   wire n_15544;
+   wire n_15545;
+   wire n_15546;
+   wire n_15547;
+   wire n_15548;
+   wire n_15549;
+   wire n_15550;
+   wire n_15551;
+   wire n_15552;
+   wire n_15553;
+   wire n_15554;
+   wire n_15555;
+   wire n_15556;
+   wire n_15557;
+   wire n_15558;
+   wire n_15559;
+   wire n_15560;
+   wire n_15561;
+   wire n_15562;
+   wire n_15563;
+   wire n_15564;
+   wire n_15565;
+   wire n_15566;
+   wire n_15567;
+   wire n_15568;
+   wire n_15569;
+   wire n_15570;
+   wire n_15571;
+   wire n_15572;
+   wire n_15573;
+   wire n_15574;
+   wire n_15575;
+   wire n_15576;
+   wire n_15577;
+   wire n_15578;
+   wire n_15579;
+   wire n_15580;
+   wire n_15581;
+   wire n_15582;
+   wire n_15583;
+   wire n_15584;
+   wire n_15585;
+   wire n_15586;
+   wire n_15587;
+   wire n_15588;
+   wire n_15589;
+   wire n_15590;
+   wire n_15591;
+   wire n_15592;
+   wire n_15593;
+   wire n_15594;
+   wire n_15595;
+   wire n_15596;
+   wire n_15597;
+   wire n_15598;
+   wire n_15599;
+   wire n_15600;
+   wire n_15601;
+   wire n_15602;
+   wire n_15603;
+   wire n_15604;
+   wire n_15605;
+   wire n_15606;
+   wire n_15607;
+   wire n_15608;
+   wire n_15609;
+   wire n_15610;
+   wire n_15611;
+   wire n_15612;
+   wire n_15613;
+   wire n_15614;
+   wire n_15615;
+   wire n_15616;
+   wire n_15617;
+   wire n_15618;
+   wire n_15619;
+   wire n_15620;
+   wire n_15621;
+   wire n_15622;
+   wire n_15623;
+   wire n_15624;
+   wire n_15625;
+   wire n_15626;
+   wire n_15627;
+   wire n_15628;
+   wire n_15629;
+   wire n_15630;
+   wire n_15631;
+   wire n_15632;
+   wire n_15633;
+   wire n_15634;
+   wire n_15635;
+   wire n_15636;
+   wire n_15637;
+   wire n_15638;
+   wire n_15639;
+   wire n_15640;
+   wire n_15641;
+   wire n_15642;
+   wire n_15643;
+   wire n_15644;
+   wire n_15645;
+   wire n_15646;
+   wire n_15647;
+   wire n_15648;
+   wire n_15649;
+   wire n_15650;
+   wire n_15651;
+   wire n_15652;
+   wire n_15653;
+   wire n_15654;
+   wire n_15655;
+   wire n_15656;
+   wire n_15657;
+   wire n_15658;
+   wire n_15659;
+   wire n_15660;
+   wire n_15661;
+   wire n_15662;
+   wire n_15663;
+   wire n_15664;
+   wire n_15665;
+   wire n_15666;
+   wire n_15667;
+   wire n_15668;
+   wire n_15669;
+   wire n_15670;
+   wire n_15671;
+   wire n_15672;
+   wire n_15673;
+   wire n_15674;
+   wire n_15675;
+   wire n_15676;
+   wire n_15677;
+   wire n_15678;
+   wire n_15679;
+   wire n_15680;
+   wire n_15681;
+   wire n_15682;
+   wire n_15683;
+   wire n_15684;
+   wire n_15685;
+   wire n_15686;
+   wire n_15687;
+   wire n_15688;
+   wire n_15689;
+   wire n_15690;
+   wire n_15691;
+   wire n_15692;
+   wire n_15693;
+   wire n_15694;
+   wire n_15695;
+   wire n_15696;
+   wire n_15697;
+   wire n_15698;
+   wire n_15699;
+   wire n_15700;
+   wire n_15701;
+   wire n_15702;
+   wire n_15703;
+   wire n_15704;
+   wire n_15705;
+   wire n_15706;
+   wire n_15707;
+   wire n_15708;
+   wire n_15709;
+   wire n_15710;
+   wire n_15711;
+   wire n_15712;
+   wire n_15713;
+   wire n_15714;
+   wire n_15715;
+   wire n_15716;
+   wire n_15717;
+   wire n_15718;
+   wire n_15719;
+   wire n_15720;
+   wire n_15721;
+   wire n_15722;
+   wire n_15723;
+   wire n_15724;
+   wire n_15725;
+   wire n_15726;
+   wire n_15727;
+   wire n_15728;
+   wire n_15729;
+   wire n_15730;
+   wire n_15731;
+   wire n_15732;
+   wire n_15733;
+   wire n_15734;
+   wire n_15735;
+   wire n_15736;
+   wire n_15737;
+   wire n_15738;
+   wire n_15739;
+   wire n_15740;
+   wire n_15741;
+   wire n_15742;
+   wire n_15743;
+   wire n_15744;
+   wire n_15745;
+   wire n_15746;
+   wire n_15747;
+   wire n_15748;
+   wire n_15749;
+   wire n_15750;
+   wire n_15751;
+   wire n_15752;
+   wire n_15753;
+   wire n_15754;
+   wire n_15755;
+   wire n_15756;
+   wire n_15757;
+   wire n_15758;
+   wire n_15759;
+   wire n_15760;
+   wire n_15761;
+   wire n_15762;
+   wire n_15763;
+   wire n_15764;
+   wire n_15765;
+   wire n_15766;
+   wire n_15767;
+   wire n_15768;
+   wire n_15769;
+   wire n_15770;
+   wire n_15771;
+   wire n_15772;
+   wire n_15773;
+   wire n_15774;
+   wire n_15775;
+   wire n_15776;
+   wire n_15777;
+   wire n_15778;
+   wire n_15779;
+   wire n_15780;
+   wire n_15781;
+   wire n_15782;
+   wire n_15783;
+   wire n_15784;
+   wire n_15785;
+   wire n_15786;
+   wire n_15787;
+   wire n_15788;
+   wire n_15789;
+   wire n_15790;
+   wire n_15791;
+   wire n_15792;
+   wire n_15793;
+   wire n_15794;
+   wire n_15795;
+   wire n_15796;
+   wire n_15797;
+   wire n_15798;
+   wire n_15799;
+   wire n_15800;
+   wire n_15801;
+   wire n_15802;
+   wire n_15803;
+   wire n_15804;
+   wire n_15805;
+   wire n_15806;
+   wire n_15807;
+   wire n_15808;
+   wire n_15809;
+   wire n_15810;
+   wire n_15811;
+   wire n_15812;
+   wire n_15813;
+   wire n_15814;
+   wire n_15815;
+   wire n_15816;
+   wire n_15817;
+   wire n_15818;
+   wire n_15819;
+   wire n_15820;
+   wire n_15821;
+   wire n_15822;
+   wire n_15823;
+   wire n_15824;
+   wire n_15825;
+   wire n_15826;
+   wire n_15827;
+   wire n_15828;
+   wire n_15829;
+   wire n_15830;
+   wire n_15831;
+   wire n_15832;
+   wire n_15833;
+   wire n_15834;
+   wire n_15835;
+   wire n_15836;
+   wire n_15837;
+   wire n_15838;
+   wire n_15839;
+   wire n_15840;
+   wire n_15841;
+   wire n_15842;
+   wire n_15843;
+   wire n_15844;
+   wire n_15845;
+   wire n_15846;
+   wire n_15847;
+   wire n_15848;
+   wire n_15849;
+   wire n_15850;
+   wire n_15851;
+   wire n_15852;
+   wire n_15853;
+   wire n_15854;
+   wire n_15855;
+   wire n_15856;
+   wire n_15857;
+   wire n_15858;
+   wire n_15859;
+   wire n_15860;
+   wire n_15861;
+   wire n_15862;
+   wire n_15863;
+   wire n_15864;
+   wire n_15865;
+   wire n_15866;
+   wire n_15867;
+   wire n_15868;
+   wire n_15869;
+   wire n_15870;
+   wire n_15871;
+   wire n_15872;
+   wire n_15873;
+   wire n_15874;
+   wire n_15875;
+   wire n_15876;
+   wire n_15877;
+   wire n_15878;
+   wire n_15879;
+   wire n_15880;
+   wire n_15881;
+   wire n_15882;
+   wire n_15883;
+   wire n_15884;
+   wire n_15885;
+   wire n_15886;
+   wire n_15887;
+   wire n_15888;
+   wire n_15889;
+   wire n_15890;
+   wire n_15891;
+   wire n_15892;
+   wire n_15893;
+   wire n_15894;
+   wire n_15895;
+   wire n_15896;
+   wire n_15897;
+   wire n_15898;
+   wire n_15899;
+   wire n_15900;
+   wire n_15901;
+   wire n_15902;
+   wire n_15903;
+   wire n_15904;
+   wire n_15905;
+   wire n_15906;
+   wire n_15907;
+   wire n_15908;
+   wire n_15909;
+   wire n_15910;
+   wire n_15911;
+   wire n_15912;
+   wire n_15913;
+   wire n_15914;
+   wire n_15915;
+   wire n_15916;
+   wire n_15917;
+   wire n_15918;
+   wire n_15919;
+   wire n_15920;
+   wire n_15921;
+   wire n_15922;
+   wire n_15923;
+   wire n_15924;
+   wire n_15925;
+   wire n_15926;
+   wire n_15927;
+   wire n_15928;
+   wire n_15929;
+   wire n_15930;
+   wire n_15931;
+   wire n_15932;
+   wire n_15933;
+   wire n_15934;
+   wire n_15935;
+   wire n_15936;
+   wire n_15937;
+   wire n_15938;
+   wire n_15939;
+   wire n_15940;
+   wire n_15941;
+   wire n_15942;
+   wire n_15943;
+   wire n_15944;
+   wire n_15945;
+   wire n_15946;
+   wire n_15947;
+   wire n_15948;
+   wire n_15949;
+   wire n_15950;
+   wire n_15951;
+   wire n_15952;
+   wire n_15953;
+   wire n_15954;
+   wire n_15955;
+   wire n_15956;
+   wire n_15957;
+   wire n_15958;
+   wire n_15959;
+   wire n_15960;
+   wire n_15961;
+   wire n_15962;
+   wire n_15963;
+   wire n_15964;
+   wire n_15965;
+   wire n_15966;
+   wire n_15967;
+   wire n_15968;
+   wire n_15969;
+   wire n_15970;
+   wire n_15971;
+   wire n_15972;
+   wire n_15973;
+   wire n_15974;
+   wire n_15975;
+   wire n_15976;
+   wire n_15977;
+   wire n_15978;
+   wire n_15979;
+   wire n_15980;
+   wire n_15981;
+   wire n_15982;
+   wire n_15983;
+   wire n_15984;
+   wire n_15985;
+   wire n_15986;
+   wire n_15987;
+   wire n_15988;
+   wire n_15989;
+   wire n_15990;
+   wire n_15991;
+   wire n_15992;
+   wire n_15993;
+   wire n_15994;
+   wire n_15995;
+   wire n_15996;
+   wire n_15997;
+   wire n_15998;
+   wire n_15999;
+   wire n_16000;
+   wire n_16001;
+   wire n_16002;
+   wire n_16003;
+   wire n_16004;
+   wire n_16005;
+   wire n_16006;
+   wire n_16007;
+   wire n_16008;
+   wire n_16009;
+   wire n_16010;
+   wire n_16011;
+   wire n_16012;
+   wire n_16013;
+   wire n_16014;
+   wire n_16015;
+   wire n_16016;
+   wire n_16017;
+   wire n_16018;
+   wire n_16019;
+   wire n_16020;
+   wire n_16021;
+   wire n_16022;
+   wire n_16023;
+   wire n_16024;
+   wire n_16025;
+   wire n_16026;
+   wire n_16027;
+   wire n_16028;
+   wire n_16029;
+   wire n_16030;
+   wire n_16031;
+   wire n_16032;
+   wire n_16033;
+   wire n_16034;
+   wire n_16035;
+   wire n_16036;
+   wire n_16037;
+   wire n_16038;
+   wire n_16039;
+   wire n_16040;
+   wire n_16041;
+   wire n_16042;
+   wire n_16043;
+   wire n_16044;
+   wire n_16045;
+   wire n_16046;
+   wire n_16047;
+   wire n_16048;
+   wire n_16049;
+   wire n_16050;
+   wire n_16051;
+   wire n_16052;
+   wire n_16053;
+   wire n_16054;
+   wire n_16055;
+   wire n_16056;
+   wire n_16057;
+   wire n_16058;
+   wire n_16059;
+   wire n_16060;
+   wire n_16061;
+   wire n_16062;
+   wire n_16063;
+   wire n_16064;
+   wire n_16065;
+   wire n_16066;
+   wire n_16067;
+   wire n_16068;
+   wire n_16069;
+   wire n_16070;
+   wire n_16071;
+   wire n_16072;
+   wire n_16073;
+   wire n_16074;
+   wire n_16075;
+   wire n_16076;
+   wire n_16077;
+   wire n_16078;
+   wire n_16079;
+   wire n_16080;
+   wire n_16081;
+   wire n_16082;
+   wire n_16083;
+   wire n_16084;
+   wire n_16085;
+   wire n_16086;
+   wire n_16087;
+   wire n_16088;
+   wire n_16089;
+   wire n_16090;
+   wire n_16091;
+   wire n_16092;
+   wire n_16093;
+   wire n_16094;
+   wire n_16095;
+   wire n_16096;
+   wire n_16097;
+   wire n_16098;
+   wire n_16099;
+   wire n_16100;
+   wire n_16101;
+   wire n_16102;
+   wire n_16103;
+   wire n_16104;
+   wire n_16105;
+   wire n_16106;
+   wire n_16107;
+   wire n_16108;
+   wire n_16109;
+   wire n_16110;
+   wire n_16111;
+   wire n_16112;
+   wire n_16113;
+   wire n_16114;
+   wire n_16115;
+   wire n_16116;
+   wire n_16117;
+   wire n_16118;
+   wire n_16119;
+   wire n_16120;
+   wire n_16121;
+   wire n_16122;
+   wire n_16123;
+   wire n_16124;
+   wire n_16125;
+   wire n_16126;
+   wire n_16127;
+   wire n_16128;
+   wire n_16129;
+   wire n_16130;
+   wire n_16131;
+   wire n_16132;
+   wire n_16133;
+   wire n_16134;
+   wire n_16135;
+   wire n_16136;
+   wire n_16137;
+   wire n_16138;
+   wire n_16139;
+   wire n_16140;
+   wire n_16141;
+   wire n_16142;
+   wire n_16143;
+   wire n_16144;
+   wire n_16145;
+   wire n_16146;
+   wire n_16147;
+   wire n_16148;
+   wire n_16149;
+   wire n_16150;
+   wire n_16151;
+   wire n_16152;
+   wire n_16153;
+   wire n_16154;
+   wire n_16155;
+   wire n_16156;
+   wire n_16157;
+   wire n_16158;
+   wire n_16159;
+   wire n_16160;
+   wire n_16161;
+   wire n_16162;
+   wire n_16163;
+   wire n_16164;
+   wire n_16165;
+   wire n_16166;
+   wire n_16167;
+   wire n_16168;
+   wire n_16169;
+   wire n_16170;
+   wire n_16171;
+   wire n_16172;
+   wire n_16173;
+   wire n_16174;
+   wire n_16175;
+   wire n_16176;
+   wire n_16177;
+   wire n_16178;
+   wire n_16179;
+   wire n_16180;
+   wire n_16181;
+   wire n_16182;
+   wire n_16183;
+   wire n_16184;
+   wire n_16185;
+   wire n_16186;
+   wire n_16187;
+   wire n_16188;
+   wire n_16189;
+   wire n_16190;
+   wire n_16191;
+   wire n_16192;
+   wire n_16193;
+   wire n_16194;
+   wire n_16195;
+   wire n_16196;
+   wire n_16197;
+   wire n_16198;
+   wire n_16199;
+   wire n_16200;
+   wire n_16201;
+   wire n_16202;
+   wire n_16203;
+   wire n_16204;
+   wire n_16205;
+   wire n_16206;
+   wire n_16207;
+   wire n_16208;
+   wire n_16209;
+   wire n_16210;
+   wire n_16211;
+   wire n_16212;
+   wire n_16213;
+   wire n_16214;
+   wire n_16215;
+   wire n_16216;
+   wire n_16217;
+   wire n_16218;
+   wire n_16219;
+   wire n_16220;
+   wire n_16221;
+   wire n_16222;
+   wire n_16223;
+   wire n_16224;
+   wire n_16225;
+   wire n_16226;
+   wire n_16227;
+   wire n_16228;
+   wire n_16229;
+   wire n_16230;
+   wire n_16231;
+   wire n_16232;
+   wire n_16233;
+   wire n_16234;
+   wire n_16235;
+   wire n_16236;
+   wire n_16237;
+   wire n_16238;
+   wire n_16239;
+   wire n_16240;
+   wire n_16241;
+   wire n_16242;
+   wire n_16243;
+   wire n_16244;
+   wire n_16245;
+   wire n_16246;
+   wire n_16247;
+   wire n_16248;
+   wire n_16249;
+   wire n_16250;
+   wire n_16251;
+   wire n_16252;
+   wire n_16253;
+   wire n_16254;
+   wire n_16255;
+   wire n_16256;
+   wire n_16257;
+   wire n_16258;
+   wire n_16259;
+   wire n_16260;
+   wire n_16261;
+   wire n_16262;
+   wire n_16263;
+   wire n_16264;
+   wire n_16265;
+   wire n_16266;
+   wire n_16267;
+   wire n_16268;
+   wire n_16269;
+   wire n_16270;
+   wire n_16271;
+   wire n_16272;
+   wire n_16273;
+   wire n_16274;
+   wire n_16275;
+   wire n_16276;
+   wire n_16277;
+   wire n_16278;
+   wire n_16279;
+   wire n_16280;
+   wire n_16281;
+   wire n_16282;
+   wire n_16283;
+   wire n_16284;
+   wire n_16285;
+   wire n_16286;
+   wire n_16287;
+   wire n_16288;
+   wire n_16289;
+   wire n_16290;
+   wire n_16291;
+   wire n_16292;
+   wire n_16293;
+   wire n_16294;
+   wire n_16295;
+   wire n_16296;
+   wire n_16297;
+   wire n_16298;
+   wire n_16299;
+   wire n_16300;
+   wire n_16301;
+   wire n_16302;
+   wire n_16303;
+   wire n_16304;
+   wire n_16305;
+   wire n_16306;
+   wire n_16307;
+   wire n_16308;
+   wire n_16309;
+   wire n_16310;
+   wire n_16311;
+   wire n_16312;
+   wire n_16313;
+   wire n_16314;
+   wire n_16315;
+   wire n_16316;
+   wire n_16317;
+   wire n_16318;
+   wire n_16319;
+   wire n_16320;
+   wire n_16321;
+   wire n_16322;
+   wire n_16323;
+   wire n_16324;
+   wire n_16325;
+   wire n_16326;
+   wire n_16327;
+   wire n_16328;
+   wire n_16329;
+   wire n_16330;
+   wire n_16331;
+   wire n_16332;
+   wire n_16333;
+   wire n_16334;
+   wire n_16335;
+   wire n_16336;
+   wire n_16337;
+   wire n_16338;
+   wire n_16339;
+   wire n_16340;
+   wire n_16341;
+   wire n_16342;
+   wire n_16343;
+   wire n_16344;
+   wire n_16345;
+   wire n_16346;
+   wire n_16347;
+   wire n_16348;
+   wire n_16349;
+   wire n_16350;
+   wire n_16351;
+   wire n_16352;
+   wire n_16353;
+   wire n_16354;
+   wire n_16355;
+   wire n_16356;
+   wire n_16357;
+   wire n_16358;
+   wire n_16359;
+   wire n_16360;
+   wire n_16361;
+   wire n_16362;
+   wire n_16363;
+   wire n_16364;
+   wire n_16365;
+   wire n_16366;
+   wire n_16367;
+   wire n_16368;
+   wire n_16369;
+   wire n_16370;
+   wire n_16371;
+   wire n_16372;
+   wire n_16373;
+   wire n_16374;
+   wire n_16375;
+   wire n_16376;
+   wire n_16377;
+   wire n_16378;
+   wire n_16379;
+   wire n_16380;
+   wire n_16381;
+   wire n_16382;
+   wire n_16383;
+   wire n_16384;
+   wire n_16385;
+   wire n_16386;
+   wire n_16387;
+   wire n_16388;
+   wire n_16389;
+   wire n_16390;
+   wire n_16391;
+   wire n_16392;
+   wire n_16393;
+   wire n_16394;
+   wire n_16395;
+   wire n_16396;
+   wire n_16397;
+   wire n_16398;
+   wire n_16399;
+   wire n_16400;
+   wire n_16401;
+   wire n_16402;
+   wire n_16403;
+   wire n_16404;
+   wire n_16405;
+   wire n_16406;
+   wire n_16407;
+   wire n_16408;
+   wire n_16409;
+   wire n_16410;
+   wire n_16411;
+   wire n_16412;
+   wire n_16413;
+   wire n_16414;
+   wire n_16415;
+   wire n_16416;
+   wire n_16417;
+   wire n_16418;
+   wire n_16419;
+   wire n_16420;
+   wire n_16421;
+   wire n_16422;
+   wire n_16423;
+   wire n_16424;
+   wire n_16425;
+   wire n_16426;
+   wire n_16427;
+   wire n_16428;
+   wire n_16429;
+   wire n_16430;
+   wire n_16431;
+   wire n_16432;
+   wire n_16433;
+   wire n_16434;
+   wire n_16435;
+   wire n_16436;
+   wire n_16437;
+   wire n_16438;
+   wire n_16439;
+   wire n_16440;
+   wire n_16441;
+   wire n_16442;
+   wire n_16443;
+   wire n_16444;
+   wire n_16445;
+   wire n_16446;
+   wire n_16447;
+   wire n_16448;
+   wire n_16449;
+   wire n_16450;
+   wire n_16451;
+   wire n_16452;
+   wire n_16453;
+   wire n_16454;
+   wire n_16455;
+   wire n_16456;
+   wire n_16457;
+   wire n_16458;
+   wire n_16459;
+   wire n_16460;
+   wire n_16461;
+   wire n_16462;
+   wire n_16463;
+   wire n_16464;
+   wire n_16465;
+   wire n_16466;
+   wire n_16467;
+   wire n_16468;
+   wire n_16469;
+   wire n_16470;
+   wire n_16471;
+   wire n_16472;
+   wire n_16473;
+   wire n_16474;
+   wire n_16475;
+   wire n_16476;
+   wire n_16477;
+   wire n_16478;
+   wire n_16479;
+   wire n_16480;
+   wire n_16481;
+   wire n_16482;
+   wire n_16483;
+   wire n_16484;
+   wire n_16485;
+   wire n_16486;
+   wire n_16487;
+   wire n_16488;
+   wire n_16489;
+   wire n_16490;
+   wire n_16491;
+   wire n_16492;
+   wire n_16493;
+   wire n_16494;
+   wire n_16495;
+   wire n_16496;
+   wire n_16497;
+   wire n_16498;
+   wire n_16499;
+   wire n_16500;
+   wire n_16501;
+   wire n_16502;
+   wire n_16503;
+   wire n_16504;
+   wire n_16505;
+   wire n_16506;
+   wire n_16507;
+   wire n_16508;
+   wire n_16509;
+   wire n_16510;
+   wire n_16511;
+   wire n_16512;
+   wire n_16513;
+   wire n_16514;
+   wire n_16515;
+   wire n_16516;
+   wire n_16517;
+   wire n_16518;
+   wire n_16519;
+   wire n_16520;
+   wire n_16521;
+   wire n_16522;
+   wire n_16523;
+   wire n_16524;
+   wire n_16525;
+   wire n_16526;
+   wire n_16527;
+   wire n_16528;
+   wire n_16529;
+   wire n_16530;
+   wire n_16531;
+   wire n_16532;
+   wire n_16533;
+   wire n_16534;
+   wire n_16535;
+   wire n_16536;
+   wire n_16537;
+   wire n_16538;
+   wire n_16539;
+   wire n_16540;
+   wire n_16541;
+   wire n_16542;
+   wire n_16543;
+   wire n_16544;
+   wire n_16545;
+   wire n_16546;
+   wire n_16547;
+   wire n_16548;
+   wire n_16549;
+   wire n_16550;
+   wire n_16551;
+   wire n_16552;
+   wire n_16553;
+   wire n_16554;
+   wire n_16555;
+   wire n_16556;
+   wire n_16557;
+   wire n_16558;
+   wire n_16559;
+   wire n_16560;
+   wire n_16561;
+   wire n_16562;
+   wire n_16563;
+   wire n_16564;
+   wire n_16565;
+   wire n_16566;
+   wire n_16567;
+   wire n_16568;
+   wire n_16569;
+   wire n_16570;
+   wire n_16571;
+   wire n_16572;
+   wire n_16573;
+   wire n_16574;
+   wire n_16575;
+   wire n_16576;
+   wire n_16577;
+   wire n_16578;
+   wire n_16579;
+   wire n_16580;
+   wire n_16581;
+   wire n_16582;
+   wire n_16583;
+   wire n_16584;
+   wire n_16585;
+   wire n_16586;
+   wire n_16587;
+   wire n_16588;
+   wire n_16589;
+   wire n_16590;
+   wire n_16591;
+   wire n_16592;
+   wire n_16593;
+   wire n_16594;
+   wire n_16595;
+   wire n_16596;
+   wire n_16597;
+   wire n_16598;
+   wire n_16599;
+   wire n_16600;
+   wire n_16601;
+   wire n_16602;
+   wire n_16603;
+   wire n_16604;
+   wire n_16605;
+   wire n_16606;
+   wire n_16607;
+   wire n_16608;
+   wire n_16609;
+   wire n_16610;
+   wire n_16611;
+   wire n_16612;
+   wire n_16613;
+   wire n_16614;
+   wire n_16615;
+   wire n_16616;
+   wire n_16617;
+   wire n_16618;
+   wire n_16619;
+   wire n_16620;
+   wire n_16621;
+   wire n_16622;
+   wire n_16623;
+   wire n_16624;
+   wire n_16625;
+   wire n_16626;
+   wire n_16627;
+   wire n_16628;
+   wire n_16629;
+   wire n_16630;
+   wire n_16631;
+   wire n_16632;
+   wire n_16633;
+   wire n_16634;
+   wire n_16635;
+   wire n_16636;
+   wire n_16637;
+   wire n_16638;
+   wire n_16639;
+   wire n_16640;
+   wire n_16641;
+   wire n_16642;
+   wire n_16643;
+   wire n_16644;
+   wire n_16645;
+   wire n_16646;
+   wire n_16647;
+   wire n_16648;
+   wire n_16649;
+   wire n_16650;
+   wire n_16651;
+   wire n_16652;
+   wire n_16653;
+   wire n_16654;
+   wire n_16655;
+   wire n_16656;
+   wire n_16657;
+   wire n_16658;
+   wire n_16659;
+   wire n_16660;
+   wire n_16661;
+   wire n_16662;
+   wire n_16663;
+   wire n_16664;
+   wire n_16665;
+   wire n_16666;
+   wire n_16667;
+   wire n_16668;
+   wire n_16669;
+   wire n_16670;
+   wire n_16671;
+   wire n_16672;
+   wire n_16673;
+   wire n_16674;
+   wire n_16675;
+   wire n_16676;
+   wire n_16677;
+   wire n_16678;
+   wire n_16679;
+   wire n_16680;
+   wire n_16681;
+   wire n_16682;
+   wire n_16683;
+   wire n_16684;
+   wire n_16685;
+   wire n_16686;
+   wire n_16687;
+   wire n_16688;
+   wire n_16689;
+   wire n_16690;
+   wire n_16691;
+   wire n_16692;
+   wire n_16693;
+   wire n_16694;
+   wire n_16695;
+   wire n_16696;
+   wire n_16697;
+   wire n_16698;
+   wire n_16699;
+   wire n_16700;
+   wire n_16701;
+   wire n_16702;
+   wire n_16703;
+   wire n_16704;
+   wire n_16705;
+   wire n_16706;
+   wire n_16707;
+   wire n_16708;
+   wire n_16709;
+   wire n_16710;
+   wire n_16711;
+   wire n_16712;
+   wire n_16713;
+   wire n_16714;
+   wire n_16715;
+   wire n_16716;
+   wire n_16717;
+   wire n_16718;
+   wire n_16719;
+   wire n_16720;
+   wire n_16721;
+   wire n_16722;
+   wire n_16723;
+   wire n_16724;
+   wire n_16725;
+   wire n_16726;
+   wire n_16727;
+   wire n_16728;
+   wire n_16729;
+   wire n_16730;
+   wire n_16731;
+   wire n_16732;
+   wire n_16733;
+   wire n_16734;
+   wire n_16735;
+   wire n_16736;
+   wire n_16737;
+   wire n_16738;
+   wire n_16739;
+   wire n_16740;
+   wire n_16741;
+   wire n_16742;
+   wire n_16743;
+   wire n_16744;
+   wire n_16745;
+   wire n_16746;
+   wire n_16747;
+   wire n_16748;
+   wire n_16749;
+   wire n_16750;
+   wire n_16751;
+   wire n_16752;
+   wire n_16753;
+   wire n_16754;
+   wire n_16755;
+   wire n_16756;
+   wire n_16757;
+   wire n_16758;
+   wire n_16759;
+   wire n_16760;
+   wire n_16761;
+   wire n_16762;
+   wire n_16763;
+   wire n_16764;
+   wire n_16765;
+   wire n_16766;
+   wire n_16767;
+   wire n_16768;
+   wire n_16769;
+   wire n_16770;
+   wire n_16771;
+   wire n_16772;
+   wire n_16773;
+   wire n_16774;
+   wire n_16775;
+   wire n_16776;
+   wire n_16777;
+   wire n_16778;
+   wire n_16779;
+   wire n_16780;
+   wire n_16781;
+   wire n_16782;
+   wire n_16783;
+   wire n_16784;
+   wire n_16785;
+   wire n_16786;
+   wire n_16787;
+   wire n_16788;
+   wire n_16789;
+   wire n_16790;
+   wire n_16791;
+   wire n_16792;
+   wire n_16793;
+   wire n_16794;
+   wire n_16795;
+   wire n_16796;
+   wire n_16797;
+   wire n_16798;
+   wire n_16799;
+   wire n_16800;
+   wire n_16801;
+   wire n_16802;
+   wire n_16803;
+   wire n_16804;
+   wire n_16805;
+   wire n_16806;
+   wire n_16807;
+   wire n_16808;
+   wire n_16809;
+   wire n_16810;
+   wire n_16811;
+   wire n_16812;
+   wire n_16813;
+   wire n_16814;
+   wire n_16815;
+   wire n_16816;
+   wire n_16817;
+   wire n_16818;
+   wire n_16819;
+   wire n_16820;
+   wire n_16821;
+   wire n_16822;
+   wire n_16823;
+   wire n_16824;
+   wire n_16825;
+   wire n_16826;
+   wire n_16827;
+   wire n_16828;
+   wire n_16829;
+   wire n_16830;
+   wire n_16831;
+   wire n_16832;
+   wire n_16833;
+   wire n_16834;
+   wire n_16835;
+   wire n_16836;
+   wire n_16837;
+   wire n_16838;
+   wire n_16839;
+   wire n_16840;
+   wire n_16841;
+   wire n_16842;
+   wire n_16843;
+   wire n_16844;
+   wire n_16845;
+   wire n_16846;
+   wire n_16847;
+   wire n_16848;
+   wire n_16849;
+   wire n_16850;
+   wire n_16851;
+   wire n_16852;
+   wire n_16853;
+   wire n_16854;
+   wire n_16855;
+   wire n_16856;
+   wire n_16857;
+   wire n_16858;
+   wire n_16859;
+   wire n_16860;
+   wire n_16861;
+   wire n_16862;
+   wire n_16863;
+   wire n_16864;
+   wire n_16865;
+   wire n_16866;
+   wire n_16867;
+   wire n_16868;
+   wire n_16869;
+   wire n_16870;
+   wire n_16871;
+   wire n_16872;
+   wire n_16873;
+   wire n_16874;
+   wire n_16875;
+   wire n_16876;
+   wire n_16877;
+   wire n_16878;
+   wire n_16879;
+   wire n_16880;
+   wire n_16881;
+   wire n_16882;
+   wire n_16883;
+   wire n_16884;
+   wire n_16885;
+   wire n_16886;
+   wire n_16887;
+   wire n_16888;
+   wire n_16889;
+   wire n_16890;
+   wire n_16891;
+   wire n_16892;
+   wire n_16893;
+   wire n_16894;
+   wire n_16895;
+   wire n_16896;
+   wire n_16897;
+   wire n_16898;
+   wire n_16899;
+   wire n_16900;
+   wire n_16901;
+   wire n_16902;
+   wire n_16903;
+   wire n_16904;
+   wire n_16905;
+   wire n_16906;
+   wire n_16907;
+   wire n_16908;
+   wire n_16909;
+   wire n_16910;
+   wire n_16911;
+   wire n_16912;
+   wire n_16913;
+   wire n_16914;
+   wire n_16915;
+   wire n_16916;
+   wire n_16917;
+   wire n_16918;
+   wire n_16919;
+   wire n_16920;
+   wire n_16921;
+   wire n_16922;
+   wire n_16923;
+   wire n_16924;
+   wire n_16925;
+   wire n_16926;
+   wire n_16927;
+   wire n_16928;
+   wire n_16929;
+   wire n_16930;
+   wire n_16931;
+   wire n_16932;
+   wire n_16933;
+   wire n_16934;
+   wire n_16935;
+   wire n_16936;
+   wire n_16937;
+   wire n_16938;
+   wire n_16939;
+   wire n_16940;
+   wire n_16941;
+   wire n_16942;
+   wire n_16943;
+   wire n_16944;
+   wire n_16945;
+   wire n_16946;
+   wire n_16947;
+   wire n_16948;
+   wire n_16949;
+   wire n_16950;
+   wire n_16951;
+   wire n_16952;
+   wire n_16953;
+   wire n_16954;
+   wire n_16955;
+   wire n_16956;
+   wire n_16957;
+   wire n_16958;
+   wire n_16959;
+   wire n_16960;
+   wire n_16961;
+   wire n_16962;
+   wire n_16963;
+   wire n_16964;
+   wire n_16965;
+   wire n_16966;
+   wire n_16967;
+   wire n_16968;
+   wire n_16969;
+   wire n_16970;
+   wire n_16971;
+   wire n_16972;
+   wire n_16973;
+   wire n_16974;
+   wire n_16975;
+   wire n_16976;
+   wire n_16977;
+   wire n_16978;
+   wire n_16979;
+   wire n_16980;
+   wire n_16981;
+   wire n_16982;
+   wire n_16983;
+   wire n_16984;
+   wire n_16985;
+   wire n_16986;
+   wire n_16987;
+   wire n_16988;
+   wire n_16989;
+   wire n_16990;
+   wire n_16991;
+   wire n_16992;
+   wire n_16993;
+   wire n_16994;
+   wire n_16995;
+   wire n_16996;
+   wire n_16997;
+   wire n_16998;
+   wire n_16999;
+   wire n_17000;
+   wire n_17001;
+   wire n_17002;
+   wire n_17003;
+   wire n_17004;
+   wire n_17005;
+   wire n_17006;
+   wire n_17007;
+   wire n_17008;
+   wire n_17009;
+   wire n_17010;
+   wire n_17011;
+   wire n_17012;
+   wire n_17013;
+   wire n_17014;
+   wire n_17015;
+   wire n_17016;
+   wire n_17017;
+   wire n_17018;
+   wire n_17019;
+   wire n_17020;
+   wire n_17021;
+   wire n_17022;
+   wire n_17023;
+   wire n_17024;
+   wire n_17025;
+   wire n_17026;
+   wire n_17027;
+   wire n_17028;
+   wire n_17029;
+   wire n_17030;
+   wire n_17031;
+   wire n_17032;
+   wire n_17033;
+   wire n_17034;
+   wire n_17035;
+   wire n_17036;
+   wire n_17037;
+   wire n_17038;
+   wire n_17039;
+   wire n_17040;
+   wire n_17041;
+   wire n_17042;
+   wire n_17043;
+   wire n_17044;
+   wire n_17045;
+   wire n_17046;
+   wire n_17047;
+   wire n_17048;
+   wire n_17049;
+   wire n_17050;
+   wire n_17051;
+   wire n_17052;
+   wire n_17053;
+   wire n_17054;
+   wire n_17055;
+   wire n_17056;
+   wire n_17057;
+   wire n_17058;
+   wire n_17059;
+   wire n_17060;
+   wire n_17061;
+   wire n_17062;
+   wire n_17063;
+   wire n_17064;
+   wire n_17065;
+   wire n_17066;
+   wire n_17067;
+   wire n_17068;
+   wire n_17069;
+   wire n_17070;
+   wire n_17071;
+   wire n_17072;
+   wire n_17073;
+   wire n_17074;
+   wire n_17075;
+   wire n_17076;
+   wire n_17077;
+   wire n_17078;
+   wire n_17079;
+   wire n_17080;
+   wire n_17081;
+   wire n_17082;
+   wire n_17083;
+   wire n_17084;
+   wire n_17085;
+   wire n_17086;
+   wire n_17087;
+   wire n_17088;
+   wire n_17089;
+   wire n_17090;
+   wire n_17091;
+   wire n_17092;
+   wire n_17093;
+   wire n_17094;
+   wire n_17095;
+   wire n_17096;
+   wire n_17097;
+   wire n_17098;
+   wire n_17099;
+   wire n_17100;
+   wire n_17101;
+   wire n_17102;
+   wire n_17103;
+   wire n_17104;
+   wire n_17105;
+   wire n_17106;
+   wire n_17107;
+   wire n_17108;
+   wire n_17109;
+   wire n_17110;
+   wire n_17111;
+   wire n_17112;
+   wire n_17113;
+   wire n_17114;
+   wire n_17115;
+   wire n_17116;
+   wire n_17117;
+   wire n_17118;
+   wire n_17119;
+   wire n_17120;
+   wire n_17121;
+   wire n_17122;
+   wire n_17123;
+   wire n_17124;
+   wire n_17125;
+   wire n_17126;
+   wire n_17127;
+   wire n_17128;
+   wire n_17129;
+   wire n_17130;
+   wire n_17131;
+   wire n_17132;
+   wire n_17133;
+   wire n_17134;
+   wire n_17135;
+   wire n_17136;
+   wire n_17137;
+   wire n_17138;
+   wire n_17139;
+   wire n_17140;
+   wire n_17141;
+   wire n_17142;
+   wire n_17143;
+   wire n_17144;
+   wire n_17145;
+   wire n_17146;
+   wire n_17147;
+   wire n_17148;
+   wire n_17149;
+   wire n_17150;
+   wire n_17151;
+   wire n_17152;
+   wire n_17153;
+   wire n_17154;
+   wire n_17155;
+   wire n_17156;
+   wire n_17157;
+   wire n_17158;
+   wire n_17159;
+   wire n_17160;
+   wire n_17161;
+   wire n_17162;
+   wire n_17163;
+   wire n_17164;
+   wire n_17165;
+   wire n_17166;
+   wire n_17167;
+   wire n_17168;
+   wire n_17169;
+   wire n_17170;
+   wire n_17171;
+   wire n_17172;
+   wire n_17173;
+   wire n_17174;
+   wire n_17175;
+   wire n_17176;
+   wire n_17177;
+   wire n_17178;
+   wire n_17179;
+   wire n_17180;
+   wire n_17181;
+   wire n_17182;
+   wire n_17183;
+   wire n_17184;
+   wire n_17185;
+   wire n_17186;
+   wire n_17187;
+   wire n_17188;
+   wire n_17189;
+   wire n_17190;
+   wire n_17191;
+   wire n_17192;
+   wire n_17193;
+   wire n_17194;
+   wire n_17195;
+   wire n_17196;
+   wire n_17197;
+   wire n_17198;
+   wire n_17199;
+   wire n_17200;
+   wire n_17201;
+   wire n_17202;
+   wire n_17203;
+   wire n_17204;
+   wire n_17205;
+   wire n_17206;
+   wire n_17207;
+   wire n_17208;
+   wire n_17209;
+   wire n_17210;
+   wire n_17211;
+   wire n_17212;
+   wire n_17213;
+   wire n_17214;
+   wire n_17215;
+   wire n_17216;
+   wire n_17217;
+   wire n_17218;
+   wire n_17219;
+   wire n_17220;
+   wire n_17221;
+   wire n_17222;
+   wire n_17223;
+   wire n_17224;
+   wire n_17225;
+   wire n_17226;
+   wire n_17227;
+   wire n_17228;
+   wire n_17229;
+   wire n_17230;
+   wire n_17231;
+   wire n_17232;
+   wire n_17233;
+   wire n_17234;
+   wire n_17235;
+   wire n_17236;
+   wire n_17237;
+   wire n_17238;
+   wire n_17239;
+   wire n_17240;
+   wire n_17241;
+   wire n_17242;
+   wire n_17243;
+   wire n_17244;
+   wire n_17245;
+   wire n_17246;
+   wire n_17247;
+   wire n_17248;
+   wire n_17249;
+   wire n_17250;
+   wire n_17251;
+   wire n_17252;
+   wire n_17253;
+   wire n_17254;
+   wire n_17255;
+   wire n_17256;
+   wire n_17257;
+   wire n_17258;
+   wire n_17259;
+   wire n_17260;
+   wire n_17261;
+   wire n_17262;
+   wire n_17263;
+   wire n_17264;
+   wire n_17265;
+   wire n_17266;
+   wire n_17267;
+   wire n_17268;
+   wire n_17269;
+   wire n_17270;
+   wire n_17271;
+   wire n_17272;
+   wire n_17273;
+   wire n_17274;
+   wire n_17275;
+   wire n_17276;
+   wire n_17277;
+   wire n_17278;
+   wire n_17279;
+   wire n_17280;
+   wire n_17281;
+   wire n_17282;
+   wire n_17283;
+   wire n_17284;
+   wire n_17285;
+   wire n_17286;
+   wire n_17287;
+   wire n_17288;
+   wire n_17289;
+   wire n_17290;
+   wire n_17291;
+   wire n_17292;
+   wire n_17293;
+   wire n_17294;
+   wire n_17295;
+   wire n_17296;
+   wire n_17297;
+   wire n_17298;
+   wire n_17299;
+   wire n_17300;
+   wire n_17301;
+   wire n_17302;
+   wire n_17303;
+   wire n_17304;
+   wire n_17305;
+   wire n_17306;
+   wire n_17307;
+   wire n_17308;
+   wire n_17309;
+   wire n_17310;
+   wire n_17311;
+   wire n_17312;
+   wire n_17313;
+   wire n_17314;
+   wire n_17315;
+   wire n_17316;
+   wire n_17317;
+   wire n_17318;
+   wire n_17319;
+   wire n_17320;
+   wire n_17321;
+   wire n_17322;
+   wire n_17323;
+   wire n_17324;
+   wire n_17325;
+   wire n_17326;
+   wire n_17327;
+   wire n_17328;
+   wire n_17329;
+   wire n_17330;
+   wire n_17331;
+   wire n_17332;
+   wire n_17333;
+   wire n_17334;
+   wire n_17335;
+   wire n_17336;
+   wire n_17337;
+   wire n_17338;
+   wire n_17339;
+   wire n_17340;
+   wire n_17341;
+   wire n_17342;
+   wire n_17343;
+   wire n_17344;
+   wire n_17345;
+   wire n_17346;
+   wire n_17347;
+   wire n_17348;
+   wire n_17349;
+   wire n_17350;
+   wire n_17351;
+   wire n_17352;
+   wire n_17353;
+   wire n_17354;
+   wire n_17355;
+   wire n_17356;
+   wire n_17357;
+   wire n_17358;
+   wire n_17359;
+   wire n_17360;
+   wire n_17361;
+   wire n_17362;
+   wire n_17363;
+   wire n_17364;
+   wire n_17365;
+   wire n_17366;
+   wire n_17367;
+   wire n_17368;
+   wire n_17369;
+   wire n_17370;
+   wire n_17371;
+   wire n_17372;
+   wire n_17373;
+   wire n_17374;
+   wire n_17375;
+   wire n_17376;
+   wire n_17377;
+   wire n_17378;
+   wire n_17379;
+   wire n_17380;
+   wire n_17381;
+   wire n_17382;
+   wire n_17383;
+   wire n_17384;
+   wire n_17385;
+   wire n_17386;
+   wire n_17387;
+   wire n_17388;
+   wire n_17389;
+   wire n_17390;
+   wire n_17391;
+   wire n_17392;
+   wire n_17393;
+   wire n_17394;
+   wire n_17395;
+   wire n_17396;
+   wire n_17397;
+   wire n_17398;
+   wire n_17399;
+   wire n_17400;
+   wire n_17401;
+   wire n_17402;
+   wire n_17403;
+   wire n_17404;
+   wire n_17405;
+   wire n_17406;
+   wire n_17407;
+   wire n_17408;
+   wire n_17409;
+   wire n_17410;
+   wire n_17411;
+   wire n_17412;
+   wire n_17413;
+   wire n_17414;
+   wire n_17415;
+   wire n_17416;
+   wire n_17417;
+   wire n_17418;
+   wire n_17419;
+   wire n_17420;
+   wire n_17421;
+   wire n_17422;
+   wire n_17423;
+   wire n_17424;
+   wire n_17425;
+   wire n_17426;
+   wire n_17427;
+   wire n_17428;
+   wire n_17429;
+   wire n_17430;
+   wire n_17431;
+   wire n_17432;
+   wire n_17433;
+   wire n_17434;
+   wire n_17435;
+   wire n_17436;
+   wire n_17437;
+   wire n_17438;
+   wire n_17439;
+   wire n_17440;
+   wire n_17441;
+   wire n_17442;
+   wire n_17443;
+   wire n_17444;
+   wire n_17445;
+   wire n_17446;
+   wire n_17447;
+   wire n_17448;
+   wire n_17449;
+   wire n_17450;
+   wire n_17451;
+   wire n_17452;
+   wire n_17453;
+   wire n_17454;
+   wire n_17455;
+   wire n_17456;
+   wire n_17457;
+   wire n_17458;
+   wire n_17459;
+   wire n_17460;
+   wire n_17461;
+   wire n_17462;
+   wire n_17463;
+   wire n_17464;
+   wire n_17465;
+   wire n_17466;
+   wire n_17467;
+   wire n_17468;
+   wire n_17469;
+   wire n_17470;
+   wire n_17471;
+   wire n_17472;
+   wire n_17473;
+   wire n_17474;
+   wire n_17475;
+   wire n_17476;
+   wire n_17477;
+   wire n_17478;
+   wire n_17479;
+   wire n_17480;
+   wire n_17481;
+   wire n_17482;
+   wire n_17483;
+   wire n_17484;
+   wire n_17485;
+   wire n_17486;
+   wire n_17487;
+   wire n_17488;
+   wire n_17489;
+   wire n_17490;
+   wire n_17491;
+   wire n_17492;
+   wire n_17493;
+   wire n_17494;
+   wire n_17495;
+   wire n_17496;
+   wire n_17497;
+   wire n_17498;
+   wire n_17499;
+   wire n_17500;
+   wire n_17501;
+   wire n_17502;
+   wire n_17503;
+   wire n_17504;
+   wire n_17505;
+   wire n_17506;
+   wire n_17507;
+   wire n_17508;
+   wire n_17509;
+   wire n_17510;
+   wire n_17511;
+   wire n_17512;
+   wire n_17513;
+   wire n_17514;
+   wire n_17515;
+   wire n_17516;
+   wire n_17517;
+   wire n_17518;
+   wire n_17519;
+   wire n_17520;
+   wire n_17521;
+   wire n_17522;
+   wire n_17523;
+   wire n_17524;
+   wire n_17525;
+   wire n_17526;
+   wire n_17527;
+   wire n_17528;
+   wire n_17529;
+   wire n_17530;
+   wire n_17531;
+   wire n_17532;
+   wire n_17533;
+   wire n_17534;
+   wire n_17535;
+   wire n_17536;
+   wire n_17537;
+   wire n_17538;
+   wire n_17541;
+   wire n_17542;
+   wire n_17543;
+   wire n_17544;
+   wire n_17545;
+   wire n_17546;
+   wire n_17547;
+   wire n_17548;
+   wire n_17549;
+   wire n_17550;
+   wire n_17551;
+   wire n_17552;
+   wire n_17553;
+   wire n_17554;
+   wire n_17555;
+   wire n_17556;
+   wire n_17557;
+   wire n_17558;
+   wire n_17559;
+   wire n_17560;
+   wire n_17561;
+   wire n_17562;
+   wire n_17563;
+   wire n_17564;
+   wire n_17565;
+   wire n_17566;
+   wire n_17567;
+   wire n_17568;
+   wire n_17569;
+   wire n_17570;
+   wire n_17571;
+   wire n_17572;
+   wire n_17573;
+   wire n_17574;
+   wire n_17575;
+   wire n_17576;
+   wire n_17577;
+   wire n_17578;
+   wire n_17579;
+   wire n_17580;
+   wire n_17581;
+   wire n_17582;
+   wire n_17583;
+   wire n_17584;
+   wire n_17585;
+   wire n_17586;
+   wire n_17587;
+   wire n_17588;
+   wire n_17589;
+   wire n_17590;
+   wire n_17591;
+   wire n_17592;
+   wire n_17593;
+   wire n_17594;
+   wire n_17595;
+   wire n_17596;
+   wire n_17597;
+   wire n_17598;
+   wire n_17599;
+   wire n_17600;
+   wire n_17601;
+   wire n_17602;
+   wire n_17603;
+   wire n_17604;
+   wire n_17605;
+   wire n_17606;
+   wire n_17607;
+   wire n_17608;
+   wire n_17609;
+   wire n_17610;
+   wire n_17611;
+   wire n_17612;
+   wire n_17613;
+   wire n_17614;
+   wire n_17615;
+   wire n_17617;
+   wire n_17618;
+   wire n_17619;
+   wire n_17620;
+   wire n_17621;
+   wire n_17622;
+   wire n_17623;
+   wire n_17624;
+   wire n_17625;
+   wire n_17626;
+   wire n_17627;
+   wire n_17628;
+   wire n_17629;
+   wire n_17630;
+   wire n_17631;
+   wire n_17632;
+   wire n_17633;
+   wire n_17634;
+   wire n_17635;
+   wire n_17637;
+   wire n_17638;
+   wire n_17639;
+   wire n_17640;
+   wire n_17641;
+   wire n_17643;
+   wire n_17644;
+   wire n_17645;
+   wire n_17647;
+   wire n_17648;
+   wire n_17649;
+   wire n_17650;
+   wire n_17652;
+   wire n_17654;
+   wire n_17655;
+   wire n_17656;
+   wire n_17657;
+   wire n_17658;
+   wire n_17659;
+   wire n_17660;
+   wire n_17661;
+   wire n_17662;
+   wire n_17664;
+   wire n_17665;
+   wire n_17666;
+   wire n_17667;
+   wire n_17668;
+   wire n_17669;
+   wire n_17670;
+   wire n_17671;
+   wire n_17672;
+   wire n_17673;
+   wire n_17674;
+   wire n_17675;
+   wire n_17676;
+   wire n_17677;
+   wire n_17679;
+   wire n_17680;
+   wire n_17681;
+   wire n_17683;
+   wire n_17684;
+   wire n_17686;
+   wire n_17687;
+   wire n_17688;
+   wire n_17689;
+   wire n_17690;
+   wire n_17692;
+   wire n_17693;
+   wire n_17694;
+   wire n_17695;
+   wire n_17698;
+   wire n_17699;
+   wire n_17700;
+   wire n_17702;
+   wire n_17703;
+   wire n_17704;
+   wire n_17705;
+   wire n_17706;
+   wire n_17707;
+   wire n_17708;
+   wire n_17709;
+   wire n_17713;
+   wire n_17714;
+   wire n_17715;
+   wire n_17716;
+   wire n_17717;
+   wire n_17718;
+   wire n_17719;
+   wire n_17722;
+   wire n_17723;
+   wire n_17724;
+   wire n_17726;
+   wire n_17727;
+   wire n_17728;
+   wire n_17729;
+   wire n_17730;
+   wire n_17731;
+   wire n_17732;
+   wire n_17733;
+   wire n_17734;
+   wire n_17735;
+   wire n_17736;
+   wire n_17739;
+   wire n_17741;
+   wire n_17743;
+   wire n_17744;
+   wire n_17745;
+   wire n_17746;
+   wire n_17749;
+   wire n_17750;
+   wire n_17751;
+   wire n_17752;
+   wire n_17753;
+   wire n_17754;
+   wire n_17755;
+   wire n_17756;
+   wire n_17757;
+   wire n_17758;
+   wire n_17759;
+   wire n_17760;
+   wire n_17761;
+   wire n_17762;
+   wire n_17763;
+   wire n_17764;
+   wire n_17765;
+   wire n_17766;
+   wire n_17767;
+   wire n_17768;
+   wire n_17769;
+   wire n_17770;
+   wire n_17771;
+   wire n_17772;
+   wire n_17773;
+   wire n_17774;
+   wire n_17775;
+   wire n_17776;
+   wire n_17777;
+   wire n_17778;
+   wire n_17779;
+   wire n_17780;
+   wire n_17781;
+   wire n_17782;
+   wire n_17783;
+   wire n_17784;
+   wire n_17785;
+   wire n_17786;
+   wire n_17787;
+   wire n_17788;
+   wire n_17789;
+   wire n_17790;
+   wire n_17791;
+   wire n_17792;
+   wire n_17793;
+   wire n_17794;
+   wire n_17795;
+   wire n_17796;
+   wire n_17797;
+   wire n_17798;
+   wire n_17799;
+   wire n_17800;
+   wire n_17801;
+   wire n_17802;
+   wire n_17803;
+   wire n_17804;
+   wire n_17805;
+   wire n_17806;
+   wire n_17807;
+   wire n_17808;
+   wire n_17809;
+   wire n_17810;
+   wire n_17811;
+   wire n_17812;
+   wire n_17813;
+   wire n_17814;
+   wire n_17815;
+   wire n_17816;
+   wire n_17817;
+   wire n_17818;
+   wire n_17819;
+   wire n_17820;
+   wire n_17821;
+   wire n_17822;
+   wire n_17823;
+   wire n_17824;
+   wire n_17825;
+   wire n_17826;
+   wire n_17827;
+   wire n_17828;
+   wire n_17829;
+   wire n_17830;
+   wire n_17831;
+   wire n_17832;
+   wire n_17833;
+   wire n_17834;
+   wire n_17835;
+   wire n_17836;
+   wire n_17837;
+   wire n_17838;
+   wire n_17839;
+   wire n_17840;
+   wire n_17841;
+   wire n_17842;
+   wire n_17843;
+   wire n_17844;
+   wire n_17845;
+   wire n_17846;
+   wire n_17847;
+   wire n_17848;
+   wire n_17849;
+   wire n_17850;
+   wire n_17851;
+   wire n_17852;
+   wire n_17853;
+   wire n_17854;
+   wire n_17855;
+   wire n_17856;
+   wire n_17857;
+   wire n_17858;
+   wire n_17859;
+   wire n_17860;
+   wire n_17861;
+   wire n_17862;
+   wire n_17863;
+   wire n_17864;
+   wire n_17865;
+   wire n_17866;
+   wire n_17867;
+   wire n_17868;
+   wire n_17869;
+   wire n_17870;
+   wire n_17871;
+   wire n_17872;
+   wire n_17873;
+   wire n_17874;
+   wire n_17875;
+   wire n_17876;
+   wire n_17877;
+   wire n_17878;
+   wire n_17879;
+   wire n_17880;
+   wire n_17881;
+   wire n_17882;
+   wire n_17883;
+   wire n_17884;
+   wire n_17885;
+   wire n_17886;
+   wire n_17887;
+   wire n_17888;
+   wire n_17889;
+   wire n_17890;
+   wire n_17891;
+   wire n_17892;
+   wire n_17893;
+   wire n_17894;
+   wire n_17895;
+   wire n_17896;
+   wire n_17897;
+   wire n_17898;
+   wire n_17899;
+   wire n_17900;
+   wire n_17901;
+   wire n_17902;
+   wire n_17903;
+   wire n_17904;
+   wire n_17905;
+   wire n_17906;
+   wire n_17907;
+   wire n_17908;
+   wire n_17909;
+   wire n_17910;
+   wire n_17911;
+   wire n_17912;
+   wire n_17913;
+   wire n_17914;
+   wire n_17915;
+   wire n_17916;
+   wire n_17917;
+   wire n_17918;
+   wire n_17919;
+   wire n_17920;
+   wire n_17921;
+   wire n_17922;
+   wire n_17923;
+   wire n_17924;
+   wire n_17925;
+   wire n_17926;
+   wire n_17927;
+   wire n_17928;
+   wire n_17929;
+   wire n_17930;
+   wire n_17931;
+   wire n_17932;
+   wire n_17933;
+   wire n_17934;
+   wire n_17935;
+   wire n_17936;
+   wire n_17937;
+   wire n_17938;
+   wire n_17939;
+   wire n_17940;
+   wire n_17941;
+   wire n_17942;
+   wire n_17943;
+   wire n_17944;
+   wire n_17945;
+   wire n_17946;
+   wire n_17947;
+   wire n_17948;
+   wire n_17949;
+   wire n_17950;
+   wire n_17951;
+   wire n_17952;
+   wire n_17953;
+   wire n_17954;
+   wire n_17955;
+   wire n_17956;
+   wire n_17957;
+   wire n_17958;
+   wire n_17959;
+   wire n_17960;
+   wire n_17961;
+   wire n_17962;
+   wire n_17963;
+   wire n_17964;
+   wire n_17965;
+   wire n_17966;
+   wire n_17967;
+   wire n_17968;
+   wire n_17969;
+   wire n_17970;
+   wire n_17971;
+   wire n_17972;
+   wire n_17973;
+   wire n_17974;
+   wire n_17975;
+   wire n_17976;
+   wire n_17977;
+   wire n_17978;
+   wire n_17979;
+   wire n_17980;
+   wire n_17981;
+   wire n_17982;
+   wire n_17983;
+   wire n_17984;
+   wire n_17985;
+   wire n_17986;
+   wire n_17987;
+   wire n_17988;
+   wire n_17989;
+   wire n_17990;
+   wire n_17991;
+   wire n_17992;
+   wire n_17993;
+   wire n_17994;
+   wire n_17995;
+   wire n_17996;
+   wire n_17997;
+   wire n_17998;
+   wire n_17999;
+   wire n_18000;
+   wire n_18001;
+   wire n_18002;
+   wire n_18003;
+   wire n_18004;
+   wire n_18005;
+   wire n_18006;
+   wire n_18007;
+   wire n_18008;
+   wire n_18009;
+   wire n_18010;
+   wire n_18011;
+   wire n_18012;
+   wire n_18013;
+   wire n_18014;
+   wire n_18015;
+   wire n_18016;
+   wire n_18017;
+   wire n_18018;
+   wire n_18019;
+   wire n_18020;
+   wire n_18021;
+   wire n_18022;
+   wire n_18023;
+   wire n_18024;
+   wire n_18025;
+   wire n_18026;
+   wire n_18027;
+   wire n_18028;
+   wire n_18029;
+   wire n_18030;
+   wire n_18031;
+   wire n_18032;
+   wire n_18033;
+   wire n_18034;
+   wire n_18035;
+   wire n_18036;
+   wire n_18037;
+   wire n_18038;
+   wire n_18039;
+   wire n_18040;
+   wire n_18041;
+   wire n_18042;
+   wire n_18043;
+   wire n_18044;
+   wire n_18045;
+   wire n_18046;
+   wire n_18047;
+   wire n_18048;
+   wire n_18049;
+   wire n_18050;
+   wire n_18051;
+   wire n_18052;
+   wire n_18053;
+   wire n_18054;
+   wire n_18055;
+   wire n_18056;
+   wire n_18057;
+   wire n_18058;
+   wire n_18059;
+   wire n_18060;
+   wire n_18061;
+   wire n_18062;
+   wire n_18063;
+   wire n_18064;
+   wire n_18065;
+   wire n_18066;
+   wire n_18067;
+   wire n_18068;
+   wire n_18069;
+   wire n_18070;
+   wire n_18071;
+   wire n_18072;
+   wire n_18073;
+   wire n_18074;
+   wire n_18075;
+   wire n_18076;
+   wire n_18077;
+   wire n_18078;
+   wire n_18079;
+   wire n_18080;
+   wire n_18081;
+   wire n_18082;
+   wire n_18083;
+   wire n_18084;
+   wire n_18085;
+   wire n_18086;
+   wire n_18087;
+   wire n_18088;
+   wire n_18089;
+   wire n_18090;
+   wire n_18091;
+   wire n_18092;
+   wire n_18093;
+   wire n_18094;
+   wire n_18095;
+   wire n_18096;
+   wire n_18097;
+   wire n_18098;
+   wire n_18099;
+   wire n_18100;
+   wire n_18101;
+   wire n_18102;
+   wire n_18103;
+   wire n_18104;
+   wire n_18105;
+   wire n_18106;
+   wire n_18107;
+   wire n_18108;
+   wire n_18109;
+   wire n_18110;
+   wire n_18111;
+   wire n_18112;
+   wire n_18113;
+   wire n_18114;
+   wire n_18115;
+   wire n_18116;
+   wire n_18117;
+   wire n_18118;
+   wire n_18119;
+   wire n_18120;
+   wire n_18121;
+   wire n_18122;
+   wire n_18123;
+   wire n_18124;
+   wire n_18125;
+   wire n_18126;
+   wire n_18127;
+   wire n_18128;
+   wire n_18129;
+   wire n_18130;
+   wire n_18131;
+   wire n_18132;
+   wire n_18133;
+   wire n_18134;
+   wire n_18135;
+   wire n_18136;
+   wire n_18137;
+   wire n_18138;
+   wire n_18139;
+   wire n_18140;
+   wire n_18141;
+   wire n_18142;
+   wire n_18143;
+   wire n_18144;
+   wire n_18145;
+   wire n_18146;
+   wire n_18147;
+   wire n_18148;
+   wire n_18149;
+   wire n_18150;
+   wire n_18151;
+   wire n_18152;
+   wire n_18153;
+   wire n_18154;
+   wire n_18155;
+   wire n_18156;
+   wire n_18157;
+   wire n_18158;
+   wire n_18159;
+   wire n_18160;
+   wire n_18161;
+   wire n_18162;
+   wire n_18163;
+   wire n_18164;
+   wire n_18165;
+   wire n_18166;
+   wire n_18167;
+   wire n_18168;
+   wire n_18169;
+   wire n_18170;
+   wire n_18171;
+   wire n_18172;
+   wire n_18173;
+   wire n_18174;
+   wire n_18175;
+   wire n_18176;
+   wire n_18177;
+   wire n_18178;
+   wire n_18179;
+   wire n_18180;
+   wire n_18181;
+   wire n_18182;
+   wire n_18183;
+   wire n_18184;
+   wire n_18185;
+   wire n_18186;
+   wire n_18187;
+   wire n_18188;
+   wire n_18189;
+   wire n_18190;
+   wire n_18191;
+   wire n_18192;
+   wire n_18193;
+   wire n_18194;
+   wire n_18195;
+   wire n_18196;
+   wire n_18197;
+   wire n_18198;
+   wire n_18199;
+   wire n_18200;
+   wire n_18201;
+   wire n_18202;
+   wire n_18203;
+   wire n_18204;
+   wire n_18205;
+   wire n_18206;
+   wire n_18207;
+   wire n_18208;
+   wire n_18209;
+   wire n_18210;
+   wire n_18211;
+   wire n_18212;
+   wire n_18213;
+   wire n_18214;
+   wire n_18215;
+   wire n_18216;
+   wire n_18217;
+   wire n_18218;
+   wire n_18219;
+   wire n_18220;
+   wire n_18221;
+   wire n_18222;
+   wire n_18223;
+   wire n_18224;
+   wire n_18225;
+   wire n_18226;
+   wire n_18227;
+   wire n_18228;
+   wire n_18229;
+   wire n_18230;
+   wire n_18231;
+   wire n_18232;
+   wire n_18233;
+   wire n_18234;
+   wire n_18235;
+   wire n_18236;
+   wire n_18237;
+   wire n_18238;
+   wire n_18239;
+   wire n_18240;
+   wire n_18241;
+   wire n_18242;
+   wire n_18243;
+   wire n_18244;
+   wire n_18245;
+   wire n_18246;
+   wire n_18247;
+   wire n_18248;
+   wire n_18249;
+   wire n_18250;
+   wire n_18251;
+   wire n_18252;
+   wire n_18253;
+   wire n_18254;
+   wire n_18255;
+   wire n_18256;
+   wire n_18257;
+   wire n_18258;
+   wire n_18259;
+   wire n_18260;
+   wire n_18261;
+   wire n_18262;
+   wire n_18263;
+   wire n_18264;
+   wire n_18265;
+   wire n_18266;
+   wire n_18267;
+   wire n_18268;
+   wire n_18269;
+   wire n_18270;
+   wire n_18271;
+   wire n_18272;
+   wire n_18273;
+   wire n_18274;
+   wire n_18275;
+   wire n_18276;
+   wire n_18277;
+   wire n_18278;
+   wire n_18279;
+   wire n_18280;
+   wire n_18281;
+   wire n_18282;
+   wire n_18283;
+   wire n_18284;
+   wire n_18285;
+   wire n_18286;
+   wire n_18287;
+   wire n_18288;
+   wire n_18289;
+   wire n_18290;
+   wire n_18291;
+   wire n_18292;
+   wire n_18293;
+   wire n_18294;
+   wire n_18295;
+   wire n_18296;
+   wire n_18297;
+   wire n_18298;
+   wire n_18299;
+   wire n_18300;
+   wire n_18301;
+   wire n_18302;
+   wire n_18303;
+   wire n_18304;
+   wire n_18305;
+   wire n_18306;
+   wire n_18307;
+   wire n_18308;
+   wire n_18309;
+   wire n_18310;
+   wire n_18311;
+   wire n_18312;
+   wire n_18313;
+   wire n_18314;
+   wire n_18315;
+   wire n_18316;
+   wire n_18317;
+   wire n_18318;
+   wire n_18319;
+   wire n_18320;
+   wire n_18321;
+   wire n_18322;
+   wire n_18323;
+   wire n_18324;
+   wire n_18325;
+   wire n_18326;
+   wire n_18327;
+   wire n_18328;
+   wire n_18329;
+   wire n_18330;
+   wire n_18331;
+   wire n_18332;
+   wire n_18333;
+   wire n_18334;
+   wire n_18335;
+   wire n_18336;
+   wire n_18337;
+   wire n_18338;
+   wire n_18339;
+   wire n_18340;
+   wire n_18341;
+   wire n_18342;
+   wire n_18343;
+   wire n_18344;
+   wire n_18345;
+   wire n_18346;
+   wire n_18347;
+   wire n_18348;
+   wire n_18349;
+   wire n_18350;
+   wire n_18351;
+   wire n_18352;
+   wire n_18353;
+   wire n_18354;
+   wire n_18355;
+   wire n_18356;
+   wire n_18357;
+   wire n_18358;
+   wire n_18359;
+   wire n_18360;
+   wire n_18361;
+   wire n_18362;
+   wire n_18363;
+   wire n_18364;
+   wire n_18365;
+   wire n_18366;
+   wire n_18367;
+   wire n_18368;
+   wire n_18369;
+   wire n_18370;
+   wire n_18371;
+   wire n_18372;
+   wire n_18373;
+   wire n_18374;
+   wire n_18375;
+   wire n_18376;
+   wire n_18377;
+   wire n_18378;
+   wire n_18379;
+   wire n_18380;
+   wire n_18381;
+   wire n_18382;
+   wire n_18383;
+   wire n_18384;
+   wire n_18385;
+   wire n_18386;
+   wire n_18387;
+   wire n_18388;
+   wire n_18389;
+   wire n_18390;
+   wire n_18391;
+   wire n_18392;
+   wire n_18393;
+   wire n_18394;
+   wire n_18395;
+   wire n_18396;
+   wire n_18397;
+   wire n_18398;
+   wire n_18399;
+   wire n_18400;
+   wire n_18401;
+   wire n_18402;
+   wire n_18403;
+   wire n_18404;
+   wire n_18405;
+   wire n_18406;
+   wire n_18407;
+   wire n_18408;
+   wire n_18409;
+   wire n_18410;
+   wire n_18411;
+   wire n_18412;
+   wire n_18413;
+   wire n_18414;
+   wire n_18415;
+   wire n_18416;
+   wire n_18417;
+   wire n_18418;
+   wire n_18419;
+   wire n_18420;
+   wire n_18421;
+   wire n_18422;
+   wire n_18423;
+   wire n_18424;
+   wire n_18425;
+   wire n_18426;
+   wire n_18427;
+   wire n_18428;
+   wire n_18429;
+   wire n_18430;
+   wire n_18431;
+   wire n_18432;
+   wire n_18433;
+   wire n_18434;
+   wire n_18435;
+   wire n_18436;
+   wire n_18437;
+   wire n_18438;
+   wire n_18439;
+   wire n_18440;
+   wire n_18441;
+   wire n_18442;
+   wire n_18443;
+   wire n_18444;
+   wire n_18445;
+   wire n_18446;
+   wire n_18447;
+   wire n_18448;
+   wire n_18449;
+   wire n_18450;
+   wire n_18451;
+   wire n_18452;
+   wire n_18453;
+   wire n_18454;
+   wire n_18455;
+   wire n_18456;
+   wire n_18457;
+   wire n_18458;
+   wire n_18459;
+   wire n_18460;
+   wire n_18461;
+   wire n_18462;
+   wire n_18463;
+   wire n_18464;
+   wire n_18465;
+   wire n_18466;
+   wire n_18467;
+   wire n_18468;
+   wire n_18469;
+   wire n_18470;
+   wire n_18471;
+   wire n_18472;
+   wire n_18473;
+   wire n_18474;
+   wire n_18475;
+   wire n_18476;
+   wire n_18477;
+   wire n_18478;
+   wire n_18479;
+   wire n_18480;
+   wire n_18481;
+   wire n_18482;
+   wire n_18483;
+   wire n_18484;
+   wire n_18485;
+   wire n_18486;
+   wire n_18487;
+   wire n_18488;
+   wire n_18489;
+   wire n_18490;
+   wire n_18491;
+   wire n_18492;
+   wire n_18493;
+   wire n_18494;
+   wire n_18495;
+   wire n_18496;
+   wire n_18497;
+   wire n_18498;
+   wire n_18499;
+   wire n_18500;
+   wire n_18501;
+   wire n_18502;
+   wire n_18503;
+   wire n_18504;
+   wire n_18505;
+   wire n_18506;
+   wire n_18507;
+   wire n_18508;
+   wire n_18509;
+   wire n_18510;
+   wire n_18511;
+   wire n_18512;
+   wire n_18513;
+   wire n_18514;
+   wire n_18515;
+   wire n_18516;
+   wire n_18517;
+   wire n_18518;
+   wire n_18519;
+   wire n_18520;
+   wire n_18521;
+   wire n_18522;
+   wire n_18523;
+   wire n_18524;
+   wire n_18525;
+   wire n_18526;
+   wire n_18527;
+   wire n_18528;
+   wire n_18529;
+   wire n_18530;
+   wire n_18531;
+   wire n_18532;
+   wire n_18533;
+   wire n_18534;
+   wire n_18535;
+   wire n_18536;
+   wire n_18537;
+   wire n_18538;
+   wire n_18539;
+   wire n_18540;
+   wire n_18541;
+   wire n_18542;
+   wire n_18543;
+   wire n_18544;
+   wire n_18545;
+   wire n_18546;
+   wire n_18547;
+   wire n_18548;
+   wire n_18549;
+   wire n_18550;
+   wire n_18551;
+   wire n_18552;
+   wire n_18553;
+   wire n_18554;
+   wire n_18555;
+   wire n_18556;
+   wire n_18557;
+   wire n_18558;
+   wire n_18559;
+   wire n_18560;
+   wire n_18561;
+   wire n_18562;
+   wire n_18563;
+   wire n_18564;
+   wire n_18565;
+   wire n_18566;
+   wire n_18567;
+   wire n_18568;
+   wire n_18569;
+   wire n_18570;
+   wire n_18571;
+   wire n_18572;
+   wire n_18573;
+   wire n_18574;
+   wire n_18575;
+   wire n_18576;
+   wire n_18577;
+   wire n_18578;
+   wire n_18579;
+   wire n_18580;
+   wire n_18581;
+   wire n_18582;
+   wire n_18583;
+   wire n_18584;
+   wire n_18585;
+   wire n_18586;
+   wire n_18587;
+   wire n_18588;
+   wire n_18589;
+   wire n_18590;
+   wire n_18591;
+   wire n_18592;
+   wire n_18593;
+   wire n_18594;
+   wire n_18595;
+   wire n_18596;
+   wire n_18597;
+   wire n_18598;
+   wire n_18599;
+   wire n_18600;
+   wire n_18601;
+   wire n_18602;
+   wire n_18603;
+   wire n_18604;
+   wire n_18605;
+   wire n_18606;
+   wire n_18607;
+   wire n_18608;
+   wire n_18609;
+   wire n_18610;
+   wire n_18611;
+   wire n_18612;
+   wire n_18613;
+   wire n_18614;
+   wire n_18615;
+   wire n_18616;
+   wire n_18617;
+   wire n_18618;
+   wire n_18619;
+   wire n_18620;
+   wire n_18621;
+   wire n_18622;
+   wire n_18623;
+   wire n_18624;
+   wire n_18625;
+   wire n_18626;
+   wire n_18627;
+   wire n_18628;
+   wire n_18629;
+   wire n_18630;
+   wire n_18631;
+   wire n_18632;
+   wire n_18633;
+   wire n_18634;
+   wire n_18635;
+   wire n_18636;
+   wire n_18637;
+   wire n_18638;
+   wire n_18639;
+   wire n_18640;
+   wire n_18641;
+   wire n_18642;
+   wire n_18643;
+   wire n_18644;
+   wire n_18645;
+   wire n_18646;
+   wire n_18647;
+   wire n_18648;
+   wire n_18649;
+   wire n_18650;
+   wire n_18651;
+   wire n_18652;
+   wire n_18653;
+   wire n_18654;
+   wire n_18655;
+   wire n_18656;
+   wire n_18657;
+   wire n_18658;
+   wire n_18659;
+   wire n_18660;
+   wire n_18661;
+   wire n_18662;
+   wire n_18663;
+   wire n_18664;
+   wire n_18665;
+   wire n_18666;
+   wire n_18667;
+   wire n_18668;
+   wire n_18669;
+   wire n_18670;
+   wire n_18671;
+   wire n_18672;
+   wire n_18673;
+   wire n_18674;
+   wire n_18675;
+   wire n_18676;
+   wire n_18677;
+   wire n_18678;
+   wire n_18679;
+   wire n_18680;
+   wire n_18681;
+   wire n_18682;
+   wire n_18683;
+   wire n_18684;
+   wire n_18685;
+   wire n_18686;
+   wire n_18687;
+   wire n_18688;
+   wire n_18689;
+   wire n_18690;
+   wire n_18691;
+   wire n_18692;
+   wire n_18693;
+   wire n_18694;
+   wire n_18695;
+   wire n_18696;
+   wire n_18697;
+   wire n_18698;
+   wire n_18699;
+   wire n_18700;
+   wire n_18701;
+   wire n_18702;
+   wire n_18703;
+   wire n_18704;
+   wire n_18705;
+   wire n_18706;
+   wire n_18707;
+   wire n_18708;
+   wire n_18709;
+   wire n_18710;
+   wire n_18711;
+   wire n_18712;
+   wire n_18713;
+   wire n_18714;
+   wire n_18715;
+   wire n_18716;
+   wire n_18717;
+   wire n_18718;
+   wire n_18719;
+   wire n_18720;
+   wire n_18721;
+   wire n_18722;
+   wire n_18723;
+   wire n_18724;
+   wire n_18725;
+   wire n_18726;
+   wire n_18727;
+   wire n_18728;
+   wire n_18729;
+   wire n_18730;
+   wire n_18731;
+   wire n_18732;
+   wire n_18733;
+   wire n_18734;
+   wire n_18735;
+   wire n_18736;
+   wire n_18737;
+   wire n_18738;
+   wire n_18739;
+   wire n_18740;
+   wire n_18741;
+   wire n_18742;
+   wire n_18743;
+   wire n_18744;
+   wire n_18745;
+   wire n_18746;
+   wire n_18747;
+   wire n_18748;
+   wire n_18749;
+   wire n_18750;
+   wire n_18751;
+   wire n_18752;
+   wire n_18753;
+   wire n_18754;
+   wire n_18755;
+   wire n_18756;
+   wire n_18757;
+   wire n_18758;
+   wire n_18759;
+   wire n_18760;
+   wire n_18761;
+   wire n_18762;
+   wire n_18763;
+   wire n_18764;
+   wire n_18765;
+   wire n_18766;
+   wire n_18767;
+   wire n_18768;
+   wire n_18769;
+   wire n_18770;
+   wire n_18771;
+   wire n_18772;
+   wire n_18773;
+   wire n_18774;
+   wire n_18775;
+   wire n_18776;
+   wire n_18777;
+   wire n_18778;
+   wire n_18779;
+   wire n_18780;
+   wire n_18781;
+   wire n_18782;
+   wire n_18783;
+   wire n_18784;
+   wire n_18785;
+   wire n_18786;
+   wire n_18787;
+   wire n_18788;
+   wire n_18789;
+   wire n_18790;
+   wire n_18791;
+   wire n_18792;
+   wire n_18793;
+   wire n_18794;
+   wire n_18795;
+   wire n_18796;
+   wire n_18797;
+   wire n_18798;
+   wire n_18799;
+   wire n_18800;
+   wire n_18801;
+   wire n_18802;
+   wire n_18803;
+   wire n_18804;
+   wire n_18805;
+   wire n_18806;
+   wire n_18807;
+   wire n_18808;
+   wire n_18809;
+   wire n_18810;
+   wire n_18811;
+   wire n_18812;
+   wire n_18813;
+   wire n_18814;
+   wire n_18815;
+   wire n_18816;
+   wire n_18817;
+   wire n_18818;
+   wire n_18819;
+   wire n_18820;
+   wire n_18821;
+   wire n_18822;
+   wire n_18823;
+   wire n_18824;
+   wire n_18825;
+   wire n_18826;
+   wire n_18827;
+   wire n_18828;
+   wire n_18829;
+   wire n_18830;
+   wire n_18831;
+   wire n_18832;
+   wire n_18833;
+   wire n_18834;
+   wire n_18835;
+   wire n_18836;
+   wire n_18837;
+   wire n_18838;
+   wire n_18839;
+   wire n_18840;
+   wire n_18841;
+   wire n_18842;
+   wire n_18843;
+   wire n_18844;
+   wire n_18845;
+   wire n_18846;
+   wire n_18847;
+   wire n_18848;
+   wire n_18849;
+   wire n_18850;
+   wire n_18851;
+   wire n_18852;
+   wire n_18853;
+   wire n_18854;
+   wire n_18855;
+   wire n_18856;
+   wire n_18857;
+   wire n_18858;
+   wire n_18859;
+   wire n_18860;
+   wire n_18861;
+   wire n_18862;
+   wire n_18863;
+   wire n_18864;
+   wire n_18865;
+   wire n_18866;
+   wire n_18867;
+   wire n_18868;
+   wire n_18869;
+   wire n_18870;
+   wire n_18871;
+   wire n_18872;
+   wire n_18873;
+   wire n_18874;
+   wire n_18875;
+   wire n_18876;
+   wire n_18877;
+   wire n_18878;
+   wire n_18879;
+   wire n_18880;
+   wire n_18881;
+   wire n_18882;
+   wire n_18883;
+   wire n_18884;
+   wire n_18885;
+   wire n_18886;
+   wire n_18887;
+   wire n_18888;
+   wire n_18889;
+   wire n_18890;
+   wire n_18891;
+   wire n_18892;
+   wire n_18893;
+   wire n_18894;
+   wire n_18895;
+   wire n_18896;
+   wire n_18897;
+   wire n_18898;
+   wire n_18899;
+   wire n_18900;
+   wire n_18901;
+   wire n_18902;
+   wire n_18903;
+   wire n_18904;
+   wire n_18905;
+   wire n_18906;
+   wire n_18907;
+   wire n_18908;
+   wire n_18909;
+   wire n_18910;
+   wire n_18911;
+   wire n_18912;
+   wire n_18913;
+   wire n_18914;
+   wire n_18915;
+   wire n_18916;
+   wire n_18917;
+   wire n_18918;
+   wire n_18919;
+   wire n_18920;
+   wire n_18921;
+   wire n_18922;
+   wire n_18923;
+   wire n_18924;
+   wire n_18925;
+   wire n_18926;
+   wire n_18927;
+   wire n_18928;
+   wire n_18929;
+   wire n_18930;
+   wire n_18931;
+   wire n_18932;
+   wire n_18933;
+   wire n_18934;
+   wire n_18935;
+   wire n_18936;
+   wire n_18937;
+   wire n_18938;
+   wire n_18939;
+   wire n_18940;
+   wire n_18941;
+   wire n_18942;
+   wire n_18943;
+   wire n_18944;
+   wire n_18945;
+   wire n_18946;
+   wire n_18947;
+   wire n_18948;
+   wire n_18949;
+   wire n_18950;
+   wire n_18951;
+   wire n_18952;
+   wire n_18953;
+   wire n_18954;
+   wire n_18955;
+   wire n_18956;
+   wire n_18957;
+   wire n_18958;
+   wire n_18959;
+   wire n_18960;
+   wire n_18961;
+   wire n_18962;
+   wire n_18963;
+   wire n_18964;
+   wire n_18965;
+   wire n_18966;
+   wire n_18967;
+   wire n_18968;
+   wire n_18969;
+   wire n_18970;
+   wire n_18971;
+   wire n_18972;
+   wire n_18973;
+   wire n_18974;
+   wire n_18975;
+   wire n_18976;
+   wire n_18977;
+   wire n_18978;
+   wire n_18979;
+   wire n_18980;
+   wire n_18981;
+   wire n_18982;
+   wire n_18983;
+   wire n_18984;
+   wire n_18985;
+   wire n_18986;
+   wire n_18987;
+   wire n_18988;
+   wire n_18989;
+   wire n_18990;
+   wire n_18991;
+   wire n_18992;
+   wire n_18993;
+   wire n_18994;
+   wire n_18995;
+   wire n_18996;
+   wire n_18997;
+   wire n_18998;
+   wire n_18999;
+   wire n_19000;
+   wire n_19001;
+   wire n_19002;
+   wire n_19003;
+   wire n_19004;
+   wire n_19005;
+   wire n_19006;
+   wire n_19007;
+   wire n_19008;
+   wire n_19009;
+   wire n_19010;
+   wire n_19011;
+   wire n_19012;
+   wire n_19013;
+   wire n_19014;
+   wire n_19015;
+   wire n_19016;
+   wire n_19017;
+   wire n_19018;
+   wire n_19019;
+   wire n_19020;
+   wire n_19021;
+   wire n_19022;
+   wire n_19023;
+   wire n_19024;
+   wire n_19025;
+   wire n_19026;
+   wire n_19027;
+   wire n_19028;
+   wire n_19029;
+   wire n_19030;
+   wire n_19031;
+   wire n_19032;
+   wire n_19033;
+   wire n_19034;
+   wire n_19035;
+   wire n_19036;
+   wire n_19037;
+   wire n_19038;
+   wire n_19039;
+   wire n_19040;
+   wire n_19041;
+   wire n_19042;
+   wire n_19043;
+   wire n_19044;
+   wire n_19045;
+   wire n_19046;
+   wire n_19047;
+   wire n_19048;
+   wire n_19049;
+   wire n_19050;
+   wire n_19051;
+   wire n_19052;
+   wire n_19053;
+   wire n_19054;
+   wire n_19055;
+   wire n_19056;
+   wire n_19057;
+   wire n_19058;
+   wire n_19059;
+   wire n_19060;
+   wire n_19061;
+   wire n_19062;
+   wire n_19063;
+   wire n_19064;
+   wire n_19065;
+   wire n_19066;
+   wire n_19067;
+   wire n_19068;
+   wire n_19069;
+   wire n_19070;
+   wire n_19071;
+   wire n_19072;
+   wire n_19073;
+   wire n_19074;
+   wire n_19075;
+   wire n_19076;
+   wire n_19077;
+   wire n_19078;
+   wire n_19079;
+   wire n_19080;
+   wire n_19081;
+   wire n_19082;
+   wire n_19083;
+   wire n_19084;
+   wire n_19085;
+   wire n_19086;
+   wire n_19087;
+   wire n_19088;
+   wire n_19089;
+   wire n_19090;
+   wire n_19091;
+   wire n_19092;
+   wire n_19093;
+   wire n_19094;
+   wire n_19095;
+   wire n_19096;
+   wire n_19097;
+   wire n_19098;
+   wire n_19099;
+   wire n_19100;
+   wire n_19101;
+   wire n_19102;
+   wire n_19103;
+   wire n_19104;
+   wire n_19105;
+   wire n_19106;
+   wire n_19107;
+   wire n_19108;
+   wire n_19109;
+   wire n_19110;
+   wire n_19111;
+   wire n_19112;
+   wire n_19113;
+   wire n_19114;
+   wire n_19115;
+   wire n_19116;
+   wire n_19117;
+   wire n_19118;
+   wire n_19119;
+   wire n_19120;
+   wire n_19121;
+   wire n_19122;
+   wire n_19123;
+   wire n_19124;
+   wire n_19125;
+   wire n_19126;
+   wire n_19127;
+   wire n_19128;
+   wire n_19129;
+   wire n_19130;
+   wire n_19131;
+   wire n_19132;
+   wire n_19133;
+   wire n_19134;
+   wire n_19135;
+   wire n_19136;
+   wire n_19137;
+   wire n_19138;
+   wire n_19139;
+   wire n_19140;
+   wire n_19141;
+   wire n_19142;
+   wire n_19143;
+   wire n_19144;
+   wire n_19145;
+   wire n_19146;
+   wire n_19147;
+   wire n_19148;
+   wire n_19149;
+   wire n_19150;
+   wire n_19151;
+   wire n_19152;
+   wire n_19153;
+   wire n_19154;
+   wire n_19155;
+   wire n_19156;
+   wire n_19157;
+   wire n_19158;
+   wire n_19159;
+   wire n_19160;
+   wire n_19161;
+   wire n_19162;
+   wire n_19163;
+   wire n_19164;
+   wire n_19165;
+   wire n_19166;
+   wire n_19167;
+   wire n_19168;
+   wire n_19169;
+   wire n_19170;
+   wire n_19171;
+   wire n_19172;
+   wire n_19173;
+   wire n_19174;
+   wire n_19175;
+   wire n_19176;
+   wire n_19177;
+   wire n_19178;
+   wire n_19179;
+   wire n_19180;
+   wire n_19181;
+   wire n_19182;
+   wire n_19183;
+   wire n_19184;
+   wire n_19185;
+   wire n_19186;
+   wire n_19187;
+   wire n_19188;
+   wire n_19189;
+   wire n_19190;
+   wire n_19191;
+   wire n_19192;
+   wire n_19193;
+   wire n_19194;
+   wire n_19195;
+   wire n_19196;
+   wire n_19197;
+   wire n_19198;
+   wire n_19199;
+   wire n_19200;
+   wire n_19201;
+   wire n_19202;
+   wire n_19203;
+   wire n_19204;
+   wire n_19205;
+   wire n_19206;
+   wire n_19207;
+   wire n_19208;
+   wire n_19209;
+   wire n_19210;
+   wire n_19211;
+   wire n_19212;
+   wire n_19213;
+   wire n_19214;
+   wire n_19215;
+   wire n_19216;
+   wire n_19217;
+   wire n_19218;
+   wire n_19219;
+   wire n_19220;
+   wire n_19221;
+   wire n_19222;
+   wire n_19223;
+   wire n_19224;
+   wire n_19225;
+   wire n_19226;
+   wire n_19227;
+   wire n_19228;
+   wire n_19229;
+   wire n_19230;
+   wire n_19231;
+   wire n_19232;
+   wire n_19233;
+   wire n_19234;
+   wire n_19235;
+   wire n_19236;
+   wire n_19237;
+   wire n_19238;
+   wire n_19239;
+   wire n_19240;
+   wire n_19241;
+   wire n_19242;
+   wire n_19243;
+   wire n_19244;
+   wire n_19245;
+   wire n_19246;
+   wire n_19247;
+   wire n_19248;
+   wire n_19249;
+   wire n_19250;
+   wire n_19251;
+   wire n_19252;
+   wire n_19253;
+   wire n_19254;
+   wire n_19255;
+   wire n_19256;
+   wire n_19257;
+   wire n_19258;
+   wire n_19259;
+   wire n_19260;
+   wire n_19261;
+   wire n_19262;
+   wire n_19263;
+   wire n_19264;
+   wire n_19265;
+   wire n_19266;
+   wire n_19267;
+   wire n_19268;
+   wire n_19269;
+   wire n_19270;
+   wire n_19271;
+   wire n_19272;
+   wire n_19273;
+   wire n_19274;
+   wire n_19275;
+   wire n_19276;
+   wire n_19277;
+   wire n_19278;
+   wire n_19279;
+   wire n_19280;
+   wire n_19281;
+   wire n_19282;
+   wire n_19283;
+   wire n_19284;
+   wire n_19285;
+   wire n_19286;
+   wire n_19287;
+   wire n_19288;
+   wire n_19289;
+   wire n_19290;
+   wire n_19291;
+   wire n_19292;
+   wire n_19293;
+   wire n_19294;
+   wire n_19295;
+   wire n_19296;
+   wire n_19297;
+   wire n_19298;
+   wire n_19299;
+   wire n_19300;
+   wire n_19302;
+   wire n_19303;
+   wire n_19304;
+   wire n_19305;
+   wire n_19307;
+   wire n_19308;
+   wire n_19309;
+   wire n_19311;
+   wire n_19313;
+   wire n_19314;
+   wire n_19316;
+   wire n_19317;
+   wire n_19318;
+   wire n_19319;
+   wire n_19320;
+   wire n_19321;
+   wire n_19322;
+   wire n_19323;
+   wire n_19324;
+   wire n_19325;
+   wire n_19326;
+   wire n_19327;
+   wire n_19328;
+   wire n_19329;
+   wire n_19330;
+   wire n_19331;
+   wire n_19332;
+   wire n_19333;
+   wire n_19334;
+   wire n_19335;
+   wire n_19336;
+   wire n_19337;
+   wire n_19338;
+   wire n_19339;
+   wire n_19340;
+   wire n_19341;
+   wire n_19342;
+   wire n_19343;
+   wire n_19344;
+   wire n_19345;
+   wire n_19346;
+   wire n_19347;
+   wire n_19348;
+   wire n_19349;
+   wire n_19350;
+   wire n_19351;
+   wire n_19352;
+   wire n_19353;
+   wire n_19354;
+   wire n_19357;
+   wire n_19359;
+   wire n_19360;
+   wire n_19361;
+   wire n_19362;
+   wire n_19363;
+   wire n_19364;
+   wire n_19365;
+   wire n_19366;
+   wire n_19368;
+   wire n_19369;
+   wire n_19370;
+   wire n_19371;
+   wire n_19373;
+   wire n_19374;
+   wire n_19375;
+   wire n_19376;
+   wire n_19378;
+   wire n_19380;
+   wire n_19382;
+   wire n_19383;
+   wire n_19384;
+   wire n_19386;
+   wire n_19387;
+   wire n_19390;
+   wire n_19391;
+   wire n_19393;
+   wire n_19394;
+   wire n_19395;
+   wire n_19396;
+   wire n_19397;
+   wire n_19398;
+   wire n_19399;
+   wire n_19400;
+   wire n_19401;
+   wire n_19402;
+   wire n_19404;
+   wire n_19405;
+   wire n_19406;
+   wire n_19407;
+   wire n_19409;
+   wire n_19414;
+   wire n_19448;
+   wire n_19458;
+   wire n_19459;
+   wire n_19460;
+   wire n_19461;
+   wire n_19462;
+   wire n_19463;
+   wire n_19464;
+   wire n_19465;
+   wire n_19466;
+   wire n_19467;
+   wire n_19468;
+   wire n_19469;
+   wire n_19470;
+   wire n_19471;
+   wire n_19472;
+   wire n_19473;
+   wire n_19478;
+   wire n_19479;
+   wire n_19480;
+   wire n_19482;
+   wire n_19483;
+   wire n_19484;
+   wire n_19485;
+   wire n_19486;
+   wire n_19488;
+   wire n_19490;
+   wire n_19491;
+   wire n_19492;
+   wire n_19494;
+   wire n_19496;
+   wire n_19498;
+   wire n_19499;
+   wire n_19500;
+   wire n_19501;
+   wire n_19502;
+   wire n_19503;
+   wire n_19507;
+   wire n_19508;
+   wire n_19516;
+   wire n_19517;
+   wire n_19518;
+   wire n_19519;
+   wire n_19520;
+   wire n_19521;
+   wire n_19523;
+   wire n_19524;
+   wire n_19525;
+   wire n_19526;
+   wire n_19528;
+   wire n_19529;
+   wire n_19532;
+   wire n_19533;
+   wire n_19534;
+   wire n_19537;
+   wire n_19538;
+   wire n_19539;
+   wire n_19540;
+   wire n_19541;
+   wire n_19543;
+   wire n_19544;
+   wire n_19546;
+   wire n_19547;
+   wire n_19549;
+   wire n_19550;
+   wire n_19551;
+   wire n_19552;
+   wire n_19553;
+   wire n_19554;
+   wire n_19555;
+   wire n_19556;
+   wire n_19557;
+   wire n_19558;
+   wire n_19559;
+   wire n_19560;
+   wire n_19561;
+   wire n_19562;
+   wire n_19563;
+   wire n_19564;
+   wire n_19565;
+   wire n_19566;
+   wire n_19567;
+   wire n_19568;
+   wire n_19569;
+   wire n_19570;
+   wire n_19571;
+   wire n_19572;
+   wire n_19573;
+   wire n_19574;
+   wire n_19575;
+   wire n_19576;
+   wire n_19577;
+   wire n_19578;
+   wire n_19579;
+   wire n_19580;
+   wire n_19581;
+   wire n_19582;
+   wire n_19583;
+   wire n_19584;
+   wire n_19585;
+   wire n_19586;
+   wire n_19589;
+   wire n_19591;
+   wire n_19592;
+   wire n_19593;
+   wire n_19594;
+   wire n_19595;
+   wire n_19596;
+   wire n_19597;
+   wire n_19598;
+   wire n_19599;
+   wire n_19600;
+   wire n_19601;
+   wire n_19602;
+   wire n_19603;
+   wire n_19604;
+   wire n_19605;
+   wire n_19606;
+   wire n_19607;
+   wire n_19608;
+   wire n_19609;
+   wire n_19610;
+   wire n_19611;
+   wire n_19612;
+   wire n_19613;
+   wire n_19614;
+   wire n_19615;
+   wire n_19616;
+   wire n_19617;
+   wire n_19618;
+   wire n_19619;
+   wire n_19620;
+   wire n_19621;
+   wire n_19622;
+   wire n_19623;
+   wire n_19624;
+   wire n_19625;
+   wire n_19626;
+   wire n_19627;
+   wire n_19628;
+   wire n_19629;
+   wire n_19630;
+   wire n_19631;
+   wire n_19632;
+   wire n_19633;
+   wire n_19636;
+   wire n_19637;
+   wire n_19638;
+   wire n_19640;
+   wire n_19641;
+   wire n_19642;
+   wire n_19643;
+   wire n_19645;
+   wire n_19646;
+   wire n_19647;
+   wire n_19648;
+   wire n_19649;
+   wire n_19650;
+   wire n_19652;
+   wire n_19653;
+   wire n_19654;
+   wire n_19655;
+   wire n_19657;
+   wire n_19658;
+   wire n_19659;
+   wire n_19660;
+   wire n_19662;
+   wire n_19663;
+   wire n_19664;
+   wire n_19666;
+   wire n_19667;
+   wire n_19668;
+   wire n_19669;
+   wire n_19670;
+   wire n_19671;
+   wire n_19672;
+   wire n_19673;
+   wire n_19674;
+   wire n_19677;
+   wire n_19678;
+   wire n_19679;
+   wire n_19680;
+   wire n_19681;
+   wire n_19682;
+   wire n_19683;
+   wire n_19684;
+   wire n_19685;
+   wire n_19686;
+   wire n_19687;
+   wire n_19688;
+   wire n_19689;
+   wire n_19690;
+   wire n_19691;
+   wire n_19693;
+   wire n_19694;
+   wire n_19695;
+   wire n_19696;
+   wire n_19697;
+   wire n_19698;
+   wire n_19699;
+   wire n_19700;
+   wire n_19702;
+   wire n_19703;
+   wire n_19704;
+   wire n_19705;
+   wire n_19706;
+   wire n_19707;
+   wire n_19708;
+   wire n_19710;
+   wire n_19711;
+   wire n_19714;
+   wire n_19715;
+   wire n_19716;
+   wire n_19717;
+   wire n_19718;
+   wire n_19719;
+   wire n_19720;
+   wire n_19721;
+   wire n_19722;
+   wire n_19723;
+   wire n_19724;
+   wire n_19725;
+   wire n_19727;
+   wire n_19728;
+   wire n_19729;
+   wire n_19730;
+   wire n_19731;
+   wire n_19732;
+   wire n_19733;
+   wire n_19734;
+   wire n_19735;
+   wire n_19736;
+   wire n_19737;
+   wire n_19738;
+   wire n_19740;
+   wire n_19741;
+   wire n_19742;
+   wire n_19743;
+   wire n_19744;
+   wire n_19750;
+   wire n_19759;
+   wire n_19760;
+   wire n_19761;
+   wire n_19762;
+   wire n_19763;
+   wire n_19764;
+   wire n_19778;
+   wire n_19779;
+   wire n_19780;
+   wire n_19781;
+   wire n_19783;
+   wire n_19785;
+   wire n_19786;
+   wire n_19787;
+   wire n_19788;
+   wire n_19789;
+   wire n_19790;
+   wire n_19791;
+   wire n_19792;
+   wire n_19793;
+   wire n_19794;
+   wire n_19795;
+   wire n_19797;
+   wire n_19798;
+   wire n_19799;
+   wire n_19800;
+   wire n_19801;
+   wire n_19802;
+   wire n_19803;
+   wire n_19804;
+   wire n_19805;
+   wire n_19806;
+   wire n_19807;
+   wire n_19808;
+   wire n_19809;
+   wire n_19810;
+   wire n_19811;
+   wire n_19812;
+   wire n_19813;
+   wire n_19814;
+   wire n_19815;
+   wire n_19816;
+   wire n_19818;
+   wire n_19819;
+   wire n_19820;
+   wire n_19821;
+   wire n_19822;
+   wire n_19823;
+   wire n_19824;
+   wire n_19825;
+   wire n_19826;
+   wire n_19827;
+   wire n_19828;
+   wire n_19829;
+   wire n_19830;
+   wire n_19831;
+   wire n_19832;
+   wire n_19833;
+   wire n_19834;
+   wire n_19835;
+   wire n_19836;
+   wire n_19838;
+   wire n_19839;
+   wire n_19840;
+   wire n_19841;
+   wire n_19842;
+   wire n_19843;
+   wire n_19844;
+   wire n_19845;
+   wire n_19846;
+   wire n_19847;
+   wire n_19848;
+   wire n_19849;
+   wire n_19850;
+   wire n_19851;
+   wire n_19852;
+   wire n_19853;
+   wire n_19854;
+   wire n_19855;
+   wire n_19856;
+   wire n_19857;
+   wire n_19858;
+   wire n_19859;
+   wire n_19860;
+   wire n_19861;
+   wire n_19862;
+   wire n_19863;
+   wire n_19864;
+   wire n_19865;
+   wire n_19866;
+   wire n_19867;
+   wire n_19868;
+   wire n_19869;
+   wire n_19870;
+   wire n_19871;
+   wire n_19872;
+   wire n_19873;
+   wire n_19874;
+   wire n_19875;
+   wire n_19876;
+   wire n_19877;
+   wire n_19878;
+   wire n_19879;
+   wire n_19880;
+   wire n_19881;
+   wire n_19882;
+   wire n_19883;
+   wire n_19884;
+   wire n_19885;
+   wire n_19886;
+   wire n_19887;
+   wire n_19888;
+   wire n_19889;
+   wire n_19890;
+   wire n_19891;
+   wire n_19892;
+   wire n_19893;
+   wire n_19894;
+   wire n_19895;
+   wire n_19896;
+   wire n_19897;
+   wire n_19898;
+   wire n_19899;
+   wire n_19900;
+   wire n_19901;
+   wire n_19902;
+   wire n_19903;
+   wire n_19904;
+   wire n_19905;
+   wire n_19906;
+   wire n_19907;
+   wire n_19908;
+   wire n_19909;
+   wire n_19910;
+   wire n_19911;
+   wire n_19912;
+   wire n_19913;
+   wire n_19914;
+   wire n_19915;
+   wire n_19916;
+   wire n_19917;
+   wire n_19918;
+   wire n_19919;
+   wire n_19920;
+   wire n_19921;
+   wire n_19922;
+   wire n_19923;
+   wire n_19924;
+   wire n_19925;
+   wire n_19926;
+   wire n_19927;
+   wire n_19928;
+   wire n_19929;
+   wire n_19930;
+   wire n_19932;
+   wire n_19933;
+   wire n_19934;
+   wire n_19935;
+   wire n_19936;
+   wire n_19937;
+   wire n_19938;
+   wire n_19939;
+   wire n_19940;
+   wire n_19941;
+   wire n_19942;
+   wire n_19943;
+   wire n_19944;
+   wire n_19945;
+   wire n_19946;
+   wire n_19947;
+   wire n_19948;
+   wire n_19949;
+   wire n_19950;
+   wire n_19951;
+   wire n_19952;
+   wire n_19953;
+   wire n_19954;
+   wire n_19955;
+   wire n_19956;
+   wire n_19957;
+   wire n_19958;
+   wire n_19959;
+   wire n_19960;
+   wire n_19961;
+   wire n_19962;
+   wire n_19963;
+   wire n_19964;
+   wire n_19965;
+   wire n_19966;
+   wire n_19967;
+   wire n_19968;
+   wire n_19969;
+   wire n_19970;
+   wire n_19971;
+   wire n_19972;
+   wire n_19973;
+   wire n_19974;
+   wire n_19975;
+   wire n_19976;
+   wire n_19977;
+   wire n_19978;
+   wire n_19979;
+   wire n_19980;
+   wire n_19981;
+   wire n_19982;
+   wire n_19983;
+   wire n_19984;
+   wire n_19985;
+   wire n_19986;
+   wire n_19987;
+   wire n_19988;
+   wire n_19989;
+   wire n_19990;
+   wire n_19991;
+   wire n_19992;
+   wire n_19993;
+   wire n_19994;
+   wire n_19995;
+   wire n_19996;
+   wire n_19997;
+   wire n_19998;
+   wire n_19999;
+   wire n_20000;
+   wire n_20001;
+   wire n_20002;
+   wire n_20003;
+   wire n_20004;
+   wire n_20005;
+   wire n_20006;
+   wire n_20007;
+   wire n_20008;
+   wire n_20009;
+   wire n_20010;
+   wire n_20011;
+   wire n_20012;
+   wire n_20013;
+   wire n_20015;
+   wire n_20016;
+   wire n_20017;
+   wire n_20018;
+   wire n_20019;
+   wire n_20020;
+   wire n_20021;
+   wire n_20022;
+   wire n_20023;
+   wire n_20024;
+   wire n_20025;
+   wire n_20026;
+   wire n_20027;
+   wire n_20028;
+   wire n_20029;
+   wire n_20030;
+   wire n_20031;
+   wire n_20032;
+   wire n_20033;
+   wire n_20034;
+   wire n_20035;
+   wire n_20036;
+   wire n_20038;
+   wire n_20039;
+   wire n_20040;
+   wire n_20041;
+   wire n_20042;
+   wire n_20043;
+   wire n_20044;
+   wire n_20045;
+   wire n_20046;
+   wire n_20047;
+   wire n_20048;
+   wire n_20049;
+   wire n_20050;
+   wire n_20051;
+   wire n_20052;
+   wire n_20053;
+   wire n_20054;
+   wire n_20055;
+   wire n_20056;
+   wire n_20057;
+   wire n_20058;
+   wire n_20059;
+   wire n_20060;
+   wire n_20061;
+   wire n_20062;
+   wire n_20063;
+   wire n_20064;
+   wire n_20065;
+   wire n_20066;
+   wire n_20067;
+   wire n_20068;
+   wire n_20069;
+   wire n_20070;
+   wire n_20071;
+   wire n_20072;
+   wire n_20073;
+   wire n_20074;
+   wire n_20075;
+   wire n_20076;
+   wire n_20077;
+   wire n_20080;
+   wire n_20081;
+   wire n_20082;
+   wire n_20083;
+   wire n_20084;
+   wire n_20085;
+   wire n_20086;
+   wire n_20087;
+   wire n_20088;
+   wire n_20089;
+   wire n_20090;
+   wire n_20091;
+   wire n_20092;
+   wire n_20093;
+   wire n_20094;
+   wire n_20095;
+   wire n_20096;
+   wire n_20097;
+   wire n_20098;
+   wire n_20099;
+   wire n_20100;
+   wire n_20101;
+   wire n_20102;
+   wire n_20103;
+   wire n_20104;
+   wire n_20105;
+   wire n_20106;
+   wire n_20107;
+   wire n_20108;
+   wire n_20109;
+   wire n_20110;
+   wire n_20111;
+   wire n_20112;
+   wire n_20113;
+   wire n_20114;
+   wire n_20115;
+   wire n_20116;
+   wire n_20117;
+   wire n_20118;
+   wire n_20119;
+   wire n_20120;
+   wire n_20121;
+   wire n_20122;
+   wire n_20123;
+   wire n_20124;
+   wire n_20125;
+   wire n_20126;
+   wire n_20127;
+   wire n_20128;
+   wire n_20129;
+   wire n_20130;
+   wire n_20131;
+   wire n_20132;
+   wire n_20133;
+   wire n_20134;
+   wire n_20135;
+   wire n_20136;
+   wire n_20137;
+   wire n_20138;
+   wire n_20140;
+   wire n_20141;
+   wire n_20142;
+   wire n_20143;
+   wire n_20144;
+   wire n_20145;
+   wire n_20147;
+   wire n_20148;
+   wire n_20149;
+   wire n_20151;
+   wire n_20153;
+   wire n_20154;
+   wire n_20157;
+   wire n_20158;
+   wire n_20161;
+   wire n_20162;
+   wire n_20163;
+   wire n_20165;
+   wire n_20168;
+   wire n_20169;
+   wire n_20170;
+   wire n_20174;
+   wire n_20176;
+   wire n_20177;
+   wire n_20178;
+   wire n_20180;
+   wire n_20182;
+   wire n_20183;
+   wire n_20184;
+   wire n_20187;
+   wire n_20189;
+   wire n_20191;
+   wire n_20194;
+   wire n_20195;
+   wire n_20196;
+   wire n_20197;
+   wire n_20198;
+   wire n_20199;
+   wire n_20202;
+   wire n_20203;
+   wire n_20204;
+   wire n_20205;
+   wire n_20206;
+   wire n_20207;
+   wire n_20208;
+   wire n_20209;
+   wire n_20210;
+   wire n_20211;
+   wire n_20212;
+   wire n_20214;
+   wire n_20215;
+   wire n_20219;
+   wire n_20220;
+   wire n_20221;
+   wire n_20223;
+   wire n_20224;
+   wire n_20225;
+   wire n_20226;
+   wire n_20229;
+   wire n_20231;
+   wire n_20232;
+   wire n_20233;
+   wire n_20236;
+   wire n_20237;
+   wire n_20238;
+   wire n_20239;
+   wire n_20240;
+   wire n_20241;
+   wire n_20242;
+   wire n_20243;
+   wire n_20244;
+   wire n_20245;
+   wire n_20246;
+   wire n_20247;
+   wire n_20248;
+   wire n_20249;
+   wire n_20250;
+   wire n_20251;
+   wire n_20253;
+   wire n_20254;
+   wire n_20255;
+   wire n_20256;
+   wire n_20258;
+   wire n_20259;
+   wire n_20260;
+   wire n_20261;
+   wire n_20262;
+   wire n_20263;
+   wire n_20264;
+   wire n_20265;
+   wire n_20266;
+   wire n_20267;
+   wire n_20268;
+   wire n_20269;
+   wire n_20270;
+   wire n_20271;
+   wire n_20272;
+   wire n_20273;
+   wire n_20274;
+   wire n_20275;
+   wire n_20276;
+   wire n_20277;
+   wire n_20278;
+   wire n_20279;
+   wire n_20280;
+   wire n_20281;
+   wire n_20282;
+   wire n_20283;
+   wire n_20284;
+   wire n_20285;
+   wire n_20286;
+   wire n_20287;
+   wire n_20288;
+   wire n_20289;
+   wire n_20290;
+   wire n_20291;
+   wire n_20292;
+   wire n_20293;
+   wire n_20294;
+   wire n_20295;
+   wire n_20296;
+   wire n_20297;
+   wire n_20298;
+   wire n_20299;
+   wire n_20300;
+   wire n_20301;
+   wire n_20302;
+   wire n_20303;
+   wire n_20304;
+   wire n_20305;
+   wire n_20306;
+   wire n_20307;
+   wire n_20308;
+   wire n_20309;
+   wire n_20310;
+   wire n_20311;
+   wire n_20312;
+   wire n_20313;
+   wire n_20314;
+   wire n_20315;
+   wire n_20316;
+   wire n_20317;
+   wire n_20318;
+   wire n_20319;
+   wire n_20320;
+   wire n_20321;
+   wire n_20322;
+   wire n_20323;
+   wire n_20324;
+   wire n_20325;
+   wire n_20326;
+   wire n_20327;
+   wire n_20328;
+   wire n_20329;
+   wire n_20330;
+   wire n_20331;
+   wire n_20332;
+   wire n_20333;
+   wire n_20334;
+   wire n_20335;
+   wire n_20336;
+   wire n_20337;
+   wire n_20338;
+   wire n_20339;
+   wire n_20340;
+   wire n_20341;
+   wire n_20342;
+   wire n_20343;
+   wire n_20344;
+   wire n_20345;
+   wire n_20346;
+   wire n_20347;
+   wire n_20348;
+   wire n_20349;
+   wire n_20350;
+   wire n_20351;
+   wire n_20352;
+   wire n_20353;
+   wire n_20354;
+   wire n_20355;
+   wire n_20356;
+   wire n_20357;
+   wire n_20358;
+   wire n_20359;
+   wire n_20360;
+   wire n_20361;
+   wire n_20362;
+   wire n_20363;
+   wire n_20364;
+   wire n_20365;
+   wire n_20366;
+   wire n_20367;
+   wire n_20368;
+   wire n_20369;
+   wire n_20370;
+   wire n_20371;
+   wire n_20372;
+   wire n_20373;
+   wire n_20374;
+   wire n_20375;
+   wire n_20376;
+   wire n_20377;
+   wire n_20378;
+   wire n_20379;
+   wire n_20380;
+   wire n_20381;
+   wire n_20382;
+   wire n_20383;
+   wire n_20384;
+   wire n_20385;
+   wire n_20386;
+   wire n_20387;
+   wire n_20388;
+   wire n_20389;
+   wire n_20390;
+   wire n_20391;
+   wire n_20392;
+   wire n_20393;
+   wire n_20394;
+   wire n_20395;
+   wire n_20396;
+   wire n_20397;
+   wire n_20398;
+   wire n_20399;
+   wire n_20400;
+   wire n_20401;
+   wire n_20402;
+   wire n_20403;
+   wire n_20404;
+   wire n_20405;
+   wire n_20406;
+   wire n_20407;
+   wire n_20408;
+   wire n_20409;
+   wire n_20410;
+   wire n_20411;
+   wire n_20412;
+   wire n_20413;
+   wire n_20414;
+   wire n_20415;
+   wire n_20416;
+   wire n_20417;
+   wire n_20418;
+   wire n_20419;
+   wire n_20420;
+   wire n_20421;
+   wire n_20422;
+   wire n_20423;
+   wire n_20424;
+   wire n_20425;
+   wire n_20426;
+   wire n_20427;
+   wire n_20428;
+   wire n_20429;
+   wire n_20430;
+   wire n_20431;
+   wire n_20432;
+   wire n_20433;
+   wire n_20434;
+   wire n_20435;
+   wire n_20436;
+   wire n_20437;
+   wire n_20438;
+   wire n_20439;
+   wire n_20440;
+   wire n_20441;
+   wire n_20442;
+   wire n_20443;
+   wire n_20444;
+   wire n_20445;
+   wire n_20446;
+   wire n_20447;
+   wire n_20448;
+   wire n_20449;
+   wire n_20450;
+   wire n_20451;
+   wire n_20452;
+   wire n_20453;
+   wire n_20454;
+   wire n_20455;
+   wire n_20456;
+   wire n_20457;
+   wire n_20458;
+   wire n_20459;
+   wire n_20460;
+   wire n_20461;
+   wire n_20462;
+   wire n_20463;
+   wire n_20464;
+   wire n_20465;
+   wire n_20466;
+   wire n_20467;
+   wire n_20468;
+   wire n_20469;
+   wire n_20470;
+   wire n_20471;
+   wire n_20472;
+   wire n_20473;
+   wire n_20474;
+   wire n_20475;
+   wire n_20476;
+   wire n_20477;
+   wire n_20478;
+   wire n_20479;
+   wire n_20480;
+   wire n_20481;
+   wire n_20482;
+   wire n_20483;
+   wire n_20484;
+   wire n_20485;
+   wire n_20486;
+   wire n_20487;
+   wire n_20488;
+   wire n_20489;
+   wire n_20490;
+   wire n_20491;
+   wire n_20492;
+   wire n_20493;
+   wire n_20494;
+   wire n_20495;
+   wire n_20496;
+   wire n_20497;
+   wire n_20498;
+   wire n_20499;
+   wire n_20500;
+   wire n_20501;
+   wire n_20502;
+   wire n_20503;
+   wire n_20504;
+   wire n_20505;
+   wire n_20506;
+   wire n_20507;
+   wire n_20508;
+   wire n_20509;
+   wire n_20510;
+   wire n_20511;
+   wire n_20512;
+   wire n_20513;
+   wire n_20514;
+   wire n_20515;
+   wire n_20516;
+   wire n_20517;
+   wire n_20518;
+   wire n_20519;
+   wire n_20520;
+   wire n_20521;
+   wire n_20522;
+   wire n_20523;
+   wire n_20524;
+   wire n_20525;
+   wire n_20526;
+   wire n_20527;
+   wire n_20528;
+   wire n_20529;
+   wire n_20530;
+   wire n_20531;
+   wire n_20532;
+   wire n_20533;
+   wire n_20534;
+   wire n_20535;
+   wire n_20536;
+   wire n_20537;
+   wire n_20538;
+   wire n_20539;
+   wire n_20540;
+   wire n_20541;
+   wire n_20542;
+   wire n_20543;
+   wire n_20544;
+   wire n_20545;
+   wire n_20546;
+   wire n_20547;
+   wire n_20548;
+   wire n_20549;
+   wire n_20550;
+   wire n_20551;
+   wire n_20552;
+   wire n_20553;
+   wire n_20554;
+   wire n_20555;
+   wire n_20556;
+   wire n_20557;
+   wire n_20558;
+   wire n_20559;
+   wire n_20560;
+   wire n_20561;
+   wire n_20562;
+   wire n_20563;
+   wire n_20564;
+   wire n_20565;
+   wire n_20566;
+   wire n_20567;
+   wire n_20568;
+   wire n_20569;
+   wire n_20570;
+   wire n_20571;
+   wire n_20572;
+   wire n_20573;
+   wire n_20574;
+   wire n_20575;
+   wire n_20576;
+   wire n_20577;
+   wire n_20578;
+   wire n_20579;
+   wire n_20580;
+   wire n_20581;
+   wire n_20582;
+   wire n_20583;
+   wire n_20584;
+   wire n_20585;
+   wire n_20586;
+   wire n_20587;
+   wire n_20588;
+   wire n_20589;
+   wire n_20590;
+   wire n_20591;
+   wire n_20592;
+   wire n_20593;
+   wire n_20594;
+   wire n_20595;
+   wire n_20596;
+   wire n_20597;
+   wire n_20598;
+   wire n_20599;
+   wire n_20600;
+   wire n_20601;
+   wire n_20602;
+   wire n_20603;
+   wire n_20604;
+   wire n_20605;
+   wire n_20606;
+   wire n_20607;
+   wire n_20608;
+   wire n_20609;
+   wire n_20610;
+   wire n_20611;
+   wire n_20612;
+   wire n_20613;
+   wire n_20614;
+   wire n_20615;
+   wire n_20616;
+   wire n_20617;
+   wire n_20618;
+   wire n_20619;
+   wire n_20620;
+   wire n_20621;
+   wire n_20622;
+   wire n_20623;
+   wire n_20624;
+   wire n_20625;
+   wire n_20626;
+   wire n_20627;
+   wire n_20628;
+   wire n_20629;
+   wire n_20630;
+   wire n_20631;
+   wire n_20632;
+   wire n_20633;
+   wire n_20634;
+   wire n_20635;
+   wire n_20636;
+   wire n_20637;
+   wire n_20638;
+   wire n_20639;
+   wire n_20640;
+   wire n_20641;
+   wire n_20642;
+   wire n_20643;
+   wire n_20644;
+   wire n_20645;
+   wire n_20646;
+   wire n_20647;
+   wire n_20648;
+   wire n_20649;
+   wire n_20650;
+   wire n_20651;
+   wire n_20652;
+   wire n_20653;
+   wire n_20654;
+   wire n_20655;
+   wire n_20656;
+   wire n_20657;
+   wire n_20658;
+   wire n_20659;
+   wire n_20660;
+   wire n_20661;
+   wire n_20662;
+   wire n_20663;
+   wire n_20664;
+   wire n_20665;
+   wire n_20666;
+   wire n_20667;
+   wire n_20668;
+   wire n_20669;
+   wire n_20670;
+   wire n_20671;
+   wire n_20672;
+   wire n_20673;
+   wire n_20674;
+   wire n_20675;
+   wire n_20676;
+   wire n_20677;
+   wire n_20678;
+   wire n_20679;
+   wire n_20680;
+   wire n_20681;
+   wire n_20682;
+   wire n_20683;
+   wire n_20684;
+   wire n_20685;
+   wire n_20686;
+   wire n_20687;
+   wire n_20688;
+   wire n_20689;
+   wire n_20690;
+   wire n_20691;
+   wire n_20692;
+   wire n_20693;
+   wire n_20694;
+   wire n_20695;
+   wire n_20696;
+   wire n_20697;
+   wire n_20698;
+   wire n_20699;
+   wire n_20700;
+   wire n_20701;
+   wire n_20702;
+   wire n_20703;
+   wire n_20704;
+   wire n_20705;
+   wire n_20706;
+   wire n_20707;
+   wire n_20708;
+   wire n_20709;
+   wire n_20710;
+   wire n_20711;
+   wire n_20712;
+   wire n_20713;
+   wire n_20714;
+   wire n_20715;
+   wire n_20716;
+   wire n_20717;
+   wire n_20718;
+   wire n_20719;
+   wire n_20720;
+   wire n_20721;
+   wire n_20722;
+   wire n_20723;
+   wire n_20724;
+   wire n_20725;
+   wire n_20726;
+   wire n_20727;
+   wire n_20728;
+   wire n_20729;
+   wire n_20730;
+   wire n_20731;
+   wire n_20732;
+   wire n_20733;
+   wire n_20734;
+   wire n_20735;
+   wire n_20736;
+   wire n_20737;
+   wire n_20738;
+   wire n_20739;
+   wire n_20740;
+   wire n_20741;
+   wire n_20742;
+   wire n_20743;
+   wire n_20744;
+   wire n_20745;
+   wire n_20746;
+   wire n_20747;
+   wire n_20748;
+   wire n_20749;
+   wire n_20750;
+   wire n_20751;
+   wire n_20752;
+   wire n_20753;
+   wire n_20754;
+   wire n_20755;
+   wire n_20756;
+   wire n_20757;
+   wire n_20758;
+   wire n_20759;
+   wire n_20760;
+   wire n_20761;
+   wire n_20762;
+   wire n_20763;
+   wire n_20764;
+   wire n_20765;
+   wire n_20766;
+   wire n_20767;
+   wire n_20768;
+   wire n_20769;
+   wire n_20770;
+   wire n_20771;
+   wire n_20772;
+   wire n_20773;
+   wire n_20774;
+   wire n_20775;
+   wire n_20776;
+   wire n_20777;
+   wire n_20778;
+   wire n_20779;
+   wire n_20780;
+   wire n_20781;
+   wire n_20782;
+   wire n_20783;
+   wire n_20784;
+   wire n_20785;
+   wire n_20786;
+   wire n_20787;
+   wire n_20788;
+   wire n_20789;
+   wire n_20790;
+   wire n_20791;
+   wire n_20792;
+   wire n_20793;
+   wire n_20794;
+   wire n_20795;
+   wire n_20796;
+   wire n_20797;
+   wire n_20798;
+   wire n_20799;
+   wire n_20800;
+   wire n_20801;
+   wire n_20802;
+   wire n_20803;
+   wire n_20804;
+   wire n_20805;
+   wire n_20806;
+   wire n_20807;
+   wire n_20808;
+   wire n_20809;
+   wire n_20810;
+   wire n_20811;
+   wire n_20812;
+   wire n_20813;
+   wire n_20814;
+   wire n_20815;
+   wire n_20816;
+   wire n_20817;
+   wire n_20818;
+   wire n_20819;
+   wire n_20820;
+   wire n_20821;
+   wire n_20822;
+   wire n_20823;
+   wire n_20824;
+   wire n_20825;
+   wire n_20826;
+   wire n_20827;
+   wire n_20828;
+   wire n_20829;
+   wire n_20830;
+   wire n_20831;
+   wire n_20832;
+   wire n_20833;
+   wire n_20834;
+   wire n_20835;
+   wire n_20836;
+   wire n_20837;
+   wire n_20838;
+   wire n_20839;
+   wire n_20840;
+   wire n_20841;
+   wire n_20842;
+   wire n_20843;
+   wire n_20844;
+   wire n_20845;
+   wire n_20846;
+   wire n_20847;
+   wire n_20848;
+   wire n_20849;
+   wire n_20850;
+   wire n_20851;
+   wire n_20852;
+   wire n_20853;
+   wire n_20854;
+   wire n_20855;
+   wire n_20856;
+   wire n_20857;
+   wire n_20858;
+   wire n_20859;
+   wire n_20860;
+   wire n_20861;
+   wire n_20862;
+   wire n_20863;
+   wire n_20864;
+   wire n_20865;
+   wire n_20866;
+   wire n_20867;
+   wire n_20868;
+   wire n_20869;
+   wire n_20870;
+   wire n_20871;
+   wire n_20872;
+   wire n_20873;
+   wire n_20874;
+   wire n_20875;
+   wire n_20876;
+   wire n_20877;
+   wire n_20878;
+   wire n_20879;
+   wire n_20880;
+   wire n_20881;
+   wire n_20882;
+   wire n_20883;
+   wire n_20884;
+   wire n_20885;
+   wire n_20886;
+   wire n_20887;
+   wire n_20888;
+   wire n_20889;
+   wire n_20890;
+   wire n_20891;
+   wire n_20892;
+   wire n_20893;
+   wire n_20894;
+   wire n_20895;
+   wire n_20896;
+   wire n_20897;
+   wire n_20898;
+   wire n_20899;
+   wire n_20900;
+   wire n_20901;
+   wire n_20902;
+   wire n_20903;
+   wire n_20904;
+   wire n_20905;
+   wire n_20906;
+   wire n_20907;
+   wire n_20908;
+   wire n_20909;
+   wire n_20910;
+   wire n_20911;
+   wire n_20912;
+   wire n_20913;
+   wire n_20914;
+   wire n_20915;
+   wire n_20916;
+   wire n_20917;
+   wire n_20918;
+   wire n_20919;
+   wire n_20920;
+   wire n_20921;
+   wire n_20922;
+   wire n_20923;
+   wire n_20924;
+   wire n_20925;
+   wire n_20926;
+   wire n_20927;
+   wire n_20928;
+   wire n_20929;
+   wire n_20930;
+   wire n_20931;
+   wire n_20932;
+   wire n_20933;
+   wire n_20934;
+   wire n_20935;
+   wire n_20936;
+   wire n_20937;
+   wire n_20938;
+   wire n_20939;
+   wire n_20940;
+   wire n_20941;
+   wire n_20942;
+   wire n_20943;
+   wire n_20944;
+   wire n_20945;
+   wire n_20946;
+   wire n_20947;
+   wire n_20948;
+   wire n_20949;
+   wire n_20950;
+   wire n_20951;
+   wire n_20952;
+   wire n_20953;
+   wire n_20954;
+   wire n_20955;
+   wire n_20956;
+   wire n_20957;
+   wire n_20958;
+   wire n_20959;
+   wire n_20960;
+   wire n_20961;
+   wire n_20962;
+   wire n_20963;
+   wire n_20964;
+   wire n_20965;
+   wire n_20966;
+   wire n_20967;
+   wire n_20968;
+   wire n_20969;
+   wire n_20970;
+   wire n_20971;
+   wire n_20972;
+   wire n_20973;
+   wire n_20974;
+   wire n_20975;
+   wire n_20976;
+   wire n_20977;
+   wire n_20978;
+   wire n_20979;
+   wire n_20980;
+   wire n_20981;
+   wire n_20982;
+   wire n_20983;
+   wire n_20984;
+   wire n_20985;
+   wire n_20986;
+   wire n_20987;
+   wire n_20988;
+   wire n_20989;
+   wire n_20990;
+   wire n_20991;
+   wire n_20992;
+   wire n_20993;
+   wire n_20994;
+   wire n_20995;
+   wire n_20996;
+   wire n_20997;
+   wire n_20998;
+   wire n_20999;
+   wire n_21000;
+   wire n_21001;
+   wire n_21002;
+   wire n_21003;
+   wire n_21004;
+   wire n_21005;
+   wire n_21006;
+   wire n_21007;
+   wire n_21008;
+   wire n_21009;
+   wire n_21010;
+   wire n_21011;
+   wire n_21012;
+   wire n_21013;
+   wire n_21014;
+   wire n_21015;
+   wire n_21016;
+   wire n_21017;
+   wire n_21018;
+   wire n_21019;
+   wire n_21020;
+   wire n_21021;
+   wire n_21022;
+   wire n_21023;
+   wire n_21024;
+   wire n_21025;
+   wire n_21026;
+   wire n_21027;
+   wire n_21028;
+   wire n_21029;
+   wire n_21030;
+   wire n_21031;
+   wire n_21032;
+   wire n_21033;
+   wire n_21034;
+   wire n_21035;
+   wire n_21036;
+   wire n_21037;
+   wire n_21038;
+   wire n_21039;
+   wire n_21040;
+   wire n_21041;
+   wire n_21042;
+   wire n_21043;
+   wire n_21044;
+   wire n_21045;
+   wire n_21046;
+   wire n_21047;
+   wire n_21048;
+   wire n_21049;
+   wire n_21050;
+   wire n_21051;
+   wire n_21052;
+   wire n_21053;
+   wire n_21054;
+   wire n_21055;
+   wire n_21056;
+   wire n_21057;
+   wire n_21058;
+   wire n_21059;
+   wire n_21060;
+   wire n_21061;
+   wire n_21062;
+   wire n_21063;
+   wire n_21064;
+   wire n_21065;
+   wire n_21066;
+   wire n_21067;
+   wire n_21068;
+   wire n_21069;
+   wire n_21070;
+   wire n_21071;
+   wire n_21072;
+   wire n_21073;
+   wire n_21074;
+   wire n_21075;
+   wire n_21076;
+   wire n_21077;
+   wire n_21078;
+   wire n_21079;
+   wire n_21080;
+   wire n_21081;
+   wire n_21082;
+   wire n_21083;
+   wire n_21084;
+   wire n_21085;
+   wire n_21086;
+   wire n_21087;
+   wire n_21088;
+   wire n_21089;
+   wire n_21090;
+   wire n_21091;
+   wire n_21092;
+   wire n_21093;
+   wire n_21094;
+   wire n_21095;
+   wire n_21096;
+   wire n_21097;
+   wire n_21098;
+   wire n_21099;
+   wire n_21100;
+   wire n_21101;
+   wire n_21102;
+   wire n_21103;
+   wire n_21104;
+   wire n_21105;
+   wire n_21106;
+   wire n_21107;
+   wire n_21108;
+   wire n_21109;
+   wire n_21110;
+   wire n_21111;
+   wire n_21112;
+   wire n_21113;
+   wire n_21114;
+   wire n_21115;
+   wire n_21116;
+   wire n_21117;
+   wire n_21118;
+   wire n_21119;
+   wire n_21120;
+   wire n_21121;
+   wire n_21122;
+   wire n_21123;
+   wire n_21124;
+   wire n_21125;
+   wire n_21126;
+   wire n_21127;
+   wire n_21128;
+   wire n_21129;
+   wire n_21130;
+   wire n_21131;
+   wire n_21132;
+   wire n_21133;
+   wire n_21134;
+   wire n_21135;
+   wire n_21136;
+   wire n_21137;
+   wire n_21138;
+   wire n_21139;
+   wire n_21140;
+   wire n_21141;
+   wire n_21142;
+   wire n_21143;
+   wire n_21144;
+   wire n_21145;
+   wire n_21146;
+   wire n_21147;
+   wire n_21148;
+   wire n_21149;
+   wire n_21150;
+   wire n_21151;
+   wire n_21152;
+   wire n_21153;
+   wire n_21154;
+   wire n_21155;
+   wire n_21156;
+   wire n_21157;
+   wire n_21158;
+   wire n_21159;
+   wire n_21160;
+   wire n_21161;
+   wire n_21162;
+   wire n_21163;
+   wire n_21164;
+   wire n_21165;
+   wire n_21166;
+   wire n_21167;
+   wire n_21168;
+   wire n_21169;
+   wire n_21170;
+   wire n_21171;
+   wire n_21172;
+   wire n_21173;
+   wire n_21174;
+   wire n_21175;
+   wire n_21176;
+   wire n_21177;
+   wire n_21178;
+   wire n_21179;
+   wire n_21180;
+   wire n_21181;
+   wire n_21182;
+   wire n_21183;
+   wire n_21184;
+   wire n_21185;
+   wire n_21186;
+   wire n_21187;
+   wire n_21188;
+   wire n_21189;
+   wire n_21190;
+   wire n_21191;
+   wire n_21192;
+   wire n_21193;
+   wire n_21194;
+   wire n_21195;
+   wire n_21196;
+   wire n_21197;
+   wire n_21198;
+   wire n_21199;
+   wire n_21200;
+   wire n_21201;
+   wire n_21202;
+   wire n_21203;
+   wire n_21204;
+   wire n_21205;
+   wire n_21206;
+   wire n_21207;
+   wire n_21208;
+   wire n_21209;
+   wire n_21210;
+   wire n_21211;
+   wire n_21212;
+   wire n_21213;
+   wire n_21214;
+   wire n_21215;
+   wire n_21216;
+   wire n_21217;
+   wire n_21218;
+   wire n_21219;
+   wire n_21220;
+   wire n_21221;
+   wire n_21222;
+   wire n_21223;
+   wire n_21224;
+   wire n_21225;
+   wire n_21226;
+   wire n_21227;
+   wire n_21228;
+   wire n_21229;
+   wire n_21230;
+   wire n_21231;
+   wire n_21232;
+   wire n_21233;
+   wire n_21234;
+   wire n_21235;
+   wire n_21236;
+   wire n_21237;
+   wire n_21238;
+   wire n_21239;
+   wire n_21240;
+   wire n_21241;
+   wire n_21242;
+   wire n_21243;
+   wire n_21244;
+   wire n_21245;
+   wire n_21246;
+   wire n_21247;
+   wire n_21248;
+   wire n_21249;
+   wire n_21250;
+   wire n_21251;
+   wire n_21252;
+   wire n_21253;
+   wire n_21254;
+   wire n_21255;
+   wire n_21256;
+   wire n_21257;
+   wire n_21258;
+   wire n_21259;
+   wire n_21260;
+   wire n_21261;
+   wire n_21262;
+   wire n_21263;
+   wire n_21264;
+   wire n_21265;
+   wire n_21266;
+   wire n_21267;
+   wire n_21268;
+   wire n_21269;
+   wire n_21270;
+   wire n_21271;
+   wire n_21272;
+   wire n_21273;
+   wire n_21274;
+   wire n_21275;
+   wire n_21276;
+   wire n_21277;
+   wire n_21278;
+   wire n_21279;
+   wire n_21280;
+   wire n_21281;
+   wire n_21282;
+   wire n_21283;
+   wire n_21284;
+   wire n_21285;
+   wire n_21286;
+   wire n_21287;
+   wire n_21288;
+   wire n_21289;
+   wire n_21290;
+   wire n_21291;
+   wire n_21292;
+   wire n_21293;
+   wire n_21294;
+   wire n_21295;
+   wire n_21296;
+   wire n_21297;
+   wire n_21298;
+   wire n_21299;
+   wire n_21300;
+   wire n_21301;
+   wire n_21302;
+   wire n_21303;
+   wire n_21304;
+   wire n_21305;
+   wire n_21306;
+   wire n_21307;
+   wire n_21308;
+   wire n_21309;
+   wire n_21310;
+   wire n_21311;
+   wire n_21312;
+   wire n_21313;
+   wire n_21314;
+   wire n_21315;
+   wire n_21316;
+   wire n_21317;
+   wire n_21318;
+   wire n_21319;
+   wire n_21320;
+   wire n_21321;
+   wire n_21322;
+   wire n_21323;
+   wire n_21324;
+   wire n_21325;
+   wire n_21326;
+   wire n_21327;
+   wire n_21328;
+   wire n_21329;
+   wire n_21330;
+   wire n_21331;
+   wire n_21332;
+   wire n_21333;
+   wire n_21334;
+   wire n_21335;
+   wire n_21336;
+   wire n_21337;
+   wire n_21338;
+   wire n_21339;
+   wire n_21340;
+   wire n_21341;
+   wire n_21342;
+   wire n_21343;
+   wire n_21344;
+   wire n_21345;
+   wire n_21346;
+   wire n_21347;
+   wire n_21348;
+   wire n_21349;
+   wire n_21350;
+   wire n_21351;
+   wire n_21352;
+   wire n_21353;
+   wire n_21354;
+   wire n_21355;
+   wire n_21356;
+   wire n_21357;
+   wire n_21358;
+   wire n_21359;
+   wire n_21360;
+   wire n_21361;
+   wire n_21362;
+   wire n_21363;
+   wire n_21364;
+   wire n_21365;
+   wire n_21366;
+   wire n_21367;
+   wire n_21368;
+   wire n_21369;
+   wire n_21370;
+   wire n_21371;
+   wire n_21372;
+   wire n_21373;
+   wire n_21374;
+   wire n_21375;
+   wire n_21376;
+   wire n_21377;
+   wire n_21378;
+   wire n_21379;
+   wire n_21380;
+   wire n_21381;
+   wire n_21382;
+   wire n_21383;
+   wire n_21384;
+   wire n_21385;
+   wire n_21386;
+   wire n_21387;
+   wire n_21388;
+   wire n_21389;
+   wire n_21390;
+   wire n_21391;
+   wire n_21392;
+   wire n_21393;
+   wire n_21394;
+   wire n_21395;
+   wire n_21396;
+   wire n_21397;
+   wire n_21398;
+   wire n_21399;
+   wire n_21400;
+   wire n_21401;
+   wire n_21402;
+   wire n_21403;
+   wire n_21404;
+   wire n_21405;
+   wire n_21406;
+   wire n_21407;
+   wire n_21408;
+   wire n_21409;
+   wire n_21410;
+   wire n_21411;
+   wire n_21412;
+   wire n_21413;
+   wire n_21414;
+   wire n_21415;
+   wire n_21416;
+   wire n_21417;
+   wire n_21418;
+   wire n_21419;
+   wire n_21420;
+   wire n_21421;
+   wire n_21422;
+   wire n_21423;
+   wire n_21424;
+   wire n_21425;
+   wire n_21426;
+   wire n_21427;
+   wire n_21428;
+   wire n_21429;
+   wire n_21430;
+   wire n_21431;
+   wire n_21432;
+   wire n_21433;
+   wire n_21434;
+   wire n_21435;
+   wire n_21436;
+   wire n_21437;
+   wire n_21438;
+   wire n_21439;
+   wire n_21440;
+   wire n_21441;
+   wire n_21442;
+   wire n_21443;
+   wire n_21444;
+   wire n_21445;
+   wire n_21446;
+   wire n_21447;
+   wire n_21448;
+   wire n_21449;
+   wire n_21450;
+   wire n_21451;
+   wire n_21452;
+   wire n_21453;
+   wire n_21454;
+   wire n_21455;
+   wire n_21456;
+   wire n_21457;
+   wire n_21458;
+   wire n_21459;
+   wire n_21460;
+   wire n_21461;
+   wire n_21462;
+   wire n_21463;
+   wire n_21464;
+   wire n_21465;
+   wire n_21466;
+   wire n_21467;
+   wire n_21468;
+   wire n_21469;
+   wire n_21470;
+   wire n_21471;
+   wire n_21472;
+   wire n_21473;
+   wire n_21474;
+   wire n_21475;
+   wire n_21476;
+   wire n_21477;
+   wire n_21478;
+   wire n_21479;
+   wire n_21480;
+   wire n_21481;
+   wire n_21482;
+   wire n_21483;
+   wire n_21484;
+   wire n_21485;
+   wire n_21486;
+   wire n_21487;
+   wire n_21488;
+   wire n_21489;
+   wire n_21490;
+   wire n_21491;
+   wire n_21492;
+   wire n_21493;
+   wire n_21494;
+   wire n_21495;
+   wire n_21496;
+   wire n_21497;
+   wire n_21498;
+   wire n_21499;
+   wire n_21500;
+   wire n_21501;
+   wire n_21502;
+   wire n_21503;
+   wire n_21504;
+   wire n_21505;
+   wire n_21506;
+   wire n_21507;
+   wire n_21508;
+   wire n_21509;
+   wire n_21510;
+   wire n_21511;
+   wire n_21512;
+   wire n_21513;
+   wire n_21514;
+   wire n_21515;
+   wire n_21516;
+   wire n_21517;
+   wire n_21518;
+   wire n_21519;
+   wire n_21520;
+   wire n_21521;
+   wire n_21522;
+   wire n_21523;
+   wire n_21524;
+   wire n_21525;
+   wire n_21526;
+   wire n_21527;
+   wire n_21528;
+   wire n_21529;
+   wire n_21530;
+   wire n_21531;
+   wire n_21532;
+   wire n_21533;
+   wire n_21534;
+   wire n_21535;
+   wire n_21536;
+   wire n_21537;
+   wire n_21538;
+   wire n_21539;
+   wire n_21540;
+   wire n_21541;
+   wire n_21542;
+   wire n_21543;
+   wire n_21544;
+   wire n_21545;
+   wire n_21546;
+   wire n_21547;
+   wire n_21548;
+   wire n_21549;
+   wire n_21550;
+   wire n_21551;
+   wire n_21552;
+   wire n_21553;
+   wire n_21554;
+   wire n_21555;
+   wire n_21556;
+   wire n_21557;
+   wire n_21558;
+   wire n_21559;
+   wire n_21560;
+   wire n_21561;
+   wire n_21562;
+   wire n_21563;
+   wire n_21564;
+   wire n_21565;
+   wire n_21566;
+   wire n_21567;
+   wire n_21568;
+   wire n_21569;
+   wire n_21570;
+   wire n_21571;
+   wire n_21572;
+   wire n_21573;
+   wire n_21574;
+   wire n_21575;
+   wire n_21576;
+   wire n_21577;
+   wire n_21578;
+   wire n_21579;
+   wire n_21580;
+   wire n_21581;
+   wire n_21582;
+   wire n_21583;
+   wire n_21584;
+   wire n_21585;
+   wire n_21586;
+   wire n_21587;
+   wire n_21588;
+   wire n_21589;
+   wire n_21590;
+   wire n_21591;
+   wire n_21592;
+   wire n_21593;
+   wire n_21594;
+   wire n_21595;
+   wire n_21596;
+   wire n_21597;
+   wire n_21598;
+   wire n_21599;
+   wire n_21600;
+   wire n_21601;
+   wire n_21602;
+   wire n_21603;
+   wire n_21604;
+   wire n_21605;
+   wire n_21606;
+   wire n_21607;
+   wire n_21608;
+   wire n_21609;
+   wire n_21610;
+   wire n_21611;
+   wire n_21612;
+   wire n_21613;
+   wire n_21614;
+   wire n_21615;
+   wire n_21616;
+   wire n_21617;
+   wire n_21618;
+   wire n_21619;
+   wire n_21620;
+   wire n_21621;
+   wire n_21622;
+   wire n_21623;
+   wire n_21624;
+   wire n_21625;
+   wire n_21626;
+   wire n_21627;
+   wire n_21628;
+   wire n_21629;
+   wire n_21630;
+   wire n_21631;
+   wire n_21632;
+   wire n_21633;
+   wire n_21634;
+   wire n_21635;
+   wire n_21636;
+   wire n_21637;
+   wire n_21638;
+   wire n_21639;
+   wire n_21640;
+   wire n_21641;
+   wire n_21642;
+   wire n_21643;
+   wire n_21644;
+   wire n_21645;
+   wire n_21646;
+   wire n_21647;
+   wire n_21648;
+   wire n_21649;
+   wire n_21650;
+   wire n_21651;
+   wire n_21652;
+   wire n_21653;
+   wire n_21654;
+   wire n_21655;
+   wire n_21656;
+   wire n_21657;
+   wire n_21658;
+   wire n_21659;
+   wire n_21660;
+   wire n_21661;
+   wire n_21662;
+   wire n_21663;
+   wire n_21664;
+   wire n_21665;
+   wire n_21666;
+   wire n_21667;
+   wire n_21668;
+   wire n_21669;
+   wire n_21670;
+   wire n_21671;
+   wire n_21672;
+   wire n_21673;
+   wire n_21674;
+   wire n_21675;
+   wire n_21676;
+   wire n_21677;
+   wire n_21678;
+   wire n_21679;
+   wire n_21680;
+   wire n_21681;
+   wire n_21682;
+   wire n_21683;
+   wire n_21684;
+   wire n_21685;
+   wire n_21686;
+   wire n_21687;
+   wire n_21688;
+   wire n_21689;
+   wire n_21690;
+   wire n_21691;
+   wire n_21692;
+   wire n_21693;
+   wire n_21694;
+   wire n_21695;
+   wire n_21696;
+   wire n_21697;
+   wire n_21698;
+   wire n_21699;
+   wire n_21700;
+   wire n_21701;
+   wire n_21702;
+   wire n_21703;
+   wire n_21704;
+   wire n_21705;
+   wire n_21706;
+   wire n_21707;
+   wire n_21708;
+   wire n_21709;
+   wire n_21710;
+   wire n_21711;
+   wire n_21712;
+   wire n_21713;
+   wire n_21714;
+   wire n_21715;
+   wire n_21716;
+   wire n_21717;
+   wire n_21718;
+   wire n_21719;
+   wire n_21720;
+   wire n_21721;
+   wire n_21722;
+   wire n_21723;
+   wire n_21724;
+   wire n_21725;
+   wire n_21726;
+   wire n_21727;
+   wire n_21728;
+   wire n_21729;
+   wire n_21730;
+   wire n_21731;
+   wire n_21732;
+   wire n_21733;
+   wire n_21734;
+   wire n_21735;
+   wire n_21736;
+   wire n_21737;
+   wire n_21738;
+   wire n_21739;
+   wire n_21740;
+   wire n_21741;
+   wire n_21742;
+   wire n_21743;
+   wire n_21744;
+   wire n_21745;
+   wire n_21746;
+   wire n_21747;
+   wire n_21748;
+   wire n_21749;
+   wire n_21750;
+   wire n_21751;
+   wire n_21752;
+   wire n_21753;
+   wire n_21754;
+   wire n_21755;
+   wire n_21756;
+   wire n_21757;
+   wire n_21758;
+   wire n_21759;
+   wire n_21760;
+   wire n_21761;
+   wire n_21762;
+   wire n_21763;
+   wire n_21764;
+   wire n_21765;
+   wire n_21766;
+   wire n_21767;
+   wire n_21768;
+   wire n_21769;
+   wire n_21770;
+   wire n_21771;
+   wire n_21772;
+   wire n_21773;
+   wire n_21774;
+   wire n_21775;
+   wire n_21776;
+   wire n_21777;
+   wire n_21778;
+   wire n_21779;
+   wire n_21780;
+   wire n_21781;
+   wire n_21782;
+   wire n_21783;
+   wire n_21784;
+   wire n_21785;
+   wire n_21786;
+   wire n_21787;
+   wire n_21788;
+   wire n_21789;
+   wire n_21790;
+   wire n_21791;
+   wire n_21792;
+   wire n_21793;
+   wire n_21794;
+   wire n_21795;
+   wire n_21796;
+   wire n_21797;
+   wire n_21798;
+   wire n_21799;
+   wire n_21800;
+   wire n_21801;
+   wire n_21802;
+   wire n_21803;
+   wire n_21804;
+   wire n_21805;
+   wire n_21806;
+   wire n_21807;
+   wire n_21808;
+   wire n_21809;
+   wire n_21810;
+   wire n_21811;
+   wire n_21812;
+   wire n_21813;
+   wire n_21814;
+   wire n_21815;
+   wire n_21816;
+   wire n_21817;
+   wire n_21818;
+   wire n_21819;
+   wire n_21820;
+   wire n_21821;
+   wire n_21822;
+   wire n_21823;
+   wire n_21824;
+   wire n_21825;
+   wire n_21826;
+   wire n_21827;
+   wire n_21828;
+   wire n_21829;
+   wire n_21830;
+   wire n_21831;
+   wire n_21832;
+   wire n_21833;
+   wire n_21834;
+   wire n_21835;
+   wire n_21836;
+   wire n_21837;
+   wire n_21838;
+   wire n_21839;
+   wire n_21840;
+   wire n_21841;
+   wire n_21842;
+   wire n_21843;
+   wire n_21844;
+   wire n_21845;
+   wire n_21846;
+   wire n_21847;
+   wire n_21848;
+   wire n_21849;
+   wire n_21850;
+   wire n_21851;
+   wire n_21852;
+   wire n_21853;
+   wire n_21854;
+   wire n_21855;
+   wire n_21856;
+   wire n_21857;
+   wire n_21858;
+   wire n_21859;
+   wire n_21860;
+   wire n_21861;
+   wire n_21862;
+   wire n_21863;
+   wire n_21864;
+   wire n_21865;
+   wire n_21866;
+   wire n_21867;
+   wire n_21868;
+   wire n_21869;
+   wire n_21870;
+   wire n_21871;
+   wire n_21872;
+   wire n_21873;
+   wire n_21874;
+   wire n_21875;
+   wire n_21876;
+   wire n_21877;
+   wire n_21878;
+   wire n_21879;
+   wire n_21880;
+   wire n_21881;
+   wire n_21882;
+   wire n_21883;
+   wire n_21884;
+   wire n_21885;
+   wire n_21886;
+   wire n_21887;
+   wire n_21888;
+   wire n_21889;
+   wire n_21890;
+   wire n_21891;
+   wire n_21892;
+   wire n_21893;
+   wire n_21894;
+   wire n_21895;
+   wire n_21896;
+   wire n_21897;
+   wire n_21898;
+   wire n_21899;
+   wire n_21900;
+   wire n_21901;
+   wire n_21902;
+   wire n_21903;
+   wire n_21904;
+   wire n_21905;
+   wire n_21906;
+   wire n_21907;
+   wire n_21908;
+   wire n_21909;
+   wire n_21910;
+   wire n_21911;
+   wire n_21912;
+   wire n_21913;
+   wire n_21914;
+   wire n_21915;
+   wire n_21916;
+   wire n_21917;
+   wire n_21918;
+   wire n_21919;
+   wire n_21920;
+   wire n_21921;
+   wire n_21922;
+   wire n_21923;
+   wire n_21924;
+   wire n_21925;
+   wire n_21926;
+   wire n_21927;
+   wire n_21928;
+   wire n_21929;
+   wire n_21930;
+   wire n_21931;
+   wire n_21932;
+   wire n_21933;
+   wire n_21934;
+   wire n_21935;
+   wire n_21936;
+   wire n_21937;
+   wire n_21938;
+   wire n_21939;
+   wire n_21940;
+   wire n_21941;
+   wire n_21942;
+   wire n_21943;
+   wire n_21944;
+   wire n_21945;
+   wire n_21946;
+   wire n_21947;
+   wire n_21948;
+   wire n_21949;
+   wire n_21950;
+   wire n_21951;
+   wire n_21952;
+   wire n_21953;
+   wire n_21954;
+   wire n_21955;
+   wire n_21956;
+   wire n_21957;
+   wire n_21958;
+   wire n_21959;
+   wire n_21960;
+   wire n_21961;
+   wire n_21962;
+   wire n_21963;
+   wire n_21964;
+   wire n_21965;
+   wire n_21966;
+   wire n_21967;
+   wire n_21968;
+   wire n_21969;
+   wire n_21970;
+   wire n_21971;
+   wire n_21972;
+   wire n_21973;
+   wire n_21974;
+   wire n_21975;
+   wire n_21976;
+   wire n_21977;
+   wire n_21978;
+   wire n_21979;
+   wire n_21980;
+   wire n_21981;
+   wire n_21982;
+   wire n_21983;
+   wire n_21984;
+   wire n_21985;
+   wire n_21986;
+   wire n_21987;
+   wire n_21988;
+   wire n_21989;
+   wire n_21990;
+   wire n_21991;
+   wire n_21992;
+   wire n_21993;
+   wire n_21994;
+   wire n_21995;
+   wire n_21996;
+   wire n_21997;
+   wire n_21998;
+   wire n_21999;
+   wire n_22000;
+   wire n_22001;
+   wire n_22002;
+   wire n_22003;
+   wire n_22004;
+   wire n_22005;
+   wire n_22006;
+   wire n_22007;
+   wire n_22008;
+   wire n_22009;
+   wire n_22010;
+   wire n_22011;
+   wire n_22012;
+   wire n_22013;
+   wire n_22014;
+   wire n_22015;
+   wire n_22016;
+   wire n_22017;
+   wire n_22018;
+   wire n_22019;
+   wire n_22020;
+   wire n_22021;
+   wire n_22022;
+   wire n_22023;
+   wire n_22024;
+   wire n_22025;
+   wire n_22026;
+   wire n_22027;
+   wire n_22028;
+   wire n_22029;
+   wire n_22030;
+   wire n_22031;
+   wire n_22032;
+   wire n_22033;
+   wire n_22034;
+   wire n_22035;
+   wire n_22036;
+   wire n_22037;
+   wire n_22038;
+   wire n_22039;
+   wire n_22040;
+   wire n_22041;
+   wire n_22042;
+   wire n_22043;
+   wire n_22044;
+   wire n_22045;
+   wire n_22046;
+   wire n_22047;
+   wire n_22048;
+   wire n_22049;
+   wire n_22050;
+   wire n_22051;
+   wire n_22052;
+   wire n_22053;
+   wire n_22054;
+   wire n_22055;
+   wire n_22056;
+   wire n_22057;
+   wire n_22058;
+   wire n_22059;
+   wire n_22060;
+   wire n_22061;
+   wire n_22062;
+   wire n_22063;
+   wire n_22064;
+   wire n_22065;
+   wire n_22066;
+   wire n_22067;
+   wire n_22068;
+   wire n_22069;
+   wire n_22070;
+   wire n_22071;
+   wire n_22072;
+   wire n_22073;
+   wire n_22074;
+   wire n_22075;
+   wire n_22076;
+   wire n_22077;
+   wire n_22078;
+   wire n_22079;
+   wire n_22080;
+   wire n_22081;
+   wire n_22082;
+   wire n_22083;
+   wire n_22084;
+   wire n_22085;
+   wire n_22086;
+   wire n_22087;
+   wire n_22088;
+   wire n_22089;
+   wire n_22090;
+   wire n_22091;
+   wire n_22092;
+   wire n_22093;
+   wire n_22094;
+   wire n_22095;
+   wire n_22096;
+   wire n_22097;
+   wire n_22098;
+   wire n_22099;
+   wire n_22100;
+   wire n_22101;
+   wire n_22102;
+   wire n_22103;
+   wire n_22104;
+   wire n_22105;
+   wire n_22106;
+   wire n_22107;
+   wire n_22108;
+   wire n_22109;
+   wire n_22110;
+   wire n_22111;
+   wire n_22112;
+   wire n_22113;
+   wire n_22114;
+   wire n_22115;
+   wire n_22116;
+   wire n_22117;
+   wire n_22118;
+   wire n_22119;
+   wire n_22120;
+   wire n_22121;
+   wire n_22122;
+   wire n_22123;
+   wire n_22124;
+   wire n_22125;
+   wire n_22126;
+   wire n_22127;
+   wire n_22128;
+   wire n_22129;
+   wire n_22130;
+   wire n_22131;
+   wire n_22132;
+   wire n_22133;
+   wire n_22134;
+   wire n_22135;
+   wire n_22136;
+   wire n_22137;
+   wire n_22138;
+   wire n_22139;
+   wire n_22140;
+   wire n_22141;
+   wire n_22142;
+   wire n_22143;
+   wire n_22144;
+   wire n_22145;
+   wire n_22146;
+   wire n_22147;
+   wire n_22148;
+   wire n_22149;
+   wire n_22150;
+   wire n_22151;
+   wire n_22152;
+   wire n_22153;
+   wire n_22154;
+   wire n_22155;
+   wire n_22156;
+   wire n_22157;
+   wire n_22158;
+   wire n_22159;
+   wire n_22160;
+   wire n_22161;
+   wire n_22162;
+   wire n_22163;
+   wire n_22164;
+   wire n_22165;
+   wire n_22166;
+   wire n_22167;
+   wire n_22168;
+   wire n_22169;
+   wire n_22170;
+   wire n_22171;
+   wire n_22172;
+   wire n_22173;
+   wire n_22174;
+   wire n_22175;
+   wire n_22176;
+   wire n_22177;
+   wire n_22178;
+   wire n_22179;
+   wire n_22180;
+   wire n_22181;
+   wire n_22182;
+   wire n_22183;
+   wire n_22184;
+   wire n_22185;
+   wire n_22186;
+   wire n_22187;
+   wire n_22188;
+   wire n_22189;
+   wire n_22190;
+   wire n_22191;
+   wire n_22192;
+   wire n_22193;
+   wire n_22194;
+   wire n_22195;
+   wire n_22196;
+   wire n_22197;
+   wire n_22198;
+   wire n_22200;
+   wire n_22201;
+   wire n_22202;
+   wire n_22203;
+   wire n_22204;
+   wire n_22205;
+   wire n_22206;
+   wire n_22207;
+   wire n_22208;
+   wire n_22209;
+   wire n_22210;
+   wire n_22211;
+   wire n_22212;
+   wire n_22213;
+   wire n_22214;
+   wire n_22215;
+   wire n_22216;
+   wire n_22217;
+   wire n_22218;
+   wire n_22219;
+   wire n_22220;
+   wire n_22221;
+   wire n_22222;
+   wire n_22223;
+   wire n_22224;
+   wire n_22225;
+   wire n_22226;
+   wire n_22227;
+   wire n_22228;
+   wire n_22229;
+   wire n_22230;
+   wire n_22231;
+   wire n_22232;
+   wire n_22233;
+   wire n_22234;
+   wire n_22235;
+   wire n_22236;
+   wire n_22237;
+   wire n_22238;
+   wire n_22239;
+   wire n_22240;
+   wire n_22241;
+   wire n_22242;
+   wire n_22243;
+   wire n_22244;
+   wire n_22245;
+   wire n_22246;
+   wire n_22247;
+   wire n_22248;
+   wire n_22249;
+   wire n_22250;
+   wire n_22251;
+   wire n_22252;
+   wire n_22253;
+   wire n_22254;
+   wire n_22255;
+   wire n_22256;
+   wire n_22257;
+   wire n_22258;
+   wire n_22259;
+   wire n_22260;
+   wire n_22261;
+   wire n_22262;
+   wire n_22263;
+   wire n_22264;
+   wire n_22265;
+   wire n_22266;
+   wire n_22267;
+   wire n_22268;
+   wire n_22269;
+   wire n_22270;
+   wire n_22271;
+   wire n_22272;
+   wire n_22273;
+   wire n_22274;
+   wire n_22275;
+   wire n_22276;
+   wire n_22277;
+   wire n_22278;
+   wire n_22279;
+   wire n_22280;
+   wire n_22281;
+   wire n_22282;
+   wire n_22283;
+   wire n_22284;
+   wire n_22285;
+   wire n_22286;
+   wire n_22287;
+   wire n_22288;
+   wire n_22289;
+   wire n_22290;
+   wire n_22291;
+   wire n_22292;
+   wire n_22293;
+   wire n_22294;
+   wire n_22295;
+   wire n_22296;
+   wire n_22297;
+   wire n_22298;
+   wire n_22299;
+   wire n_22300;
+   wire n_22301;
+   wire n_22302;
+   wire n_22303;
+   wire n_22304;
+   wire n_22305;
+   wire n_22306;
+   wire n_22307;
+   wire n_22308;
+   wire n_22309;
+   wire n_22310;
+   wire n_22311;
+   wire n_22312;
+   wire n_22313;
+   wire n_22314;
+   wire n_22315;
+   wire n_22316;
+   wire n_22317;
+   wire n_22318;
+   wire n_22319;
+   wire n_22320;
+   wire n_22321;
+   wire n_22322;
+   wire n_22323;
+   wire n_22324;
+   wire n_22325;
+   wire n_22326;
+   wire n_22327;
+   wire n_22328;
+   wire n_22329;
+   wire n_22330;
+   wire n_22331;
+   wire n_22332;
+   wire n_22333;
+   wire n_22334;
+   wire n_22335;
+   wire n_22337;
+   wire n_22338;
+   wire n_22339;
+   wire n_22340;
+   wire n_22341;
+   wire n_22342;
+   wire n_22343;
+   wire n_22344;
+   wire n_22345;
+   wire n_22346;
+   wire n_22347;
+   wire n_22348;
+   wire n_22349;
+   wire n_22350;
+   wire n_22351;
+   wire n_22352;
+   wire n_22353;
+   wire n_22354;
+   wire n_22357;
+   wire n_22358;
+   wire n_22359;
+   wire n_22360;
+   wire n_22361;
+   wire n_22363;
+   wire n_22365;
+   wire n_22366;
+   wire n_22367;
+   wire n_22368;
+   wire n_22369;
+   wire n_22370;
+   wire n_22371;
+   wire n_22372;
+   wire n_22373;
+   wire n_22374;
+   wire n_22375;
+   wire n_22376;
+   wire n_22377;
+   wire n_22378;
+   wire n_22379;
+   wire n_22380;
+   wire n_22381;
+   wire n_22382;
+   wire n_22383;
+   wire n_22384;
+   wire n_22385;
+   wire n_22386;
+   wire n_22387;
+   wire n_22388;
+   wire n_22389;
+   wire n_22390;
+   wire n_22391;
+   wire n_22392;
+   wire n_22393;
+   wire n_22394;
+   wire n_22395;
+   wire n_22396;
+   wire n_22397;
+   wire n_22398;
+   wire n_22399;
+   wire n_22400;
+   wire n_22401;
+   wire n_22402;
+   wire n_22403;
+   wire n_22404;
+   wire n_22405;
+   wire n_22406;
+   wire n_22407;
+   wire n_22408;
+   wire n_22409;
+   wire n_22410;
+   wire n_22411;
+   wire n_22413;
+   wire n_22414;
+   wire n_22416;
+   wire n_22417;
+   wire n_22418;
+   wire n_22419;
+   wire n_22420;
+   wire n_22421;
+   wire n_22422;
+   wire n_22423;
+   wire n_22424;
+   wire n_22425;
+   wire n_22426;
+   wire n_22427;
+   wire n_22428;
+   wire n_22429;
+   wire n_22430;
+   wire n_22431;
+   wire n_22432;
+   wire n_22433;
+   wire n_22434;
+   wire n_22435;
+   wire n_22436;
+   wire n_22437;
+   wire n_22438;
+   wire n_22439;
+   wire n_22440;
+   wire n_22441;
+   wire n_22442;
+   wire n_22443;
+   wire n_22444;
+   wire n_22445;
+   wire n_22446;
+   wire n_22447;
+   wire n_22448;
+   wire n_22449;
+   wire n_22450;
+   wire n_22451;
+   wire n_22452;
+   wire n_22453;
+   wire n_22454;
+   wire n_22455;
+   wire n_22456;
+   wire n_22457;
+   wire n_22458;
+   wire n_22459;
+   wire n_22460;
+   wire n_22461;
+   wire n_22462;
+   wire n_22463;
+   wire n_22464;
+   wire n_22465;
+   wire n_22466;
+   wire n_22467;
+   wire n_22468;
+   wire n_22470;
+   wire n_22471;
+   wire n_22472;
+   wire n_22473;
+   wire n_22474;
+   wire n_22475;
+   wire n_22476;
+   wire n_22477;
+   wire n_22478;
+   wire n_22479;
+   wire n_22480;
+   wire n_22481;
+   wire n_22482;
+   wire n_22483;
+   wire n_22484;
+   wire n_22485;
+   wire n_22486;
+   wire n_22487;
+   wire n_22488;
+   wire n_22489;
+   wire n_22490;
+   wire n_22493;
+   wire n_22494;
+   wire n_22495;
+   wire n_22496;
+   wire n_22497;
+   wire n_22498;
+   wire n_22499;
+   wire n_22500;
+   wire n_22501;
+   wire n_22502;
+   wire n_22503;
+   wire n_22504;
+   wire n_22505;
+   wire n_22507;
+   wire n_22508;
+   wire n_22509;
+   wire n_22510;
+   wire n_22511;
+   wire n_22512;
+   wire n_22513;
+   wire n_22514;
+   wire n_22515;
+   wire n_22516;
+   wire n_22517;
+   wire n_22518;
+   wire n_22519;
+   wire n_22520;
+   wire n_22521;
+   wire n_22522;
+   wire n_22523;
+   wire n_22524;
+   wire n_22525;
+   wire n_22526;
+   wire n_22527;
+   wire n_22528;
+   wire n_22529;
+   wire n_22530;
+   wire n_22531;
+   wire n_22532;
+   wire n_22533;
+   wire n_22534;
+   wire n_22535;
+   wire n_22536;
+   wire n_22537;
+   wire n_22538;
+   wire n_22539;
+   wire n_22540;
+   wire n_22541;
+   wire n_22543;
+   wire n_22548;
+   wire n_22549;
+   wire n_22550;
+   wire n_22551;
+   wire n_22552;
+   wire n_22553;
+   wire n_22554;
+   wire n_22557;
+   wire n_22560;
+   wire n_22561;
+   wire n_22562;
+   wire n_22563;
+   wire n_22564;
+   wire n_22565;
+   wire n_22566;
+   wire n_22567;
+   wire n_22568;
+   wire n_22569;
+   wire n_22570;
+   wire n_22571;
+   wire n_22572;
+   wire n_22573;
+   wire n_22574;
+   wire n_22575;
+   wire n_22576;
+   wire n_22577;
+   wire n_22578;
+   wire n_22579;
+   wire n_22580;
+   wire n_22581;
+   wire n_22582;
+   wire n_22583;
+   wire n_22584;
+   wire n_22585;
+   wire n_22586;
+   wire n_22587;
+   wire n_22588;
+   wire n_22589;
+   wire n_22590;
+   wire n_22591;
+   wire n_22592;
+   wire n_22593;
+   wire n_22594;
+   wire n_22595;
+   wire n_22596;
+   wire n_22597;
+   wire n_22598;
+   wire n_22599;
+   wire n_22600;
+   wire n_22601;
+   wire n_22602;
+   wire n_22603;
+   wire n_22604;
+   wire n_22605;
+   wire n_22606;
+   wire n_22607;
+   wire n_22608;
+   wire n_22609;
+   wire n_22610;
+   wire n_22611;
+   wire n_22612;
+   wire n_22613;
+   wire n_22614;
+   wire n_22615;
+   wire n_22616;
+   wire n_22617;
+   wire n_22618;
+   wire n_22619;
+   wire n_22620;
+   wire n_22621;
+   wire n_22622;
+   wire n_22623;
+   wire n_22624;
+   wire n_22625;
+   wire n_22626;
+   wire n_22627;
+   wire n_22628;
+   wire n_22629;
+   wire n_22630;
+   wire n_22631;
+   wire n_22632;
+   wire n_22633;
+   wire n_22634;
+   wire n_22635;
+   wire n_22636;
+   wire n_22637;
+   wire n_22638;
+   wire n_22639;
+   wire n_22640;
+   wire n_22641;
+   wire n_22642;
+   wire n_22643;
+   wire n_22644;
+   wire n_22645;
+   wire n_22646;
+   wire n_22647;
+   wire n_22648;
+   wire n_22649;
+   wire n_22650;
+   wire n_22651;
+   wire n_22652;
+   wire n_22653;
+   wire n_22654;
+   wire n_22655;
+   wire n_22656;
+   wire n_22657;
+   wire n_22658;
+   wire n_22659;
+   wire n_22660;
+   wire n_22661;
+   wire n_22662;
+   wire n_22663;
+   wire n_22664;
+   wire n_22665;
+   wire n_22666;
+   wire n_22667;
+   wire n_22668;
+   wire n_22669;
+   wire n_22670;
+   wire n_22671;
+   wire n_22672;
+   wire n_22673;
+   wire n_22674;
+   wire n_22675;
+   wire n_22676;
+   wire n_22677;
+   wire n_22678;
+   wire n_22679;
+   wire n_22680;
+   wire n_22681;
+   wire n_22682;
+   wire n_22683;
+   wire n_22684;
+   wire n_22685;
+   wire n_22686;
+   wire n_22687;
+   wire n_22688;
+   wire n_22689;
+   wire n_22690;
+   wire n_22691;
+   wire n_22692;
+   wire n_22693;
+   wire n_22694;
+   wire n_22695;
+   wire n_22696;
+   wire n_22697;
+   wire n_22698;
+   wire n_22699;
+   wire n_22700;
+   wire n_22701;
+   wire n_22702;
+   wire n_22703;
+   wire n_22704;
+   wire n_22705;
+   wire n_22706;
+   wire n_22707;
+   wire n_22708;
+   wire n_22709;
+   wire n_22710;
+   wire n_22711;
+   wire n_22712;
+   wire n_22713;
+   wire n_22714;
+   wire n_22715;
+   wire n_22716;
+   wire n_22717;
+   wire n_22718;
+   wire n_22719;
+   wire n_22720;
+   wire n_22721;
+   wire n_22722;
+   wire n_22723;
+   wire n_22724;
+   wire n_22725;
+   wire n_22726;
+   wire n_22727;
+   wire n_22728;
+   wire n_22729;
+   wire n_22730;
+   wire n_22731;
+   wire n_22732;
+   wire n_22733;
+   wire n_22734;
+   wire n_22735;
+   wire n_22736;
+   wire n_22737;
+   wire n_22738;
+   wire n_22739;
+   wire n_22740;
+   wire n_22741;
+   wire n_22742;
+   wire n_22743;
+   wire n_22744;
+   wire n_22745;
+   wire n_22746;
+   wire n_22747;
+   wire n_22748;
+   wire n_22749;
+   wire n_22750;
+   wire n_22751;
+   wire n_22752;
+   wire n_22753;
+   wire n_22754;
+   wire n_22755;
+   wire n_22756;
+   wire n_22757;
+   wire n_22758;
+   wire n_22759;
+   wire n_22760;
+   wire n_22761;
+   wire n_22762;
+   wire n_22763;
+   wire n_22764;
+   wire n_22765;
+   wire n_22766;
+   wire n_22767;
+   wire n_22768;
+   wire n_22769;
+   wire n_22770;
+   wire n_22771;
+   wire n_22772;
+   wire n_22773;
+   wire n_22774;
+   wire n_22775;
+   wire n_22776;
+   wire n_22777;
+   wire n_22778;
+   wire n_22779;
+   wire n_22780;
+   wire n_22781;
+   wire n_22782;
+   wire n_22783;
+   wire n_22784;
+   wire n_22785;
+   wire n_22786;
+   wire n_22787;
+   wire n_22788;
+   wire n_22789;
+   wire n_22790;
+   wire n_22791;
+   wire n_22792;
+   wire n_22793;
+   wire n_22794;
+   wire n_22795;
+   wire n_22796;
+   wire n_22797;
+   wire n_22798;
+   wire n_22799;
+   wire n_22800;
+   wire n_22801;
+   wire n_22802;
+   wire n_22803;
+   wire n_22804;
+   wire n_22805;
+   wire n_22806;
+   wire n_22807;
+   wire n_22808;
+   wire n_22809;
+   wire n_22810;
+   wire n_22811;
+   wire n_22812;
+   wire n_22813;
+   wire n_22814;
+   wire n_22815;
+   wire n_22816;
+   wire n_22817;
+   wire n_22818;
+   wire n_22819;
+   wire n_22820;
+   wire n_22821;
+   wire n_22822;
+   wire n_22823;
+   wire n_22824;
+   wire n_22825;
+   wire n_22826;
+   wire n_22827;
+   wire n_22828;
+   wire n_22829;
+   wire n_22830;
+   wire n_22831;
+   wire n_22832;
+   wire n_22833;
+   wire n_22834;
+   wire n_22835;
+   wire n_22836;
+   wire n_22837;
+   wire n_22838;
+   wire n_22839;
+   wire n_22840;
+   wire n_22841;
+   wire n_22842;
+   wire n_22843;
+   wire n_22844;
+   wire n_22845;
+   wire n_22846;
+   wire n_22847;
+   wire n_22848;
+   wire n_22849;
+   wire n_22850;
+   wire n_22851;
+   wire n_22852;
+   wire n_22853;
+   wire n_22854;
+   wire n_22855;
+   wire n_22856;
+   wire n_22857;
+   wire n_22858;
+   wire n_22859;
+   wire n_22860;
+   wire n_22861;
+   wire n_22862;
+   wire n_22863;
+   wire n_22864;
+   wire n_22865;
+   wire n_22866;
+   wire n_22867;
+   wire n_22868;
+   wire n_22875;
+   wire n_22876;
+   wire n_22877;
+   wire n_22878;
+   wire n_22879;
+   wire n_22880;
+   wire n_22881;
+   wire n_22884;
+   wire n_22885;
+   wire n_22886;
+   wire n_22889;
+   wire n_22892;
+   wire n_22893;
+   wire n_22894;
+   wire n_22895;
+   wire n_22896;
+   wire n_22897;
+   wire n_22898;
+   wire n_22899;
+   wire n_22900;
+   wire n_22901;
+   wire n_22902;
+   wire n_22903;
+   wire n_22904;
+   wire n_22905;
+   wire n_22906;
+   wire n_22907;
+   wire n_22910;
+   wire n_22911;
+   wire n_22912;
+   wire n_22913;
+   wire n_22914;
+   wire n_22916;
+   wire n_22917;
+   wire n_22918;
+   wire n_22919;
+   wire n_22921;
+   wire n_22922;
+   wire n_22927;
+   wire n_22931;
+   wire n_22933;
+   wire n_22939;
+   wire n_22941;
+   wire n_22942;
+   wire n_22943;
+   wire n_22944;
+   wire n_22945;
+   wire n_22946;
+   wire n_22947;
+   wire n_22949;
+   wire n_22950;
+   wire n_22951;
+   wire n_22952;
+   wire n_22953;
+   wire n_22954;
+   wire n_22955;
+   wire n_22956;
+   wire n_22957;
+   wire n_22958;
+   wire n_22959;
+   wire n_22960;
+   wire n_22961;
+   wire n_22962;
+   wire n_22963;
+   wire n_22964;
+   wire n_22965;
+   wire n_22966;
+   wire n_22967;
+   wire n_22968;
+   wire n_22969;
+   wire n_22970;
+   wire n_22971;
+   wire n_22972;
+   wire n_22973;
+   wire n_22974;
+   wire n_22975;
+   wire n_22976;
+   wire n_22977;
+   wire n_22978;
+   wire n_22979;
+   wire n_22980;
+   wire n_22981;
+   wire n_22982;
+   wire n_22983;
+   wire n_22984;
+   wire n_22985;
+   wire n_22986;
+   wire n_22987;
+   wire n_22988;
+   wire n_22989;
+   wire n_22990;
+   wire n_22991;
+   wire n_22992;
+   wire n_22993;
+   wire n_22994;
+   wire n_22995;
+   wire n_22996;
+   wire n_22997;
+   wire n_22998;
+   wire n_22999;
+   wire n_23000;
+   wire n_23001;
+   wire n_23002;
+   wire n_23003;
+   wire n_23004;
+   wire n_23005;
+   wire n_23006;
+   wire n_23007;
+   wire n_23010;
+   wire n_23013;
+   wire n_23014;
+   wire n_23015;
+   wire n_23016;
+   wire n_23017;
+   wire n_23018;
+   wire n_23019;
+   wire n_23020;
+   wire n_23022;
+   wire n_23024;
+   wire n_23026;
+   wire n_23027;
+   wire n_23028;
+   wire n_23029;
+   wire n_23030;
+   wire n_23031;
+   wire n_23032;
+   wire n_23033;
+   wire n_23034;
+   wire n_23035;
+   wire n_23036;
+   wire n_23037;
+   wire n_23038;
+   wire n_23039;
+   wire n_23040;
+   wire n_23041;
+   wire n_23042;
+   wire n_23043;
+   wire n_23044;
+   wire n_23045;
+   wire n_23046;
+   wire n_23047;
+   wire n_23048;
+   wire n_23052;
+   wire n_23056;
+   wire n_23057;
+   wire n_23058;
+   wire n_23059;
+   wire n_23060;
+   wire n_23061;
+   wire n_23062;
+   wire n_23063;
+   wire n_23064;
+   wire n_23065;
+   wire n_23066;
+   wire n_23067;
+   wire n_23068;
+   wire n_23070;
+   wire n_23073;
+   wire n_23078;
+   wire n_23079;
+   wire n_23080;
+   wire n_23081;
+   wire n_23082;
+   wire n_23083;
+   wire n_23084;
+   wire n_23085;
+   wire n_23086;
+   wire n_23087;
+   wire n_23088;
+   wire n_23091;
+   wire n_23092;
+   wire n_23093;
+   wire n_23094;
+   wire n_23095;
+   wire n_23096;
+   wire n_23097;
+   wire n_23098;
+   wire n_23099;
+   wire n_23100;
+   wire n_23101;
+   wire n_23102;
+   wire n_23103;
+   wire n_23104;
+   wire n_23105;
+   wire n_23106;
+   wire n_23107;
+   wire n_23108;
+   wire n_23109;
+   wire n_23110;
+   wire n_23111;
+   wire n_23112;
+   wire n_23113;
+   wire n_23114;
+   wire n_23115;
+   wire n_23116;
+   wire n_23117;
+   wire n_23118;
+   wire n_23119;
+   wire n_23120;
+   wire n_23121;
+   wire n_23122;
+   wire n_23123;
+   wire n_23124;
+   wire n_23125;
+   wire n_23126;
+   wire n_23127;
+   wire n_23128;
+   wire n_23129;
+   wire n_23130;
+   wire n_23131;
+   wire n_23132;
+   wire n_23133;
+   wire n_23134;
+   wire n_23135;
+   wire n_23136;
+   wire n_23137;
+   wire n_23138;
+   wire n_23139;
+   wire n_23140;
+   wire n_23141;
+   wire n_23142;
+   wire n_23143;
+   wire n_23144;
+   wire n_23145;
+   wire n_23146;
+   wire n_23147;
+   wire n_23148;
+   wire n_23149;
+   wire n_23150;
+   wire n_23151;
+   wire n_23152;
+   wire n_23153;
+   wire n_23154;
+   wire n_23155;
+   wire n_23156;
+   wire n_23157;
+   wire n_23158;
+   wire n_23159;
+   wire n_23160;
+   wire n_23161;
+   wire n_23162;
+   wire n_23163;
+   wire n_23164;
+   wire n_23165;
+   wire n_23166;
+   wire n_23167;
+   wire n_23168;
+   wire n_23169;
+   wire n_23170;
+   wire n_23171;
+   wire n_23172;
+   wire n_23173;
+   wire n_23174;
+   wire n_23175;
+   wire n_23176;
+   wire n_23177;
+   wire n_23178;
+   wire n_23179;
+   wire n_23180;
+   wire n_23181;
+   wire n_23182;
+   wire n_23183;
+   wire n_23184;
+   wire n_23185;
+   wire n_23186;
+   wire n_23187;
+   wire n_23188;
+   wire n_23189;
+   wire n_23190;
+   wire n_23191;
+   wire n_23192;
+   wire n_23193;
+   wire n_23194;
+   wire n_23195;
+   wire n_23196;
+   wire n_23197;
+   wire n_23198;
+   wire n_23199;
+   wire n_23200;
+   wire n_23201;
+   wire n_23202;
+   wire n_23203;
+   wire n_23204;
+   wire n_23205;
+   wire n_23206;
+   wire n_23207;
+   wire n_23208;
+   wire n_23209;
+   wire n_23210;
+   wire n_23211;
+   wire n_23212;
+   wire n_23213;
+   wire n_23214;
+   wire n_23215;
+   wire n_23216;
+   wire n_23217;
+   wire n_23218;
+   wire n_23219;
+   wire n_23220;
+   wire n_23221;
+   wire n_23222;
+   wire n_23223;
+   wire n_23224;
+   wire n_23225;
+   wire n_23226;
+   wire n_23227;
+   wire n_23229;
+   wire n_23230;
+   wire n_23231;
+   wire n_23232;
+   wire n_23233;
+   wire n_23234;
+   wire n_23235;
+   wire n_23236;
+   wire n_23237;
+   wire n_23238;
+   wire n_23239;
+   wire n_23240;
+   wire n_23241;
+   wire n_23242;
+   wire n_23243;
+   wire n_23244;
+   wire n_23245;
+   wire n_23246;
+   wire n_23247;
+   wire n_23248;
+   wire n_23249;
+   wire n_23250;
+   wire n_23251;
+   wire n_23252;
+   wire n_23253;
+   wire n_23254;
+   wire n_23255;
+   wire n_23256;
+   wire n_23257;
+   wire n_23258;
+   wire n_23259;
+   wire n_23260;
+   wire n_23261;
+   wire n_23262;
+   wire n_23263;
+   wire n_23264;
+   wire n_23265;
+   wire n_23266;
+   wire n_23267;
+   wire n_23268;
+   wire n_23269;
+   wire n_23270;
+   wire n_23271;
+   wire n_23272;
+   wire n_23273;
+   wire n_23274;
+   wire n_23275;
+   wire n_23276;
+   wire n_23277;
+   wire n_23278;
+   wire n_23279;
+   wire n_23280;
+   wire n_23281;
+   wire n_23282;
+   wire n_23283;
+   wire n_23284;
+   wire n_23285;
+   wire n_23286;
+   wire n_23287;
+   wire n_23288;
+   wire n_23289;
+   wire n_23290;
+   wire n_23291;
+   wire n_23292;
+   wire n_23293;
+   wire n_23294;
+   wire n_23295;
+   wire n_23296;
+   wire n_23297;
+   wire n_23298;
+   wire n_23299;
+   wire n_23300;
+   wire n_23301;
+   wire n_23302;
+   wire n_23303;
+   wire n_23304;
+   wire n_23305;
+   wire n_23306;
+   wire n_23307;
+   wire n_23308;
+   wire n_23309;
+   wire n_23310;
+   wire n_23311;
+   wire n_23312;
+   wire n_23313;
+   wire n_23314;
+   wire n_23315;
+   wire n_23316;
+   wire n_23317;
+   wire n_23318;
+   wire n_23319;
+   wire n_23320;
+   wire n_23321;
+   wire n_23322;
+   wire n_23323;
+   wire n_23324;
+   wire n_23325;
+   wire n_23326;
+   wire n_23327;
+   wire n_23328;
+   wire n_23329;
+   wire n_23330;
+   wire n_23331;
+   wire n_23332;
+   wire n_23333;
+   wire n_23334;
+   wire n_23335;
+   wire n_23336;
+   wire n_23337;
+   wire n_23338;
+   wire n_23339;
+   wire n_23340;
+   wire n_23341;
+   wire n_23342;
+   wire n_23343;
+   wire n_23344;
+   wire n_23345;
+   wire n_23346;
+   wire n_23347;
+   wire n_23348;
+   wire n_23349;
+   wire n_23350;
+   wire n_23351;
+   wire n_23352;
+   wire n_23353;
+   wire n_23354;
+   wire n_23355;
+   wire n_23356;
+   wire n_23357;
+   wire n_23358;
+   wire n_23359;
+   wire n_23360;
+   wire n_23361;
+   wire n_23362;
+   wire n_23363;
+   wire n_23364;
+   wire n_23365;
+   wire n_23366;
+   wire n_23367;
+   wire n_23368;
+   wire n_23369;
+   wire n_23370;
+   wire n_23372;
+   wire n_23374;
+   wire n_23375;
+   wire n_23376;
+   wire n_23377;
+   wire n_23378;
+   wire n_23379;
+   wire n_23380;
+   wire n_23381;
+   wire n_23382;
+   wire n_23383;
+   wire n_23384;
+   wire n_23385;
+   wire n_23386;
+   wire n_23387;
+   wire n_23388;
+   wire n_23389;
+   wire n_23390;
+   wire n_23391;
+   wire n_23392;
+   wire n_23393;
+   wire n_23394;
+   wire n_23395;
+   wire n_23396;
+   wire n_23397;
+   wire n_23398;
+   wire n_23399;
+   wire n_23400;
+   wire n_23401;
+   wire n_23402;
+   wire n_23403;
+   wire n_23405;
+   wire n_23407;
+   wire n_23408;
+   wire n_23411;
+   wire n_23413;
+   wire n_23414;
+   wire n_23416;
+   wire n_23417;
+   wire n_23418;
+   wire n_23419;
+   wire n_23420;
+   wire n_23421;
+   wire n_23422;
+   wire n_23423;
+   wire n_23424;
+   wire n_23425;
+   wire n_23426;
+   wire n_23427;
+   wire n_23428;
+   wire n_23429;
+   wire n_23430;
+   wire n_23431;
+   wire n_23432;
+   wire n_23433;
+   wire n_23434;
+   wire n_23435;
+   wire n_23436;
+   wire n_23437;
+   wire n_23438;
+   wire n_23439;
+   wire n_23440;
+   wire n_23441;
+   wire n_23442;
+   wire n_23443;
+   wire n_23444;
+   wire n_23445;
+   wire n_23446;
+   wire n_23447;
+   wire n_23448;
+   wire n_23449;
+   wire n_23450;
+   wire n_23451;
+   wire n_23452;
+   wire n_23453;
+   wire n_23455;
+   wire n_23456;
+   wire n_23457;
+   wire n_23458;
+   wire n_23459;
+   wire n_23460;
+   wire n_23461;
+   wire n_23462;
+   wire n_23463;
+   wire n_23464;
+   wire n_23465;
+   wire n_23466;
+   wire n_23467;
+   wire n_23468;
+   wire n_23469;
+   wire n_23470;
+   wire n_23471;
+   wire n_23472;
+   wire n_23473;
+   wire n_23474;
+   wire n_23475;
+   wire n_23476;
+   wire n_23477;
+   wire n_23478;
+   wire n_23479;
+   wire n_23480;
+   wire n_23481;
+   wire n_23482;
+   wire n_23483;
+   wire n_23484;
+   wire n_23485;
+   wire n_23486;
+   wire n_23487;
+   wire n_23488;
+   wire n_23489;
+   wire n_23490;
+   wire n_23491;
+   wire n_23492;
+   wire n_23493;
+   wire n_23494;
+   wire n_23495;
+   wire n_23496;
+   wire n_23497;
+   wire n_23498;
+   wire n_23499;
+   wire n_23500;
+   wire n_23501;
+   wire n_23502;
+   wire n_23503;
+   wire n_23504;
+   wire n_23505;
+   wire n_23506;
+   wire n_23507;
+   wire n_23508;
+   wire n_23509;
+   wire n_23510;
+   wire n_23511;
+   wire n_23512;
+   wire n_23513;
+   wire n_23514;
+   wire n_23515;
+   wire n_23516;
+   wire n_23517;
+   wire n_23518;
+   wire n_23519;
+   wire n_23520;
+   wire n_23521;
+   wire n_23522;
+   wire n_23523;
+   wire n_23524;
+   wire n_23525;
+   wire n_23526;
+   wire n_23527;
+   wire n_23528;
+   wire n_23529;
+   wire n_23530;
+   wire n_23531;
+   wire n_23532;
+   wire n_23533;
+   wire n_23534;
+   wire n_23535;
+   wire n_23536;
+   wire n_23537;
+   wire n_23538;
+   wire n_23539;
+   wire n_23540;
+   wire n_23541;
+   wire n_23542;
+   wire n_23543;
+   wire n_23544;
+   wire n_23545;
+   wire n_23546;
+   wire n_23547;
+   wire n_23548;
+   wire n_23549;
+   wire n_23550;
+   wire n_23551;
+   wire n_23552;
+   wire n_23553;
+   wire n_23554;
+   wire n_23555;
+   wire n_23556;
+   wire n_23557;
+   wire n_23558;
+   wire n_23559;
+   wire n_23560;
+   wire n_23561;
+   wire n_23562;
+   wire n_23563;
+   wire n_23564;
+   wire n_23565;
+   wire n_23566;
+   wire n_23567;
+   wire n_23568;
+   wire n_23569;
+   wire n_23570;
+   wire n_23571;
+   wire n_23572;
+   wire n_23573;
+   wire n_23574;
+   wire n_23575;
+   wire n_23576;
+   wire n_23577;
+   wire n_23578;
+   wire n_23579;
+   wire n_23580;
+   wire n_23581;
+   wire n_23582;
+   wire n_23583;
+   wire n_23584;
+   wire n_23585;
+   wire n_23586;
+   wire n_23587;
+   wire n_23588;
+   wire n_23589;
+   wire n_23590;
+   wire n_23591;
+   wire n_23592;
+   wire n_23593;
+   wire n_23594;
+   wire n_23595;
+   wire n_23596;
+   wire n_23597;
+   wire n_23598;
+   wire n_23599;
+   wire n_23600;
+   wire n_23601;
+   wire n_23602;
+   wire n_23603;
+   wire n_23604;
+   wire n_23605;
+   wire n_23606;
+   wire n_23607;
+   wire n_23608;
+   wire n_23609;
+   wire n_23610;
+   wire n_23611;
+   wire n_23612;
+   wire n_23613;
+   wire n_23614;
+   wire n_23615;
+   wire n_23616;
+   wire n_23617;
+   wire n_23618;
+   wire n_23619;
+   wire n_23620;
+   wire n_23621;
+   wire n_23622;
+   wire n_23623;
+   wire n_23624;
+   wire n_23625;
+   wire n_23626;
+   wire n_23627;
+   wire n_23628;
+   wire n_23629;
+   wire n_23630;
+   wire n_23631;
+   wire n_23632;
+   wire n_23633;
+   wire n_23634;
+   wire n_23635;
+   wire n_23636;
+   wire n_23637;
+   wire n_23638;
+   wire n_23639;
+   wire n_23640;
+   wire n_23641;
+   wire n_23642;
+   wire n_23643;
+   wire n_23644;
+   wire n_23645;
+   wire n_23646;
+   wire n_23647;
+   wire n_23648;
+   wire n_23649;
+   wire n_23650;
+   wire n_23651;
+   wire n_23652;
+   wire n_23653;
+   wire n_23654;
+   wire n_23655;
+   wire n_23656;
+   wire n_23657;
+   wire n_23658;
+   wire n_23659;
+   wire n_23660;
+   wire n_23661;
+   wire n_23662;
+   wire n_23663;
+   wire n_23664;
+   wire n_23665;
+   wire n_23666;
+   wire n_23667;
+   wire n_23668;
+   wire n_23669;
+   wire n_23670;
+   wire n_23671;
+   wire n_23672;
+   wire n_23673;
+   wire n_23674;
+   wire n_23675;
+   wire n_23676;
+   wire n_23677;
+   wire n_23678;
+   wire n_23679;
+   wire n_23680;
+   wire n_23681;
+   wire n_23682;
+   wire n_23683;
+   wire n_23684;
+   wire n_23685;
+   wire n_23686;
+   wire n_23687;
+   wire n_23688;
+   wire n_23689;
+   wire n_23690;
+   wire n_23691;
+   wire n_23692;
+   wire n_23693;
+   wire n_23694;
+   wire n_23695;
+   wire n_23696;
+   wire n_23697;
+   wire n_23698;
+   wire n_23699;
+   wire n_23700;
+   wire n_23701;
+   wire n_23702;
+   wire n_23703;
+   wire n_23704;
+   wire n_23705;
+   wire n_23706;
+   wire n_23707;
+   wire n_23708;
+   wire n_23709;
+   wire n_23710;
+   wire n_23711;
+   wire n_23712;
+   wire n_23713;
+   wire n_23714;
+   wire n_23715;
+   wire n_23716;
+   wire n_23717;
+   wire n_23718;
+   wire n_23719;
+   wire n_23720;
+   wire n_23721;
+   wire n_23722;
+   wire n_23723;
+   wire n_23724;
+   wire n_23725;
+   wire n_23726;
+   wire n_23727;
+   wire n_23728;
+   wire n_23729;
+   wire n_23730;
+   wire n_23731;
+   wire n_23732;
+   wire n_23733;
+   wire n_23734;
+   wire n_23735;
+   wire n_23736;
+   wire n_23737;
+   wire n_23738;
+   wire n_23739;
+   wire n_23740;
+   wire n_23741;
+   wire n_23742;
+   wire n_23743;
+   wire n_23744;
+   wire n_23745;
+   wire n_23746;
+   wire n_23747;
+   wire n_23748;
+   wire n_23749;
+   wire n_23750;
+   wire n_23751;
+   wire n_23752;
+   wire n_23753;
+   wire n_23754;
+   wire n_23755;
+   wire n_23756;
+   wire n_23757;
+   wire n_23758;
+   wire n_23759;
+   wire n_23760;
+   wire n_23761;
+   wire n_23762;
+   wire n_23763;
+   wire n_23764;
+   wire n_23765;
+   wire n_23766;
+   wire n_23767;
+   wire n_23768;
+   wire n_23769;
+   wire n_23770;
+   wire n_23771;
+   wire n_23772;
+   wire n_23773;
+   wire n_23774;
+   wire n_23775;
+   wire n_23776;
+   wire n_23777;
+   wire n_23778;
+   wire n_23779;
+   wire n_23780;
+   wire n_23781;
+   wire n_23782;
+   wire n_23783;
+   wire n_23784;
+   wire n_23785;
+   wire n_23786;
+   wire n_23787;
+   wire n_23788;
+   wire n_23789;
+   wire n_23790;
+   wire n_23791;
+   wire n_23792;
+   wire n_23793;
+   wire n_23794;
+   wire n_23795;
+   wire n_23796;
+   wire n_23797;
+   wire n_23798;
+   wire n_23800;
+   wire n_23802;
+   wire n_23803;
+   wire n_23804;
+   wire n_23805;
+   wire n_23807;
+   wire n_23808;
+   wire n_23809;
+   wire n_23810;
+   wire n_23811;
+   wire n_23812;
+   wire n_23813;
+   wire n_23814;
+   wire n_23815;
+   wire n_23816;
+   wire n_23817;
+   wire n_23818;
+   wire n_23820;
+   wire n_23821;
+   wire n_23822;
+   wire n_23823;
+   wire n_23824;
+   wire n_23825;
+   wire n_23826;
+   wire n_23827;
+   wire n_23829;
+   wire n_23830;
+   wire n_23831;
+   wire n_23832;
+   wire n_23833;
+   wire n_23834;
+   wire n_23835;
+   wire n_23836;
+   wire n_23837;
+   wire n_23838;
+   wire n_23839;
+   wire n_23840;
+   wire n_23841;
+   wire n_23842;
+   wire n_23843;
+   wire n_23844;
+   wire n_23845;
+   wire n_23846;
+   wire n_23847;
+   wire n_23848;
+   wire n_23849;
+   wire n_23850;
+   wire n_23851;
+   wire n_23852;
+   wire n_23853;
+   wire n_23854;
+   wire n_23855;
+   wire n_23856;
+   wire n_23861;
+   wire n_23862;
+   wire n_23863;
+   wire n_23864;
+   wire n_23866;
+   wire n_23867;
+   wire n_23868;
+   wire n_23869;
+   wire n_23870;
+   wire n_23871;
+   wire n_23872;
+   wire n_23873;
+   wire n_23874;
+   wire n_23875;
+   wire n_23877;
+   wire n_23878;
+   wire n_23879;
+   wire n_23880;
+   wire n_23881;
+   wire n_23882;
+   wire n_23883;
+   wire n_23884;
+   wire n_23886;
+   wire n_23887;
+   wire n_23888;
+   wire n_23889;
+   wire n_23890;
+   wire n_23891;
+   wire n_23892;
+   wire n_23893;
+   wire n_23894;
+   wire n_23895;
+   wire n_23896;
+   wire n_23897;
+   wire n_23898;
+   wire n_23905;
+   wire n_23906;
+   wire n_23907;
+   wire n_23908;
+   wire n_23909;
+   wire n_23910;
+   wire n_23911;
+   wire n_23912;
+   wire n_23913;
+   wire n_23914;
+   wire n_23915;
+   wire n_23916;
+   wire n_23917;
+   wire n_23918;
+   wire n_23919;
+   wire n_23920;
+   wire n_23921;
+   wire n_23922;
+   wire n_23923;
+   wire n_23924;
+   wire n_23925;
+   wire n_23926;
+   wire n_23927;
+   wire n_23928;
+   wire n_23929;
+   wire n_23930;
+   wire n_23931;
+   wire n_23932;
+   wire n_23935;
+   wire n_23936;
+   wire n_23937;
+   wire n_23938;
+   wire n_23939;
+   wire n_23940;
+   wire n_23941;
+   wire n_23942;
+   wire n_23943;
+   wire n_23944;
+   wire n_23945;
+   wire n_23946;
+   wire n_23947;
+   wire n_23949;
+   wire n_23950;
+   wire n_23951;
+   wire n_23952;
+   wire n_23954;
+   wire n_23956;
+   wire n_23957;
+   wire n_23958;
+   wire n_23959;
+   wire n_23960;
+   wire n_23961;
+   wire n_23962;
+   wire n_23963;
+   wire n_23964;
+   wire n_23965;
+   wire n_23966;
+   wire n_23967;
+   wire n_23968;
+   wire n_23969;
+   wire n_23970;
+   wire n_23971;
+   wire n_23972;
+   wire n_23973;
+   wire n_23974;
+   wire n_23975;
+   wire n_23976;
+   wire n_23977;
+   wire n_23978;
+   wire n_23979;
+   wire n_23980;
+   wire n_23981;
+   wire n_23982;
+   wire n_23983;
+   wire n_23984;
+   wire n_23985;
+   wire n_23986;
+   wire n_23987;
+   wire n_23988;
+   wire n_23989;
+   wire n_23990;
+   wire n_23991;
+   wire n_23992;
+   wire n_23993;
+   wire n_23994;
+   wire n_23995;
+   wire n_23997;
+   wire n_23998;
+   wire n_23999;
+   wire n_24000;
+   wire n_24001;
+   wire n_24002;
+   wire n_24003;
+   wire n_24004;
+   wire n_24005;
+   wire n_24006;
+   wire n_24007;
+   wire n_24008;
+   wire n_24009;
+   wire n_24010;
+   wire n_24011;
+   wire n_24012;
+   wire n_24013;
+   wire n_24014;
+   wire n_24015;
+   wire n_24016;
+   wire n_24017;
+   wire n_24018;
+   wire n_24019;
+   wire n_24020;
+   wire n_24021;
+   wire n_24022;
+   wire n_24023;
+   wire n_24024;
+   wire n_24025;
+   wire n_24026;
+   wire n_24027;
+   wire n_24028;
+   wire n_24029;
+   wire n_24030;
+   wire n_24031;
+   wire n_24032;
+   wire n_24033;
+   wire n_24034;
+   wire n_24035;
+   wire n_24036;
+   wire n_24037;
+   wire n_24038;
+   wire n_24051;
+   wire n_24052;
+   wire n_24053;
+   wire n_24054;
+   wire n_24055;
+   wire n_24056;
+   wire n_24057;
+   wire n_24059;
+   wire n_24061;
+   wire n_24062;
+   wire n_24063;
+   wire n_24065;
+   wire n_24092;
+   wire n_24097;
+   wire n_24098;
+   wire n_24099;
+   wire n_24100;
+   wire n_24101;
+   wire n_24102;
+   wire n_24103;
+   wire n_24104;
+   wire n_24105;
+   wire n_24106;
+   wire n_24107;
+   wire n_24108;
+   wire n_24109;
+   wire n_24110;
+   wire n_24111;
+   wire n_24112;
+   wire n_24113;
+   wire n_24114;
+   wire n_24118;
+   wire n_24122;
+   wire n_24123;
+   wire n_24124;
+   wire n_24125;
+   wire n_24126;
+   wire n_24130;
+   wire n_24131;
+   wire n_24132;
+   wire n_24133;
+   wire n_24134;
+   wire n_24135;
+   wire n_24136;
+   wire n_24137;
+   wire n_24139;
+   wire n_24140;
+   wire n_24141;
+   wire n_24142;
+   wire n_24143;
+   wire n_24144;
+   wire n_24148;
+   wire n_24149;
+   wire n_24150;
+   wire n_24151;
+   wire n_24152;
+   wire n_24154;
+   wire n_24155;
+   wire n_24156;
+   wire n_24157;
+   wire n_24158;
+   wire n_24159;
+   wire n_24160;
+   wire n_24162;
+   wire n_24163;
+   wire n_24164;
+   wire n_24165;
+   wire n_24166;
+   wire n_24167;
+   wire n_24169;
+   wire n_24170;
+   wire n_24171;
+   wire n_24172;
+   wire n_24173;
+   wire n_24174;
+   wire n_24175;
+   wire n_24176;
+   wire n_24177;
+   wire n_24178;
+   wire n_24179;
+   wire n_24182;
+   wire n_24183;
+   wire n_24184;
+   wire n_24185;
+   wire n_24186;
+   wire n_24187;
+   wire n_24190;
+   wire n_24191;
+   wire n_24192;
+   wire n_24193;
+   wire n_24194;
+   wire n_24195;
+   wire n_24196;
+   wire n_24197;
+   wire n_24198;
+   wire n_24199;
+   wire n_24201;
+   wire n_24203;
+   wire n_24204;
+   wire n_24205;
+   wire n_24208;
+   wire n_24209;
+   wire n_24211;
+   wire n_24212;
+   wire n_24213;
+   wire n_24216;
+   wire n_24217;
+   wire n_24218;
+   wire n_24219;
+   wire n_24220;
+   wire n_24221;
+   wire n_24222;
+   wire n_24223;
+   wire n_24224;
+   wire n_24225;
+   wire n_24226;
+   wire n_24227;
+   wire n_24228;
+   wire n_24229;
+   wire n_24230;
+   wire n_24232;
+   wire n_24234;
+   wire n_24235;
+   wire n_24236;
+   wire n_24237;
+   wire n_24240;
+   wire n_24241;
+   wire n_24242;
+   wire n_24244;
+   wire n_24245;
+   wire n_24246;
+   wire n_24247;
+   wire n_24248;
+   wire n_24249;
+   wire n_24251;
+   wire n_24253;
+   wire n_24254;
+   wire n_24255;
+   wire n_24256;
+   wire n_24260;
+   wire n_24261;
+   wire n_24263;
+   wire n_24265;
+   wire n_24266;
+   wire n_24267;
+   wire n_24268;
+   wire n_24269;
+   wire n_24270;
+   wire n_24271;
+   wire n_24272;
+   wire n_24273;
+   wire n_24274;
+   wire n_24276;
+   wire n_24277;
+   wire n_24279;
+   wire n_24280;
+   wire n_24282;
+   wire n_24283;
+   wire n_24284;
+   wire n_24285;
+   wire n_24286;
+   wire n_24287;
+   wire n_24288;
+   wire n_24289;
+   wire n_24290;
+   wire n_24291;
+   wire n_24292;
+   wire n_24293;
+   wire n_24297;
+   wire n_24298;
+   wire n_24299;
+   wire n_24300;
+   wire n_24301;
+   wire n_24302;
+   wire n_24303;
+   wire n_24304;
+   wire n_24305;
+   wire n_24306;
+   wire n_24312;
+   wire n_24339;
+   wire n_24341;
+   wire n_24343;
+   wire n_24344;
+   wire n_24345;
+   wire n_24346;
+   wire n_24347;
+   wire n_24348;
+   wire n_24351;
+   wire n_24353;
+   wire n_24354;
+   wire n_24355;
+   wire n_24356;
+   wire n_24357;
+   wire n_24359;
+   wire n_24363;
+   wire n_24365;
+   wire n_24367;
+   wire n_24368;
+   wire n_24369;
+   wire n_24370;
+   wire n_24371;
+   wire n_24372;
+   wire n_24373;
+   wire n_24374;
+   wire n_24376;
+   wire n_24377;
+   wire n_24378;
+   wire n_24379;
+   wire n_24380;
+   wire n_24381;
+   wire n_24382;
+   wire n_24384;
+   wire n_24386;
+   wire n_24387;
+   wire n_24388;
+   wire n_24391;
+   wire n_24392;
+   wire n_24393;
+   wire n_24394;
+   wire n_24395;
+   wire n_24397;
+   wire n_24398;
+   wire n_24400;
+   wire n_24401;
+   wire n_24402;
+   wire n_24403;
+   wire n_24404;
+   wire n_24405;
+   wire n_24406;
+   wire n_24407;
+   wire n_24408;
+   wire n_24409;
+   wire n_24410;
+   wire n_24411;
+   wire n_24412;
+   wire n_24413;
+   wire n_24414;
+   wire n_24415;
+   wire n_24416;
+   wire n_24418;
+   wire n_24419;
+   wire n_24420;
+   wire n_24421;
+   wire n_24422;
+   wire n_24423;
+   wire n_24424;
+   wire n_24425;
+   wire n_24426;
+   wire n_24427;
+   wire n_24428;
+   wire n_24429;
+   wire n_24430;
+   wire n_24431;
+   wire n_24433;
+   wire n_24434;
+   wire n_24435;
+   wire n_24439;
+   wire n_24443;
+   wire n_24446;
+   wire n_24447;
+   wire n_24448;
+   wire n_24449;
+   wire n_24450;
+   wire n_24451;
+   wire n_24452;
+   wire n_24453;
+   wire n_24454;
+   wire n_24458;
+   wire n_24459;
+   wire n_24460;
+   wire n_24461;
+   wire n_24462;
+   wire n_24463;
+   wire n_24464;
+   wire n_24465;
+   wire n_24466;
+   wire n_24467;
+   wire n_24468;
+   wire n_24469;
+   wire n_24470;
+   wire n_24471;
+   wire n_24472;
+   wire n_24473;
+   wire n_24474;
+   wire n_24475;
+   wire n_24476;
+   wire n_24478;
+   wire n_24480;
+   wire n_24482;
+   wire n_24483;
+   wire n_24484;
+   wire n_24485;
+   wire n_24486;
+   wire n_24487;
+   wire n_24488;
+   wire n_24489;
+   wire n_24490;
+   wire n_24491;
+   wire n_24492;
+   wire n_24493;
+   wire n_24494;
+   wire n_24495;
+   wire n_24496;
+   wire n_24497;
+   wire n_24498;
+   wire n_24499;
+   wire n_24500;
+   wire n_24501;
+   wire n_24502;
+   wire n_24503;
+   wire n_24504;
+   wire n_24505;
+   wire n_24507;
+   wire n_24509;
+   wire n_24510;
+   wire n_24511;
+   wire n_24512;
+   wire n_24513;
+   wire n_24514;
+   wire n_24515;
+   wire n_24517;
+   wire n_24518;
+   wire n_24519;
+   wire n_24520;
+   wire n_24521;
+   wire n_24523;
+   wire n_24524;
+   wire n_24525;
+   wire n_24526;
+   wire n_24527;
+   wire n_24528;
+   wire n_24529;
+   wire n_24530;
+   wire n_24531;
+   wire n_24532;
+   wire n_24533;
+   wire n_24534;
+   wire n_24535;
+   wire n_24536;
+   wire n_24537;
+   wire n_24538;
+   wire n_24539;
+   wire n_24540;
+   wire n_24541;
+   wire n_24542;
+   wire n_24543;
+   wire n_24544;
+   wire n_24545;
+   wire n_24546;
+   wire n_24547;
+   wire n_24548;
+   wire n_24549;
+   wire n_24550;
+   wire n_24551;
+   wire n_24552;
+   wire n_24553;
+   wire n_24554;
+   wire n_24555;
+   wire n_24556;
+   wire n_24557;
+   wire n_24558;
+   wire n_24559;
+   wire n_24560;
+   wire n_24561;
+   wire n_24562;
+   wire n_24563;
+   wire n_24564;
+   wire n_24565;
+   wire n_24566;
+   wire n_24567;
+   wire n_24568;
+   wire n_24569;
+   wire n_24570;
+   wire n_24571;
+   wire n_24572;
+   wire n_24573;
+   wire n_24574;
+   wire n_24575;
+   wire n_24576;
+   wire n_24577;
+   wire n_24578;
+   wire n_24579;
+   wire n_24580;
+   wire n_24581;
+   wire n_24582;
+   wire n_24583;
+   wire n_24584;
+   wire n_24585;
+   wire n_24586;
+   wire n_24587;
+   wire n_24588;
+   wire n_24589;
+   wire n_24590;
+   wire n_24591;
+   wire n_24592;
+   wire n_24593;
+   wire n_24594;
+   wire n_24595;
+   wire n_24596;
+   wire n_24597;
+   wire n_24598;
+   wire n_24599;
+   wire n_24600;
+   wire n_24601;
+   wire n_24602;
+   wire n_24603;
+   wire n_24604;
+   wire n_24605;
+   wire n_24606;
+   wire n_24607;
+   wire n_24608;
+   wire n_24609;
+   wire n_24610;
+   wire n_24611;
+   wire n_24612;
+   wire n_24613;
+   wire n_24614;
+   wire n_24615;
+   wire n_24616;
+   wire n_24617;
+   wire n_24618;
+   wire n_24619;
+   wire n_24620;
+   wire n_24621;
+   wire n_24622;
+   wire n_24623;
+   wire n_24624;
+   wire n_24625;
+   wire n_24626;
+   wire n_24627;
+   wire n_24628;
+   wire n_24629;
+   wire n_24630;
+   wire n_24631;
+   wire n_24632;
+   wire n_24633;
+   wire n_24634;
+   wire n_24635;
+   wire n_24636;
+   wire n_24637;
+   wire n_24638;
+   wire n_24639;
+   wire n_24640;
+   wire n_24641;
+   wire n_24642;
+   wire n_24643;
+   wire n_24644;
+   wire n_24645;
+   wire n_24646;
+   wire n_24647;
+   wire n_24648;
+   wire n_24649;
+   wire n_24650;
+   wire n_24651;
+   wire n_24652;
+   wire n_24653;
+   wire n_24654;
+   wire n_24655;
+   wire n_24656;
+   wire n_24657;
+   wire n_24658;
+   wire n_24659;
+   wire n_24660;
+   wire n_24661;
+   wire n_24662;
+   wire n_24663;
+   wire n_24664;
+   wire n_24665;
+   wire n_24666;
+   wire n_24667;
+   wire n_24668;
+   wire n_24669;
+   wire n_24670;
+   wire n_24671;
+   wire n_24672;
+   wire n_24673;
+   wire n_24674;
+   wire n_24675;
+   wire n_24676;
+   wire n_24677;
+   wire n_24678;
+   wire n_24679;
+   wire n_24680;
+   wire n_24681;
+   wire n_24682;
+   wire n_24683;
+   wire n_24684;
+   wire n_24685;
+   wire n_24686;
+   wire n_24687;
+   wire n_24688;
+   wire n_24689;
+   wire n_24690;
+   wire n_24691;
+   wire n_24692;
+   wire n_24693;
+   wire n_24694;
+   wire n_24695;
+   wire n_24696;
+   wire n_24697;
+   wire n_24698;
+   wire n_24699;
+   wire n_24700;
+   wire n_24701;
+   wire n_24702;
+   wire n_24703;
+   wire n_24704;
+   wire n_24705;
+   wire n_24706;
+   wire n_24707;
+   wire n_24708;
+   wire n_24709;
+   wire n_24710;
+   wire n_24711;
+   wire n_24712;
+   wire n_24713;
+   wire n_24714;
+   wire n_24715;
+   wire n_24716;
+   wire n_24717;
+   wire n_24718;
+   wire n_24719;
+   wire n_24720;
+   wire n_24721;
+   wire n_24722;
+   wire n_24723;
+   wire n_24724;
+   wire n_24725;
+   wire n_24726;
+   wire n_24727;
+   wire n_24728;
+   wire n_24729;
+   wire n_24730;
+   wire n_24731;
+   wire n_24732;
+   wire n_24733;
+   wire n_24734;
+   wire n_24735;
+   wire n_24736;
+   wire n_24737;
+   wire n_24738;
+   wire n_24739;
+   wire n_24740;
+   wire n_24741;
+   wire n_24742;
+   wire n_24743;
+   wire n_24744;
+   wire n_24745;
+   wire n_24746;
+   wire n_24747;
+   wire n_24748;
+   wire n_24749;
+   wire n_24750;
+   wire n_24751;
+   wire n_24752;
+   wire n_24753;
+   wire n_24754;
+   wire n_24755;
+   wire n_24756;
+   wire n_24757;
+   wire n_24758;
+   wire n_24759;
+   wire n_24760;
+   wire n_24761;
+   wire n_24762;
+   wire n_24763;
+   wire n_24764;
+   wire n_24765;
+   wire n_24766;
+   wire n_24767;
+   wire n_24768;
+   wire n_24769;
+   wire n_24770;
+   wire n_24771;
+   wire n_24772;
+   wire n_24773;
+   wire n_24774;
+   wire n_24775;
+   wire n_24776;
+   wire n_24777;
+   wire n_24778;
+   wire n_24779;
+   wire n_24780;
+   wire n_24781;
+   wire n_24782;
+   wire n_24783;
+   wire n_24784;
+   wire n_24785;
+   wire n_24786;
+   wire n_24787;
+   wire n_24788;
+   wire n_24789;
+   wire n_24790;
+   wire n_24791;
+   wire n_24792;
+   wire n_24793;
+   wire n_24794;
+   wire n_24795;
+   wire n_24796;
+   wire n_24797;
+   wire n_24798;
+   wire n_24799;
+   wire n_24800;
+   wire n_24801;
+   wire n_24802;
+   wire n_24803;
+   wire n_24804;
+   wire n_24805;
+   wire n_24806;
+   wire n_24807;
+   wire n_24808;
+   wire n_24809;
+   wire n_24810;
+   wire n_24811;
+   wire n_24812;
+   wire n_24813;
+   wire n_24814;
+   wire n_24815;
+   wire n_24816;
+   wire n_24817;
+   wire n_24818;
+   wire n_24819;
+   wire n_24820;
+   wire n_24821;
+   wire n_24822;
+   wire n_24823;
+   wire n_24824;
+   wire n_24825;
+   wire n_24826;
+   wire n_24827;
+   wire n_24828;
+   wire n_24829;
+   wire n_24830;
+   wire n_24831;
+   wire n_24832;
+   wire n_24833;
+   wire n_24834;
+   wire n_24835;
+   wire n_24836;
+   wire n_24837;
+   wire n_24838;
+   wire n_24839;
+   wire n_24840;
+   wire n_24841;
+   wire n_24842;
+   wire n_24843;
+   wire n_24844;
+   wire n_24845;
+   wire n_24846;
+   wire n_24847;
+   wire n_24848;
+   wire n_24849;
+   wire n_24850;
+   wire n_24851;
+   wire n_24852;
+   wire n_24853;
+   wire n_24854;
+   wire n_24855;
+   wire n_24856;
+   wire n_24857;
+   wire n_24858;
+   wire n_24859;
+   wire n_24860;
+   wire n_24861;
+   wire n_24862;
+   wire n_24863;
+   wire n_24864;
+   wire n_24865;
+   wire n_24866;
+   wire n_24867;
+   wire n_24868;
+   wire n_24869;
+   wire n_24870;
+   wire n_24871;
+   wire n_24872;
+   wire n_24873;
+   wire n_24874;
+   wire n_24875;
+   wire n_24876;
+   wire n_24877;
+   wire n_24878;
+   wire n_24879;
+   wire n_24880;
+   wire n_24881;
+   wire n_24882;
+   wire n_24883;
+   wire n_24884;
+   wire n_24885;
+   wire n_24886;
+   wire n_24887;
+   wire n_24888;
+   wire n_24889;
+   wire n_24890;
+   wire n_24891;
+   wire n_24892;
+   wire n_24893;
+   wire n_24894;
+   wire n_24895;
+   wire n_24896;
+   wire n_24897;
+   wire n_24898;
+   wire n_24899;
+   wire n_24900;
+   wire n_24901;
+   wire n_24902;
+   wire n_24903;
+   wire n_24904;
+   wire n_24905;
+   wire n_24906;
+   wire n_24907;
+   wire n_24908;
+   wire n_24909;
+   wire n_24910;
+   wire n_24911;
+   wire n_24912;
+   wire n_24913;
+   wire n_24914;
+   wire n_24915;
+   wire n_24916;
+   wire n_24917;
+   wire n_24918;
+   wire n_24919;
+   wire n_24920;
+   wire n_24921;
+   wire n_24922;
+   wire n_24923;
+   wire n_24924;
+   wire n_24925;
+   wire n_24926;
+   wire n_24927;
+   wire n_24928;
+   wire n_24929;
+   wire n_24930;
+   wire n_24931;
+   wire n_24932;
+   wire n_24933;
+   wire n_24934;
+   wire n_24935;
+   wire n_24936;
+   wire n_24937;
+   wire n_24938;
+   wire n_24939;
+   wire n_24940;
+   wire n_24941;
+   wire n_24942;
+   wire n_24943;
+   wire n_24944;
+   wire n_24945;
+   wire n_24946;
+   wire n_24947;
+   wire n_24948;
+   wire n_24949;
+   wire n_24950;
+   wire n_24951;
+   wire n_24952;
+   wire n_24953;
+   wire n_24954;
+   wire n_24955;
+   wire n_24956;
+   wire n_24957;
+   wire n_24958;
+   wire n_24959;
+   wire n_24960;
+   wire n_24961;
+   wire n_24962;
+   wire n_24963;
+   wire n_24964;
+   wire n_24965;
+   wire n_24966;
+   wire n_24967;
+   wire n_24968;
+   wire n_24969;
+   wire n_24970;
+   wire n_24971;
+   wire n_24972;
+   wire n_24973;
+   wire n_24974;
+   wire n_24975;
+   wire n_24976;
+   wire n_24977;
+   wire n_24978;
+   wire n_24979;
+   wire n_24980;
+   wire n_24981;
+   wire n_24982;
+   wire n_24983;
+   wire n_24984;
+   wire n_24985;
+   wire n_24986;
+   wire n_24987;
+   wire n_24988;
+   wire n_24989;
+   wire n_24990;
+   wire n_24991;
+   wire n_24992;
+   wire n_24993;
+   wire n_24994;
+   wire n_24995;
+   wire n_24996;
+   wire n_24997;
+   wire n_24998;
+   wire n_24999;
+   wire n_25000;
+   wire n_25001;
+   wire n_25002;
+   wire n_25003;
+   wire n_25004;
+   wire n_25005;
+   wire n_25006;
+   wire n_25007;
+   wire n_25008;
+   wire n_25009;
+   wire n_25010;
+   wire n_25011;
+   wire n_25012;
+   wire n_25013;
+   wire n_25014;
+   wire n_25015;
+   wire n_25016;
+   wire n_25017;
+   wire n_25018;
+   wire n_25019;
+   wire n_25020;
+   wire n_25021;
+   wire n_25022;
+   wire n_25023;
+   wire n_25024;
+   wire n_25025;
+   wire n_25026;
+   wire n_25027;
+   wire n_25028;
+   wire n_25029;
+   wire n_25030;
+   wire n_25031;
+   wire n_25032;
+   wire n_25033;
+   wire n_25034;
+   wire n_25035;
+   wire n_25036;
+   wire n_25037;
+   wire n_25038;
+   wire n_25039;
+   wire n_25040;
+   wire n_25041;
+   wire n_25042;
+   wire n_25043;
+   wire n_25044;
+   wire n_25045;
+   wire n_25046;
+   wire n_25047;
+   wire n_25048;
+   wire n_25049;
+   wire n_25050;
+   wire n_25051;
+   wire n_25052;
+   wire n_25053;
+   wire n_25054;
+   wire n_25055;
+   wire n_25056;
+   wire n_25057;
+   wire n_25058;
+   wire n_25059;
+   wire n_25060;
+   wire n_25061;
+   wire n_25062;
+   wire n_25063;
+   wire n_25064;
+   wire n_25066;
+   wire n_25067;
+   wire n_25068;
+   wire n_25069;
+   wire n_25070;
+   wire n_25071;
+   wire n_25072;
+   wire n_25073;
+   wire n_25074;
+   wire n_25075;
+   wire n_25076;
+   wire n_25077;
+   wire n_25078;
+   wire n_25079;
+   wire n_25080;
+   wire n_25081;
+   wire n_25082;
+   wire n_25083;
+   wire n_25084;
+   wire n_25085;
+   wire n_25086;
+   wire n_25087;
+   wire n_25088;
+   wire n_25089;
+   wire n_25090;
+   wire n_25091;
+   wire n_25092;
+   wire n_25093;
+   wire n_25094;
+   wire n_25095;
+   wire n_25096;
+   wire n_25097;
+   wire n_25098;
+   wire n_25099;
+   wire n_25100;
+   wire n_25101;
+   wire n_25102;
+   wire n_25103;
+   wire n_25104;
+   wire n_25105;
+   wire n_25106;
+   wire n_25107;
+   wire n_25108;
+   wire n_25109;
+   wire n_25110;
+   wire n_25111;
+   wire n_25112;
+   wire n_25113;
+   wire n_25114;
+   wire n_25115;
+   wire n_25116;
+   wire n_25117;
+   wire n_25118;
+   wire n_25119;
+   wire n_25120;
+   wire n_25121;
+   wire n_25122;
+   wire n_25123;
+   wire n_25124;
+   wire n_25125;
+   wire n_25126;
+   wire n_25127;
+   wire n_25128;
+   wire n_25129;
+   wire n_25130;
+   wire n_25131;
+   wire n_25132;
+   wire n_25133;
+   wire n_25134;
+   wire n_25135;
+   wire n_25136;
+   wire n_25137;
+   wire n_25138;
+   wire n_25139;
+   wire n_25140;
+   wire n_25141;
+   wire n_25142;
+   wire n_25143;
+   wire n_25144;
+   wire n_25145;
+   wire n_25146;
+   wire n_25147;
+   wire n_25148;
+   wire n_25149;
+   wire n_25150;
+   wire n_25151;
+   wire n_25153;
+   wire n_25154;
+   wire n_25155;
+   wire n_25156;
+   wire n_25157;
+   wire n_25158;
+   wire n_25159;
+   wire n_25160;
+   wire n_25161;
+   wire n_25162;
+   wire n_25163;
+   wire n_25164;
+   wire n_25165;
+   wire n_25166;
+   wire n_25167;
+   wire n_25168;
+   wire n_25169;
+   wire n_25170;
+   wire n_25171;
+   wire n_25172;
+   wire n_25173;
+   wire n_25174;
+   wire n_25175;
+   wire n_25176;
+   wire n_25177;
+   wire n_25178;
+   wire n_25180;
+   wire n_25181;
+   wire n_25182;
+   wire n_25183;
+   wire n_25184;
+   wire n_25185;
+   wire n_25186;
+   wire n_25187;
+   wire n_25188;
+   wire n_25189;
+   wire n_25190;
+   wire n_25191;
+   wire n_25192;
+   wire n_25193;
+   wire n_25194;
+   wire n_25195;
+   wire n_25196;
+   wire n_25197;
+   wire n_25198;
+   wire n_25199;
+   wire n_25200;
+   wire n_25201;
+   wire n_25202;
+   wire n_25203;
+   wire n_25204;
+   wire n_25205;
+   wire n_25206;
+   wire n_25207;
+   wire n_25208;
+   wire n_25209;
+   wire n_25210;
+   wire n_25211;
+   wire n_25212;
+   wire n_25213;
+   wire n_25214;
+   wire n_25215;
+   wire n_25216;
+   wire n_25217;
+   wire n_25218;
+   wire n_25220;
+   wire n_25221;
+   wire n_25222;
+   wire n_25223;
+   wire n_25224;
+   wire n_25225;
+   wire n_25226;
+   wire n_25227;
+   wire n_25228;
+   wire n_25229;
+   wire n_25230;
+   wire n_25231;
+   wire n_25232;
+   wire n_25233;
+   wire n_25234;
+   wire n_25235;
+   wire n_25236;
+   wire n_25237;
+   wire n_25238;
+   wire n_25239;
+   wire n_25240;
+   wire n_25241;
+   wire n_25242;
+   wire n_25243;
+   wire n_25244;
+   wire n_25245;
+   wire n_25246;
+   wire n_25247;
+   wire n_25248;
+   wire n_25249;
+   wire n_25250;
+   wire n_25251;
+   wire n_25252;
+   wire n_25253;
+   wire n_25254;
+   wire n_25255;
+   wire n_25256;
+   wire n_25257;
+   wire n_25258;
+   wire n_25259;
+   wire n_25260;
+   wire n_25261;
+   wire n_25262;
+   wire n_25263;
+   wire n_25264;
+   wire n_25265;
+   wire n_25266;
+   wire n_25267;
+   wire n_25268;
+   wire n_25269;
+   wire n_25270;
+   wire n_25271;
+   wire n_25272;
+   wire n_25273;
+   wire n_25274;
+   wire n_25275;
+   wire n_25276;
+   wire n_25277;
+   wire n_25278;
+   wire n_25279;
+   wire n_25280;
+   wire n_25281;
+   wire n_25282;
+   wire n_25283;
+   wire n_25284;
+   wire n_25285;
+   wire n_25287;
+   wire n_25288;
+   wire n_25289;
+   wire n_25290;
+   wire n_25291;
+   wire n_25292;
+   wire n_25293;
+   wire n_25294;
+   wire n_25295;
+   wire n_25296;
+   wire n_25297;
+   wire n_25298;
+   wire n_25299;
+   wire n_25300;
+   wire n_25301;
+   wire n_25302;
+   wire n_25303;
+   wire n_25304;
+   wire n_25305;
+   wire n_25306;
+   wire n_25307;
+   wire n_25308;
+   wire n_25309;
+   wire n_25310;
+   wire n_25311;
+   wire n_25312;
+   wire n_25313;
+   wire n_25314;
+   wire n_25315;
+   wire n_25316;
+   wire n_25318;
+   wire n_25319;
+   wire n_25320;
+   wire n_25321;
+   wire n_25322;
+   wire n_25323;
+   wire n_25324;
+   wire n_25325;
+   wire n_25326;
+   wire n_25327;
+   wire n_25328;
+   wire n_25329;
+   wire n_25330;
+   wire n_25331;
+   wire n_25332;
+   wire n_25333;
+   wire n_25334;
+   wire n_25335;
+   wire n_25336;
+   wire n_25337;
+   wire n_25338;
+   wire n_25339;
+   wire n_25340;
+   wire n_25341;
+   wire n_25342;
+   wire n_25343;
+   wire n_25344;
+   wire n_25345;
+   wire n_25346;
+   wire n_25347;
+   wire n_25348;
+   wire n_25349;
+   wire n_25350;
+   wire n_25351;
+   wire n_25352;
+   wire n_25353;
+   wire n_25354;
+   wire n_25355;
+   wire n_25356;
+   wire n_25357;
+   wire n_25358;
+   wire n_25359;
+   wire n_25360;
+   wire n_25361;
+   wire n_25362;
+   wire n_25363;
+   wire n_25364;
+   wire n_25365;
+   wire n_25366;
+   wire n_25367;
+   wire n_25368;
+   wire n_25369;
+   wire n_25370;
+   wire n_25371;
+   wire n_25372;
+   wire n_25373;
+   wire n_25374;
+   wire n_25375;
+   wire n_25376;
+   wire n_25377;
+   wire n_25378;
+   wire n_25379;
+   wire n_25380;
+   wire n_25381;
+   wire n_25382;
+   wire n_25383;
+   wire n_25384;
+   wire n_25385;
+   wire n_25386;
+   wire n_25387;
+   wire n_25388;
+   wire n_25389;
+   wire n_25390;
+   wire n_25391;
+   wire n_25392;
+   wire n_25393;
+   wire n_25394;
+   wire n_25395;
+   wire n_25396;
+   wire n_25397;
+   wire n_25398;
+   wire n_25399;
+   wire n_25400;
+   wire n_25401;
+   wire n_25402;
+   wire n_25403;
+   wire n_25404;
+   wire n_25405;
+   wire n_25406;
+   wire n_25407;
+   wire n_25408;
+   wire n_25409;
+   wire n_25410;
+   wire n_25411;
+   wire n_25412;
+   wire n_25413;
+   wire n_25414;
+   wire n_25415;
+   wire n_25416;
+   wire n_25417;
+   wire n_25418;
+   wire n_25419;
+   wire n_25420;
+   wire n_25421;
+   wire n_25422;
+   wire n_25423;
+   wire n_25424;
+   wire n_25425;
+   wire n_25426;
+   wire n_25428;
+   wire n_25429;
+   wire n_25430;
+   wire n_25431;
+   wire n_25432;
+   wire n_25433;
+   wire n_25434;
+   wire n_25435;
+   wire n_25436;
+   wire n_25437;
+   wire n_25438;
+   wire n_25439;
+   wire n_25441;
+   wire n_25442;
+   wire n_25443;
+   wire n_25444;
+   wire n_25445;
+   wire n_25446;
+   wire n_25447;
+   wire n_25448;
+   wire n_25449;
+   wire n_25450;
+   wire n_25451;
+   wire n_25452;
+   wire n_25453;
+   wire n_25454;
+   wire n_25455;
+   wire n_25456;
+   wire n_25457;
+   wire n_25458;
+   wire n_25459;
+   wire n_25460;
+   wire n_25461;
+   wire n_25462;
+   wire n_25463;
+   wire n_25464;
+   wire n_25465;
+   wire n_25466;
+   wire n_25467;
+   wire n_25468;
+   wire n_25469;
+   wire n_25470;
+   wire n_25471;
+   wire n_25472;
+   wire n_25473;
+   wire n_25474;
+   wire n_25475;
+   wire n_25476;
+   wire n_25477;
+   wire n_25478;
+   wire n_25479;
+   wire n_25480;
+   wire n_25481;
+   wire n_25482;
+   wire n_25483;
+   wire n_25484;
+   wire n_25485;
+   wire n_25486;
+   wire n_25487;
+   wire n_25488;
+   wire n_25489;
+   wire n_25490;
+   wire n_25491;
+   wire n_25492;
+   wire n_25493;
+   wire n_25494;
+   wire n_25495;
+   wire n_25498;
+   wire n_25499;
+   wire n_25500;
+   wire n_25501;
+   wire n_25502;
+   wire n_25503;
+   wire n_25504;
+   wire n_25505;
+   wire n_25506;
+   wire n_25507;
+   wire n_25508;
+   wire n_25509;
+   wire n_25510;
+   wire n_25511;
+   wire n_25512;
+   wire n_25513;
+   wire n_25514;
+   wire n_25515;
+   wire n_25516;
+   wire n_25517;
+   wire n_25518;
+   wire n_25519;
+   wire n_25520;
+   wire n_25521;
+   wire n_25522;
+   wire n_25523;
+   wire n_25524;
+   wire n_25525;
+   wire n_25526;
+   wire n_25527;
+   wire n_25528;
+   wire n_25529;
+   wire n_25530;
+   wire n_25531;
+   wire n_25532;
+   wire n_25533;
+   wire n_25534;
+   wire n_25535;
+   wire n_25536;
+   wire n_25537;
+   wire n_25538;
+   wire n_25539;
+   wire n_25540;
+   wire n_25541;
+   wire n_25542;
+   wire n_25543;
+   wire n_25544;
+   wire n_25545;
+   wire n_25546;
+   wire n_25547;
+   wire n_25548;
+   wire n_25549;
+   wire n_25550;
+   wire n_25551;
+   wire n_25552;
+   wire n_25553;
+   wire n_25554;
+   wire n_25555;
+   wire n_25556;
+   wire n_25557;
+   wire n_25558;
+   wire n_25559;
+   wire n_25560;
+   wire n_25561;
+   wire n_25562;
+   wire n_25563;
+   wire n_25564;
+   wire n_25565;
+   wire n_25567;
+   wire n_25570;
+   wire n_25572;
+   wire n_25573;
+   wire n_25574;
+   wire n_25575;
+   wire n_25576;
+   wire n_25577;
+   wire n_25579;
+   wire n_25580;
+   wire n_25581;
+   wire n_25582;
+   wire n_25583;
+   wire n_25584;
+   wire n_25585;
+   wire n_25586;
+   wire n_25587;
+   wire n_25588;
+   wire n_25589;
+   wire n_25590;
+   wire n_25591;
+   wire n_25592;
+   wire n_25593;
+   wire n_25594;
+   wire n_25595;
+   wire n_25596;
+   wire n_25597;
+   wire n_25598;
+   wire n_25599;
+   wire n_25600;
+   wire n_25601;
+   wire n_25602;
+   wire n_25603;
+   wire n_25604;
+   wire n_25605;
+   wire n_25606;
+   wire n_25607;
+   wire n_25608;
+   wire n_25609;
+   wire n_25610;
+   wire n_25611;
+   wire n_25612;
+   wire n_25613;
+   wire n_25614;
+   wire n_25615;
+   wire n_25616;
+   wire n_25617;
+   wire n_25618;
+   wire n_25619;
+   wire n_25620;
+   wire n_25621;
+   wire n_25622;
+   wire n_25623;
+   wire n_25624;
+   wire n_25625;
+   wire n_25626;
+   wire n_25627;
+   wire n_25628;
+   wire n_25629;
+   wire n_25630;
+   wire n_25631;
+   wire n_25632;
+   wire n_25633;
+   wire n_25634;
+   wire n_25635;
+   wire n_25636;
+   wire n_25637;
+   wire n_25638;
+   wire n_25639;
+   wire n_25640;
+   wire n_25641;
+   wire n_25642;
+   wire n_25643;
+   wire n_25644;
+   wire n_25645;
+   wire n_25646;
+   wire n_25647;
+   wire n_25648;
+   wire n_25649;
+   wire n_25650;
+   wire n_25651;
+   wire n_25652;
+   wire n_25653;
+   wire n_25654;
+   wire n_25655;
+   wire n_25656;
+   wire n_25657;
+   wire n_25658;
+   wire n_25659;
+   wire n_25660;
+   wire n_25661;
+   wire n_25662;
+   wire n_25663;
+   wire n_25664;
+   wire n_25665;
+   wire n_25666;
+   wire n_25667;
+   wire n_25668;
+   wire n_25669;
+   wire n_25670;
+   wire n_25671;
+   wire n_25672;
+   wire n_25673;
+   wire n_25674;
+   wire n_25675;
+   wire n_25676;
+   wire n_25677;
+   wire n_25678;
+   wire n_25679;
+   wire n_25680;
+   wire n_25681;
+   wire n_25682;
+   wire n_25683;
+   wire n_25684;
+   wire n_25685;
+   wire n_25686;
+   wire n_25687;
+   wire n_25688;
+   wire n_25689;
+   wire n_25690;
+   wire n_25691;
+   wire n_25692;
+   wire n_25693;
+   wire n_25694;
+   wire n_25695;
+   wire n_25696;
+   wire n_25697;
+   wire n_25698;
+   wire n_25699;
+   wire n_25700;
+   wire n_25701;
+   wire n_25702;
+   wire n_25703;
+   wire n_25704;
+   wire n_25705;
+   wire n_25706;
+   wire n_25707;
+   wire n_25708;
+   wire n_25709;
+   wire n_25710;
+   wire n_25711;
+   wire n_25712;
+   wire n_25713;
+   wire n_25714;
+   wire n_25715;
+   wire n_25716;
+   wire n_25717;
+   wire n_25718;
+   wire n_25719;
+   wire n_25720;
+   wire n_25721;
+   wire n_25722;
+   wire n_25723;
+   wire n_25724;
+   wire n_25725;
+   wire n_25726;
+   wire n_25727;
+   wire n_25728;
+   wire n_25729;
+   wire n_25730;
+   wire n_25731;
+   wire n_25732;
+   wire n_25733;
+   wire n_25734;
+   wire n_25735;
+   wire n_25736;
+   wire n_25737;
+   wire n_25738;
+   wire n_25739;
+   wire n_25740;
+   wire n_25741;
+   wire n_25742;
+   wire n_25743;
+   wire n_25744;
+   wire n_25745;
+   wire n_25746;
+   wire n_25747;
+   wire n_25748;
+   wire n_25749;
+   wire n_25750;
+   wire n_25751;
+   wire n_25752;
+   wire n_25753;
+   wire n_25754;
+   wire n_25755;
+   wire n_25756;
+   wire n_25757;
+   wire n_25758;
+   wire n_25759;
+   wire n_25760;
+   wire n_25761;
+   wire n_25762;
+   wire n_25763;
+   wire n_25764;
+   wire n_25765;
+   wire n_25766;
+   wire n_25767;
+   wire n_25768;
+   wire n_25769;
+   wire n_25770;
+   wire n_25771;
+   wire n_25772;
+   wire n_25773;
+   wire n_25774;
+   wire n_25775;
+   wire n_25776;
+   wire n_25777;
+   wire n_25778;
+   wire n_25779;
+   wire n_25780;
+   wire n_25781;
+   wire n_25782;
+   wire n_25783;
+   wire n_25784;
+   wire n_25785;
+   wire n_25786;
+   wire n_25788;
+   wire n_25789;
+   wire n_25790;
+   wire n_25791;
+   wire n_25792;
+   wire n_25793;
+   wire n_25794;
+   wire n_25795;
+   wire n_25796;
+   wire n_25799;
+   wire n_25800;
+   wire n_25801;
+   wire n_25802;
+   wire n_25803;
+   wire n_25804;
+   wire n_25805;
+   wire n_25806;
+   wire n_25807;
+   wire n_25808;
+   wire n_25809;
+   wire n_25810;
+   wire n_25811;
+   wire n_25812;
+   wire n_25813;
+   wire n_25814;
+   wire n_25815;
+   wire n_25816;
+   wire n_25817;
+   wire n_25818;
+   wire n_25819;
+   wire n_25820;
+   wire n_25821;
+   wire n_25822;
+   wire n_25823;
+   wire n_25824;
+   wire n_25825;
+   wire n_25826;
+   wire n_25827;
+   wire n_25828;
+   wire n_25829;
+   wire n_25830;
+   wire n_25831;
+   wire n_25832;
+   wire n_25833;
+   wire n_25834;
+   wire n_25835;
+   wire n_25836;
+   wire n_25837;
+   wire n_25838;
+   wire n_25839;
+   wire n_25840;
+   wire n_25841;
+   wire n_25842;
+   wire n_25843;
+   wire n_25844;
+   wire n_25845;
+   wire n_25846;
+   wire n_25847;
+   wire n_25848;
+   wire n_25849;
+   wire n_25850;
+   wire n_25851;
+   wire n_25852;
+   wire n_25853;
+   wire n_25854;
+   wire n_25855;
+   wire n_25856;
+   wire n_25857;
+   wire n_25858;
+   wire n_25859;
+   wire n_25860;
+   wire n_25861;
+   wire n_25862;
+   wire n_25863;
+   wire n_25864;
+   wire n_25865;
+   wire n_25866;
+   wire n_25867;
+   wire n_25868;
+   wire n_25869;
+   wire n_25870;
+   wire n_25871;
+   wire n_25872;
+   wire n_25873;
+   wire n_25874;
+   wire n_25875;
+   wire n_25876;
+   wire n_25877;
+   wire n_25878;
+   wire n_25879;
+   wire n_25880;
+   wire n_25881;
+   wire n_25882;
+   wire n_25883;
+   wire n_25884;
+   wire n_25885;
+   wire n_25886;
+   wire n_25887;
+   wire n_25888;
+   wire n_25889;
+   wire n_25890;
+   wire n_25891;
+   wire n_25892;
+   wire n_25893;
+   wire n_25894;
+   wire n_25895;
+   wire n_25896;
+   wire n_25897;
+   wire n_25898;
+   wire n_25899;
+   wire n_25900;
+   wire n_25901;
+   wire n_25902;
+   wire n_25903;
+   wire n_25904;
+   wire n_25905;
+   wire n_25906;
+   wire n_25907;
+   wire n_25908;
+   wire n_25910;
+   wire n_25911;
+   wire n_25912;
+   wire n_25913;
+   wire n_25914;
+   wire n_25915;
+   wire n_25916;
+   wire n_25917;
+   wire n_25918;
+   wire n_25919;
+   wire n_25920;
+   wire n_25921;
+   wire n_25922;
+   wire n_25923;
+   wire n_25924;
+   wire n_25925;
+   wire n_25926;
+   wire n_25927;
+   wire n_25928;
+   wire n_25929;
+   wire n_25930;
+   wire n_25931;
+   wire n_25932;
+   wire n_25933;
+   wire n_25934;
+   wire n_25935;
+   wire n_25936;
+   wire n_25937;
+   wire n_25938;
+   wire n_25939;
+   wire n_25940;
+   wire n_25941;
+   wire n_25942;
+   wire n_25943;
+   wire n_25944;
+   wire n_25945;
+   wire n_25946;
+   wire n_25947;
+   wire n_25948;
+   wire n_25950;
+   wire n_25951;
+   wire n_25952;
+   wire n_25953;
+   wire n_25954;
+   wire n_25955;
+   wire n_25956;
+   wire n_25957;
+   wire n_25958;
+   wire n_25960;
+   wire n_25961;
+   wire n_25962;
+   wire n_25963;
+   wire n_25964;
+   wire n_25965;
+   wire n_25966;
+   wire n_25967;
+   wire n_25968;
+   wire n_25969;
+   wire n_25970;
+   wire n_25971;
+   wire n_25972;
+   wire n_25973;
+   wire n_25974;
+   wire n_25975;
+   wire n_25976;
+   wire n_25977;
+   wire n_25979;
+   wire n_25980;
+   wire n_25981;
+   wire n_25982;
+   wire n_25983;
+   wire n_25984;
+   wire n_25985;
+   wire n_25986;
+   wire n_25987;
+   wire n_25988;
+   wire n_25989;
+   wire n_25990;
+   wire n_25991;
+   wire n_25992;
+   wire n_25993;
+   wire n_25994;
+   wire n_25995;
+   wire n_25996;
+   wire n_25997;
+   wire n_25998;
+   wire n_25999;
+   wire n_26000;
+   wire n_26001;
+   wire n_26002;
+   wire n_26003;
+   wire n_26004;
+   wire n_26005;
+   wire n_26006;
+   wire n_26007;
+   wire n_26008;
+   wire n_26009;
+   wire n_26010;
+   wire n_26011;
+   wire n_26012;
+   wire n_26013;
+   wire n_26014;
+   wire n_26015;
+   wire n_26016;
+   wire n_26017;
+   wire n_26018;
+   wire n_26019;
+   wire n_26020;
+   wire n_26021;
+   wire n_26022;
+   wire n_26023;
+   wire n_26024;
+   wire n_26025;
+   wire n_26026;
+   wire n_26027;
+   wire n_26028;
+   wire n_26029;
+   wire n_26030;
+   wire n_26031;
+   wire n_26032;
+   wire n_26033;
+   wire n_26034;
+   wire n_26035;
+   wire n_26036;
+   wire n_26037;
+   wire n_26038;
+   wire n_26039;
+   wire n_26040;
+   wire n_26041;
+   wire n_26042;
+   wire n_26043;
+   wire n_26044;
+   wire n_26045;
+   wire n_26046;
+   wire n_26047;
+   wire n_26048;
+   wire n_26049;
+   wire n_26050;
+   wire n_26051;
+   wire n_26052;
+   wire n_26053;
+   wire n_26054;
+   wire n_26055;
+   wire n_26056;
+   wire n_26057;
+   wire n_26058;
+   wire n_26059;
+   wire n_26060;
+   wire n_26061;
+   wire n_26062;
+   wire n_26063;
+   wire n_26064;
+   wire n_26065;
+   wire n_26066;
+   wire n_26067;
+   wire n_26068;
+   wire n_26069;
+   wire n_26070;
+   wire n_26071;
+   wire n_26072;
+   wire n_26073;
+   wire n_26074;
+   wire n_26075;
+   wire n_26076;
+   wire n_26077;
+   wire n_26078;
+   wire n_26079;
+   wire n_26080;
+   wire n_26081;
+   wire n_26082;
+   wire n_26083;
+   wire n_26084;
+   wire n_26085;
+   wire n_26086;
+   wire n_26087;
+   wire n_26088;
+   wire n_26089;
+   wire n_26090;
+   wire n_26091;
+   wire n_26092;
+   wire n_26093;
+   wire n_26094;
+   wire n_26095;
+   wire n_26096;
+   wire n_26097;
+   wire n_26098;
+   wire n_26099;
+   wire n_26100;
+   wire n_26101;
+   wire n_26102;
+   wire n_26103;
+   wire n_26105;
+   wire n_26106;
+   wire n_26107;
+   wire n_26108;
+   wire n_26109;
+   wire n_26110;
+   wire n_26111;
+   wire n_26112;
+   wire n_26113;
+   wire n_26114;
+   wire n_26115;
+   wire n_26116;
+   wire n_26117;
+   wire n_26118;
+   wire n_26119;
+   wire n_26120;
+   wire n_26121;
+   wire n_26122;
+   wire n_26123;
+   wire n_26124;
+   wire n_26125;
+   wire n_26127;
+   wire n_26128;
+   wire n_26129;
+   wire n_26130;
+   wire n_26131;
+   wire n_26132;
+   wire n_26133;
+   wire n_26134;
+   wire n_26135;
+   wire n_26136;
+   wire n_26137;
+   wire n_26138;
+   wire n_26139;
+   wire n_26140;
+   wire n_26141;
+   wire n_26142;
+   wire n_26143;
+   wire n_26144;
+   wire n_26145;
+   wire n_26146;
+   wire n_26147;
+   wire n_26148;
+   wire n_26149;
+   wire n_26150;
+   wire n_26151;
+   wire n_26152;
+   wire n_26153;
+   wire n_26154;
+   wire n_26155;
+   wire n_26156;
+   wire n_26157;
+   wire n_26158;
+   wire n_26159;
+   wire n_26160;
+   wire n_26161;
+   wire n_26162;
+   wire n_26163;
+   wire n_26164;
+   wire n_26165;
+   wire n_26166;
+   wire n_26167;
+   wire n_26168;
+   wire n_26169;
+   wire n_26170;
+   wire n_26171;
+   wire n_26172;
+   wire n_26173;
+   wire n_26174;
+   wire n_26175;
+   wire n_26176;
+   wire n_26177;
+   wire n_26178;
+   wire n_26179;
+   wire n_26180;
+   wire n_26181;
+   wire n_26182;
+   wire n_26183;
+   wire n_26184;
+   wire n_26185;
+   wire n_26186;
+   wire n_26187;
+   wire n_26188;
+   wire n_26189;
+   wire n_26190;
+   wire n_26191;
+   wire n_26192;
+   wire n_26193;
+   wire n_26194;
+   wire n_26195;
+   wire n_26196;
+   wire n_26197;
+   wire n_26198;
+   wire n_26199;
+   wire n_26200;
+   wire n_26201;
+   wire n_26202;
+   wire n_26203;
+   wire n_26204;
+   wire n_26205;
+   wire n_26206;
+   wire n_26207;
+   wire n_26208;
+   wire n_26209;
+   wire n_26210;
+   wire n_26211;
+   wire n_26212;
+   wire n_26213;
+   wire n_26214;
+   wire n_26215;
+   wire n_26216;
+   wire n_26217;
+   wire n_26218;
+   wire n_26219;
+   wire n_26220;
+   wire n_26221;
+   wire n_26222;
+   wire n_26223;
+   wire n_26224;
+   wire n_26225;
+   wire n_26226;
+   wire n_26227;
+   wire n_26228;
+   wire n_26229;
+   wire n_26230;
+   wire n_26231;
+   wire n_26232;
+   wire n_26233;
+   wire n_26234;
+   wire n_26235;
+   wire n_26236;
+   wire n_26237;
+   wire n_26238;
+   wire n_26239;
+   wire n_26240;
+   wire n_26241;
+   wire n_26242;
+   wire n_26243;
+   wire n_26244;
+   wire n_26245;
+   wire n_26246;
+   wire n_26247;
+   wire n_26248;
+   wire n_26249;
+   wire n_26250;
+   wire n_26251;
+   wire n_26252;
+   wire n_26253;
+   wire n_26254;
+   wire n_26255;
+   wire n_26256;
+   wire n_26257;
+   wire n_26258;
+   wire n_26259;
+   wire n_26260;
+   wire n_26261;
+   wire n_26262;
+   wire n_26263;
+   wire n_26264;
+   wire n_26265;
+   wire n_26266;
+   wire n_26267;
+   wire n_26268;
+   wire n_26270;
+   wire n_26271;
+   wire n_26272;
+   wire n_26273;
+   wire n_26274;
+   wire n_26275;
+   wire n_26276;
+   wire n_26277;
+   wire n_26278;
+   wire n_26279;
+   wire n_26280;
+   wire n_26281;
+   wire n_26282;
+   wire n_26283;
+   wire n_26284;
+   wire n_26285;
+   wire n_26286;
+   wire n_26288;
+   wire n_26289;
+   wire n_26290;
+   wire n_26291;
+   wire n_26292;
+   wire n_26293;
+   wire n_26294;
+   wire n_26295;
+   wire n_26296;
+   wire n_26297;
+   wire n_26298;
+   wire n_26299;
+   wire n_26300;
+   wire n_26301;
+   wire n_26302;
+   wire n_26303;
+   wire n_26304;
+   wire n_26305;
+   wire n_26306;
+   wire n_26307;
+   wire n_26308;
+   wire n_26309;
+   wire n_26310;
+   wire n_26311;
+   wire n_26312;
+   wire n_26313;
+   wire n_26314;
+   wire n_26315;
+   wire n_26316;
+   wire n_26317;
+   wire n_26318;
+   wire n_26319;
+   wire n_26320;
+   wire n_26321;
+   wire n_26322;
+   wire n_26323;
+   wire n_26324;
+   wire n_26325;
+   wire n_26328;
+   wire n_26329;
+   wire n_26330;
+   wire n_26331;
+   wire n_26332;
+   wire n_26333;
+   wire n_26334;
+   wire n_26335;
+   wire n_26336;
+   wire n_26337;
+   wire n_26338;
+   wire n_26339;
+   wire n_26340;
+   wire n_26341;
+   wire n_26342;
+   wire n_26343;
+   wire n_26344;
+   wire n_26345;
+   wire n_26346;
+   wire n_26347;
+   wire n_26348;
+   wire n_26349;
+   wire n_26350;
+   wire n_26351;
+   wire n_26352;
+   wire n_26353;
+   wire n_26354;
+   wire n_26355;
+   wire n_26356;
+   wire n_26357;
+   wire n_26358;
+   wire n_26359;
+   wire n_26360;
+   wire n_26361;
+   wire n_26362;
+   wire n_26363;
+   wire n_26364;
+   wire n_26365;
+   wire n_26366;
+   wire n_26367;
+   wire n_26368;
+   wire n_26369;
+   wire n_26370;
+   wire n_26371;
+   wire n_26372;
+   wire n_26373;
+   wire n_26374;
+   wire n_26375;
+   wire n_26376;
+   wire n_26377;
+   wire n_26378;
+   wire n_26379;
+   wire n_26380;
+   wire n_26381;
+   wire n_26382;
+   wire n_26383;
+   wire n_26384;
+   wire n_26385;
+   wire n_26386;
+   wire n_26387;
+   wire n_26388;
+   wire n_26389;
+   wire n_26390;
+   wire n_26391;
+   wire n_26392;
+   wire n_26393;
+   wire n_26394;
+   wire n_26395;
+   wire n_26396;
+   wire n_26397;
+   wire n_26398;
+   wire n_26399;
+   wire n_26400;
+   wire n_26401;
+   wire n_26402;
+   wire n_26403;
+   wire n_26404;
+   wire n_26405;
+   wire n_26406;
+   wire n_26407;
+   wire n_26408;
+   wire n_26409;
+   wire n_26410;
+   wire n_26411;
+   wire n_26412;
+   wire n_26413;
+   wire n_26414;
+   wire n_26415;
+   wire n_26416;
+   wire n_26417;
+   wire n_26418;
+   wire n_26419;
+   wire n_26420;
+   wire n_26421;
+   wire n_26422;
+   wire n_26423;
+   wire n_26424;
+   wire n_26425;
+   wire n_26426;
+   wire n_26427;
+   wire n_26428;
+   wire n_26429;
+   wire n_26430;
+   wire n_26431;
+   wire n_26432;
+   wire n_26433;
+   wire n_26434;
+   wire n_26435;
+   wire n_26436;
+   wire n_26437;
+   wire n_26438;
+   wire n_26439;
+   wire n_26440;
+   wire n_26441;
+   wire n_26443;
+   wire n_26444;
+   wire n_26445;
+   wire n_26446;
+   wire n_26447;
+   wire n_26448;
+   wire n_26449;
+   wire n_26450;
+   wire n_26451;
+   wire n_26452;
+   wire n_26453;
+   wire n_26454;
+   wire n_26455;
+   wire n_26456;
+   wire n_26457;
+   wire n_26458;
+   wire n_26459;
+   wire n_26460;
+   wire n_26461;
+   wire n_26462;
+   wire n_26463;
+   wire n_26464;
+   wire n_26465;
+   wire n_26466;
+   wire n_26467;
+   wire n_26468;
+   wire n_26469;
+   wire n_26470;
+   wire n_26471;
+   wire n_26472;
+   wire n_26473;
+   wire n_26474;
+   wire n_26475;
+   wire n_26476;
+   wire n_26477;
+   wire n_26478;
+   wire n_26479;
+   wire n_26480;
+   wire n_26481;
+   wire n_26482;
+   wire n_26483;
+   wire n_26484;
+   wire n_26485;
+   wire n_26486;
+   wire n_26487;
+   wire n_26488;
+   wire n_26489;
+   wire n_26490;
+   wire n_26491;
+   wire n_26492;
+   wire n_26493;
+   wire n_26494;
+   wire n_26495;
+   wire n_26496;
+   wire n_26497;
+   wire n_26498;
+   wire n_26500;
+   wire n_26501;
+   wire n_26502;
+   wire n_26503;
+   wire n_26504;
+   wire n_26505;
+   wire n_26506;
+   wire n_26507;
+   wire n_26508;
+   wire n_26509;
+   wire n_26510;
+   wire n_26511;
+   wire n_26512;
+   wire n_26513;
+   wire n_26514;
+   wire n_26515;
+   wire n_26516;
+   wire n_26517;
+   wire n_26518;
+   wire n_26519;
+   wire n_26520;
+   wire n_26521;
+   wire n_26522;
+   wire n_26523;
+   wire n_26524;
+   wire n_26525;
+   wire n_26526;
+   wire n_26527;
+   wire n_26528;
+   wire n_26529;
+   wire n_26530;
+   wire n_26531;
+   wire n_26532;
+   wire n_26533;
+   wire n_26534;
+   wire n_26535;
+   wire n_26536;
+   wire n_26537;
+   wire n_26538;
+   wire n_26539;
+   wire n_26540;
+   wire n_26541;
+   wire n_26542;
+   wire n_26543;
+   wire n_26544;
+   wire n_26545;
+   wire n_26546;
+   wire n_26547;
+   wire n_26548;
+   wire n_26549;
+   wire n_26550;
+   wire n_26551;
+   wire n_26552;
+   wire n_26553;
+   wire n_26554;
+   wire n_26555;
+   wire n_26556;
+   wire n_26557;
+   wire n_26558;
+   wire n_26559;
+   wire n_26560;
+   wire n_26561;
+   wire n_26562;
+   wire n_26563;
+   wire n_26564;
+   wire n_26565;
+   wire n_26566;
+   wire n_26567;
+   wire n_26568;
+   wire n_26570;
+   wire n_26571;
+   wire n_26572;
+   wire n_26573;
+   wire n_26574;
+   wire n_26575;
+   wire n_26576;
+   wire n_26577;
+   wire n_26578;
+   wire n_26579;
+   wire n_26580;
+   wire n_26581;
+   wire n_26582;
+   wire n_26583;
+   wire n_26584;
+   wire n_26585;
+   wire n_26586;
+   wire n_26587;
+   wire n_26588;
+   wire n_26589;
+   wire n_26590;
+   wire n_26591;
+   wire n_26592;
+   wire n_26593;
+   wire n_26594;
+   wire n_26595;
+   wire n_26596;
+   wire n_26597;
+   wire n_26598;
+   wire n_26599;
+   wire n_26600;
+   wire n_26601;
+   wire n_26602;
+   wire n_26603;
+   wire n_26604;
+   wire n_26605;
+   wire n_26606;
+   wire n_26607;
+   wire n_26608;
+   wire n_26609;
+   wire n_26610;
+   wire n_26611;
+   wire n_26612;
+   wire n_26613;
+   wire n_26614;
+   wire n_26615;
+   wire n_26616;
+   wire n_26617;
+   wire n_26618;
+   wire n_26619;
+   wire n_26620;
+   wire n_26621;
+   wire n_26622;
+   wire n_26623;
+   wire n_26624;
+   wire n_26625;
+   wire n_26626;
+   wire n_26627;
+   wire n_26628;
+   wire n_26629;
+   wire n_26630;
+   wire n_26631;
+   wire n_26632;
+   wire n_26633;
+   wire n_26634;
+   wire n_26635;
+   wire n_26636;
+   wire n_26637;
+   wire n_26638;
+   wire n_26639;
+   wire n_26640;
+   wire n_26641;
+   wire n_26642;
+   wire n_26643;
+   wire n_26644;
+   wire n_26645;
+   wire n_26646;
+   wire n_26647;
+   wire n_26648;
+   wire n_26649;
+   wire n_26650;
+   wire n_26651;
+   wire n_26652;
+   wire n_26653;
+   wire n_26654;
+   wire n_26655;
+   wire n_26656;
+   wire n_26657;
+   wire n_26658;
+   wire n_26659;
+   wire n_26660;
+   wire n_26661;
+   wire n_26662;
+   wire n_26663;
+   wire n_26664;
+   wire n_26665;
+   wire n_26666;
+   wire n_26667;
+   wire n_26668;
+   wire n_26669;
+   wire n_26670;
+   wire n_26671;
+   wire n_26672;
+   wire n_26673;
+   wire n_26674;
+   wire n_26675;
+   wire n_26676;
+   wire n_26677;
+   wire n_26678;
+   wire n_26679;
+   wire n_26680;
+   wire n_26681;
+   wire n_26682;
+   wire n_26683;
+   wire n_26684;
+   wire n_26685;
+   wire n_26686;
+   wire n_26687;
+   wire n_26688;
+   wire n_26689;
+   wire n_26690;
+   wire n_26691;
+   wire n_26692;
+   wire n_26693;
+   wire n_26694;
+   wire n_26695;
+   wire n_26696;
+   wire n_26697;
+   wire n_26698;
+   wire n_26699;
+   wire n_26700;
+   wire n_26701;
+   wire n_26702;
+   wire n_26703;
+   wire n_26704;
+   wire n_26705;
+   wire n_26706;
+   wire n_26707;
+   wire n_26708;
+   wire n_26709;
+   wire n_26710;
+   wire n_26711;
+   wire n_26712;
+   wire n_26713;
+   wire n_26714;
+   wire n_26715;
+   wire n_26716;
+   wire n_26717;
+   wire n_26718;
+   wire n_26719;
+   wire n_26720;
+   wire n_26721;
+   wire n_26722;
+   wire n_26723;
+   wire n_26724;
+   wire n_26725;
+   wire n_26726;
+   wire n_26727;
+   wire n_26728;
+   wire n_26729;
+   wire n_26730;
+   wire n_26731;
+   wire n_26732;
+   wire n_26733;
+   wire n_26734;
+   wire n_26735;
+   wire n_26736;
+   wire n_26737;
+   wire n_26738;
+   wire n_26739;
+   wire n_26740;
+   wire n_26741;
+   wire n_26742;
+   wire n_26743;
+   wire n_26744;
+   wire n_26745;
+   wire n_26746;
+   wire n_26747;
+   wire n_26748;
+   wire n_26749;
+   wire n_26750;
+   wire n_26751;
+   wire n_26752;
+   wire n_26753;
+   wire n_26754;
+   wire n_26755;
+   wire n_26756;
+   wire n_26757;
+   wire n_26758;
+   wire n_26759;
+   wire n_26760;
+   wire n_26761;
+   wire n_26762;
+   wire n_26763;
+   wire n_26764;
+   wire n_26765;
+   wire n_26766;
+   wire n_26767;
+   wire n_26768;
+   wire n_26769;
+   wire n_26770;
+   wire n_26771;
+   wire n_26772;
+   wire n_26773;
+   wire n_26774;
+   wire n_26775;
+   wire n_26776;
+   wire n_26777;
+   wire n_26778;
+   wire n_26779;
+   wire n_26780;
+   wire n_26781;
+   wire n_26782;
+   wire n_26783;
+   wire n_26784;
+   wire n_26785;
+   wire n_26786;
+   wire n_26787;
+   wire n_26788;
+   wire n_26789;
+   wire n_26790;
+   wire n_26791;
+   wire n_26792;
+   wire n_26793;
+   wire n_26794;
+   wire n_26795;
+   wire n_26796;
+   wire n_26797;
+   wire n_26798;
+   wire n_26799;
+   wire n_26800;
+   wire n_26801;
+   wire n_26802;
+   wire n_26803;
+   wire n_26804;
+   wire n_26805;
+   wire n_26806;
+   wire n_26807;
+   wire n_26808;
+   wire n_26809;
+   wire n_26810;
+   wire n_26811;
+   wire n_26812;
+   wire n_26813;
+   wire n_26814;
+   wire n_26815;
+   wire n_26816;
+   wire n_26817;
+   wire n_26818;
+   wire n_26819;
+   wire n_26820;
+   wire n_26821;
+   wire n_26822;
+   wire n_26823;
+   wire n_26824;
+   wire n_26825;
+   wire n_26826;
+   wire n_26827;
+   wire n_26828;
+   wire n_26829;
+   wire n_26830;
+   wire n_26831;
+   wire n_26832;
+   wire n_26833;
+   wire n_26834;
+   wire n_26835;
+   wire n_26836;
+   wire n_26837;
+   wire n_26838;
+   wire n_26839;
+   wire n_26840;
+   wire n_26841;
+   wire n_26842;
+   wire n_26843;
+   wire n_26844;
+   wire n_26845;
+   wire n_26846;
+   wire n_26847;
+   wire n_26848;
+   wire n_26849;
+   wire n_26850;
+   wire n_26851;
+   wire n_26852;
+   wire n_26853;
+   wire n_26854;
+   wire n_26855;
+   wire n_26856;
+   wire n_26857;
+   wire n_26858;
+   wire n_26859;
+   wire n_26860;
+   wire n_26861;
+   wire n_26862;
+   wire n_26863;
+   wire n_26864;
+   wire n_26865;
+   wire n_26866;
+   wire n_26867;
+   wire n_26868;
+   wire n_26869;
+   wire n_26870;
+   wire n_26871;
+   wire n_26872;
+   wire n_26873;
+   wire n_26874;
+   wire n_26875;
+   wire n_26876;
+   wire n_26877;
+   wire n_26878;
+   wire n_26879;
+   wire n_26880;
+   wire n_26881;
+   wire n_26882;
+   wire n_26883;
+   wire n_26884;
+   wire n_26885;
+   wire n_26886;
+   wire n_26887;
+   wire n_26888;
+   wire n_26889;
+   wire n_26890;
+   wire n_26891;
+   wire n_26892;
+   wire n_26893;
+   wire n_26894;
+   wire n_26895;
+   wire n_26896;
+   wire n_26897;
+   wire n_26898;
+   wire n_26899;
+   wire n_26900;
+   wire n_26901;
+   wire n_26903;
+   wire n_26904;
+   wire n_26905;
+   wire n_26906;
+   wire n_26907;
+   wire n_26908;
+   wire n_26909;
+   wire n_26910;
+   wire n_26911;
+   wire n_26912;
+   wire n_26913;
+   wire n_26914;
+   wire n_26915;
+   wire n_26916;
+   wire n_26917;
+   wire n_26918;
+   wire n_26919;
+   wire n_26920;
+   wire n_26921;
+   wire n_26922;
+   wire n_26923;
+   wire n_26924;
+   wire n_26925;
+   wire n_26926;
+   wire n_26927;
+   wire n_26928;
+   wire n_26929;
+   wire n_26930;
+   wire n_26931;
+   wire n_26932;
+   wire n_26933;
+   wire n_26934;
+   wire n_26935;
+   wire n_26936;
+   wire n_26937;
+   wire n_26938;
+   wire n_26939;
+   wire n_26940;
+   wire n_26941;
+   wire n_26942;
+   wire n_26943;
+   wire n_26944;
+   wire n_26945;
+   wire n_26946;
+   wire n_26947;
+   wire n_26948;
+   wire n_26949;
+   wire n_26950;
+   wire n_26951;
+   wire n_26952;
+   wire n_26953;
+   wire n_26954;
+   wire n_26955;
+   wire n_26956;
+   wire n_26957;
+   wire n_26958;
+   wire n_26959;
+   wire n_26960;
+   wire n_26961;
+   wire n_26962;
+   wire n_26963;
+   wire n_26964;
+   wire n_26965;
+   wire n_26966;
+   wire n_26967;
+   wire n_26968;
+   wire n_26969;
+   wire n_26970;
+   wire n_26971;
+   wire n_26972;
+   wire n_26973;
+   wire n_26974;
+   wire n_26975;
+   wire n_26976;
+   wire n_26977;
+   wire n_26978;
+   wire n_26979;
+   wire n_26980;
+   wire n_26981;
+   wire n_26982;
+   wire n_26983;
+   wire n_26984;
+   wire n_26985;
+   wire n_26986;
+   wire n_26987;
+   wire n_26988;
+   wire n_26989;
+   wire n_26990;
+   wire n_26991;
+   wire n_26992;
+   wire n_26993;
+   wire n_26994;
+   wire n_26995;
+   wire n_26996;
+   wire n_26997;
+   wire n_26998;
+   wire n_26999;
+   wire n_27000;
+   wire n_27001;
+   wire n_27002;
+   wire n_27003;
+   wire n_27004;
+   wire n_27005;
+   wire n_27006;
+   wire n_27007;
+   wire n_27008;
+   wire n_27009;
+   wire n_27010;
+   wire n_27011;
+   wire n_27012;
+   wire n_27013;
+   wire n_27014;
+   wire n_27015;
+   wire n_27016;
+   wire n_27017;
+   wire n_27018;
+   wire n_27019;
+   wire n_27020;
+   wire n_27021;
+   wire n_27022;
+   wire n_27023;
+   wire n_27024;
+   wire n_27025;
+   wire n_27026;
+   wire n_27027;
+   wire n_27028;
+   wire n_27029;
+   wire n_27030;
+   wire n_27031;
+   wire n_27032;
+   wire n_27033;
+   wire n_27034;
+   wire n_27035;
+   wire n_27036;
+   wire n_27037;
+   wire n_27038;
+   wire n_27039;
+   wire n_27040;
+   wire n_27041;
+   wire n_27042;
+   wire n_27043;
+   wire n_27044;
+   wire n_27045;
+   wire n_27046;
+   wire n_27047;
+   wire n_27048;
+   wire n_27049;
+   wire n_27050;
+   wire n_27051;
+   wire n_27052;
+   wire n_27053;
+   wire n_27054;
+   wire n_27055;
+   wire n_27056;
+   wire n_27057;
+   wire n_27058;
+   wire n_27059;
+   wire n_27060;
+   wire n_27061;
+   wire n_27062;
+   wire n_27063;
+   wire n_27064;
+   wire n_27065;
+   wire n_27066;
+   wire n_27067;
+   wire n_27068;
+   wire n_27069;
+   wire n_27070;
+   wire n_27071;
+   wire n_27072;
+   wire n_27073;
+   wire n_27074;
+   wire n_27075;
+   wire n_27076;
+   wire n_27077;
+   wire n_27078;
+   wire n_27079;
+   wire n_27080;
+   wire n_27081;
+   wire n_27082;
+   wire n_27083;
+   wire n_27084;
+   wire n_27085;
+   wire n_27086;
+   wire n_27087;
+   wire n_27088;
+   wire n_27089;
+   wire n_27090;
+   wire n_27091;
+   wire n_27092;
+   wire n_27093;
+   wire n_27094;
+   wire n_27095;
+   wire n_27096;
+   wire n_27097;
+   wire n_27098;
+   wire n_27099;
+   wire n_27100;
+   wire n_27101;
+   wire n_27102;
+   wire n_27103;
+   wire n_27104;
+   wire n_27105;
+   wire n_27106;
+   wire n_27107;
+   wire n_27108;
+   wire n_27109;
+   wire n_27110;
+   wire n_27111;
+   wire n_27112;
+   wire n_27113;
+   wire n_27114;
+   wire n_27115;
+   wire n_27116;
+   wire n_27117;
+   wire n_27118;
+   wire n_27119;
+   wire n_27120;
+   wire n_27121;
+   wire n_27122;
+   wire n_27123;
+   wire n_27124;
+   wire n_27125;
+   wire n_27126;
+   wire n_27127;
+   wire n_27128;
+   wire n_27129;
+   wire n_27130;
+   wire n_27131;
+   wire n_27132;
+   wire n_27133;
+   wire n_27134;
+   wire n_27135;
+   wire n_27136;
+   wire n_27137;
+   wire n_27138;
+   wire n_27139;
+   wire n_27140;
+   wire n_27141;
+   wire n_27142;
+   wire n_27143;
+   wire n_27144;
+   wire n_27145;
+   wire n_27146;
+   wire n_27147;
+   wire n_27148;
+   wire n_27149;
+   wire n_27150;
+   wire n_27151;
+   wire n_27152;
+   wire n_27153;
+   wire n_27154;
+   wire n_27155;
+   wire n_27156;
+   wire n_27157;
+   wire n_27158;
+   wire n_27159;
+   wire n_27160;
+   wire n_27161;
+   wire n_27162;
+   wire n_27163;
+   wire n_27164;
+   wire n_27165;
+   wire n_27166;
+   wire n_27167;
+   wire n_27168;
+   wire n_27169;
+   wire n_27170;
+   wire n_27171;
+   wire n_27172;
+   wire n_27173;
+   wire n_27174;
+   wire n_27175;
+   wire n_27176;
+   wire n_27177;
+   wire n_27178;
+   wire n_27179;
+   wire n_27180;
+   wire n_27181;
+   wire n_27182;
+   wire n_27183;
+   wire n_27184;
+   wire n_27185;
+   wire n_27186;
+   wire n_27187;
+   wire n_27188;
+   wire n_27189;
+   wire n_27190;
+   wire n_27191;
+   wire n_27192;
+   wire n_27193;
+   wire n_27194;
+   wire n_27195;
+   wire n_27196;
+   wire n_27197;
+   wire n_27198;
+   wire n_27199;
+   wire n_27200;
+   wire n_27201;
+   wire n_27202;
+   wire n_27203;
+   wire n_27204;
+   wire n_27205;
+   wire n_27206;
+   wire n_27207;
+   wire n_27208;
+   wire n_27209;
+   wire n_27210;
+   wire n_27211;
+   wire n_27212;
+   wire n_27213;
+   wire n_27214;
+   wire n_27215;
+   wire n_27216;
+   wire n_27217;
+   wire n_27218;
+   wire n_27219;
+   wire n_27220;
+   wire n_27221;
+   wire n_27222;
+   wire n_27223;
+   wire n_27225;
+   wire n_27226;
+   wire n_27227;
+   wire n_27228;
+   wire n_27229;
+   wire n_27230;
+   wire n_27231;
+   wire n_27232;
+   wire n_27233;
+   wire n_27234;
+   wire n_27235;
+   wire n_27236;
+   wire n_27237;
+   wire n_27238;
+   wire n_27239;
+   wire n_27240;
+   wire n_27241;
+   wire n_27242;
+   wire n_27243;
+   wire n_27244;
+   wire n_27245;
+   wire n_27246;
+   wire n_27247;
+   wire n_27249;
+   wire n_27250;
+   wire n_27251;
+   wire n_27252;
+   wire n_27253;
+   wire n_27254;
+   wire n_27255;
+   wire n_27256;
+   wire n_27257;
+   wire n_27258;
+   wire n_27259;
+   wire n_27260;
+   wire n_27261;
+   wire n_27262;
+   wire n_27263;
+   wire n_27264;
+   wire n_27265;
+   wire n_27266;
+   wire n_27267;
+   wire n_27268;
+   wire n_27269;
+   wire n_27270;
+   wire n_27271;
+   wire n_27272;
+   wire n_27273;
+   wire n_27274;
+   wire n_27275;
+   wire n_27276;
+   wire n_27277;
+   wire n_27278;
+   wire n_27279;
+   wire n_27280;
+   wire n_27282;
+   wire n_27283;
+   wire n_27284;
+   wire n_27285;
+   wire n_27286;
+   wire n_27287;
+   wire n_27288;
+   wire n_27289;
+   wire n_27290;
+   wire n_27291;
+   wire n_27292;
+   wire n_27293;
+   wire n_27294;
+   wire n_27295;
+   wire n_27296;
+   wire n_27297;
+   wire n_27298;
+   wire n_27299;
+   wire n_27300;
+   wire n_27301;
+   wire n_27302;
+   wire n_27303;
+   wire n_27304;
+   wire n_27305;
+   wire n_27306;
+   wire n_27307;
+   wire n_27308;
+   wire n_27309;
+   wire n_27310;
+   wire n_27311;
+   wire n_27312;
+   wire n_27313;
+   wire n_27314;
+   wire n_27315;
+   wire n_27316;
+   wire n_27317;
+   wire n_27318;
+   wire n_27319;
+   wire n_27320;
+   wire n_27321;
+   wire n_27322;
+   wire n_27323;
+   wire n_27324;
+   wire n_27325;
+   wire n_27326;
+   wire n_27327;
+   wire n_27328;
+   wire n_27329;
+   wire n_27330;
+   wire n_27331;
+   wire n_27332;
+   wire n_27333;
+   wire n_27334;
+   wire n_27335;
+   wire n_27336;
+   wire n_27337;
+   wire n_27338;
+   wire n_27339;
+   wire n_27340;
+   wire n_27341;
+   wire n_27342;
+   wire n_27343;
+   wire n_27344;
+   wire n_27345;
+   wire n_27346;
+   wire n_27347;
+   wire n_27348;
+   wire n_27349;
+   wire n_27350;
+   wire n_27351;
+   wire n_27352;
+   wire n_27353;
+   wire n_27354;
+   wire n_27355;
+   wire n_27356;
+   wire n_27357;
+   wire n_27358;
+   wire n_27359;
+   wire n_27360;
+   wire n_27361;
+   wire n_27362;
+   wire n_27363;
+   wire n_27364;
+   wire n_27365;
+   wire n_27366;
+   wire n_27367;
+   wire n_27368;
+   wire n_27369;
+   wire n_27370;
+   wire n_27371;
+   wire n_27372;
+   wire n_27373;
+   wire n_27374;
+   wire n_27375;
+   wire n_27376;
+   wire n_27377;
+   wire n_27378;
+   wire n_27379;
+   wire n_27380;
+   wire n_27381;
+   wire n_27382;
+   wire n_27383;
+   wire n_27384;
+   wire n_27385;
+   wire n_27386;
+   wire n_27387;
+   wire n_27388;
+   wire n_27389;
+   wire n_27390;
+   wire n_27391;
+   wire n_27392;
+   wire n_27393;
+   wire n_27394;
+   wire n_27395;
+   wire n_27396;
+   wire n_27397;
+   wire n_27398;
+   wire n_27399;
+   wire n_27400;
+   wire n_27401;
+   wire n_27402;
+   wire n_27403;
+   wire n_27404;
+   wire n_27405;
+   wire n_27406;
+   wire n_27407;
+   wire n_27408;
+   wire n_27409;
+   wire n_27410;
+   wire n_27411;
+   wire n_27412;
+   wire n_27413;
+   wire n_27414;
+   wire n_27415;
+   wire n_27416;
+   wire n_27417;
+   wire n_27418;
+   wire n_27419;
+   wire n_27420;
+   wire n_27421;
+   wire n_27422;
+   wire n_27423;
+   wire n_27424;
+   wire n_27425;
+   wire n_27426;
+   wire n_27427;
+   wire n_27428;
+   wire n_27429;
+   wire n_27430;
+   wire n_27431;
+   wire n_27432;
+   wire n_27433;
+   wire n_27434;
+   wire n_27435;
+   wire n_27436;
+   wire n_27437;
+   wire n_27438;
+   wire n_27439;
+   wire n_27440;
+   wire n_27441;
+   wire n_27442;
+   wire n_27443;
+   wire n_27444;
+   wire n_27445;
+   wire n_27446;
+   wire n_27447;
+   wire n_27448;
+   wire n_27449;
+   wire n_27450;
+   wire n_27451;
+   wire n_27452;
+   wire n_27453;
+   wire n_27454;
+   wire n_27455;
+   wire n_27456;
+   wire n_27457;
+   wire n_27458;
+   wire n_27459;
+   wire n_27460;
+   wire n_27461;
+   wire n_27462;
+   wire n_27463;
+   wire n_27464;
+   wire n_27465;
+   wire n_27466;
+   wire n_27467;
+   wire n_27468;
+   wire n_27469;
+   wire n_27470;
+   wire n_27471;
+   wire n_27472;
+   wire n_27473;
+   wire n_27474;
+   wire n_27475;
+   wire n_27476;
+   wire n_27477;
+   wire n_27478;
+   wire n_27479;
+   wire n_27480;
+   wire n_27481;
+   wire n_27482;
+   wire n_27483;
+   wire n_27484;
+   wire n_27485;
+   wire n_27486;
+   wire n_27487;
+   wire n_27488;
+   wire n_27489;
+   wire n_27490;
+   wire n_27491;
+   wire n_27492;
+   wire n_27493;
+   wire n_27494;
+   wire n_27495;
+   wire n_27496;
+   wire n_27497;
+   wire n_27498;
+   wire n_27499;
+   wire n_27500;
+   wire n_27501;
+   wire n_27502;
+   wire n_27503;
+   wire n_27504;
+   wire n_27505;
+   wire n_27506;
+   wire n_27507;
+   wire n_27508;
+   wire n_27509;
+   wire n_27510;
+   wire n_27511;
+   wire n_27512;
+   wire n_27513;
+   wire n_27514;
+   wire n_27515;
+   wire n_27516;
+   wire n_27517;
+   wire n_27518;
+   wire n_27519;
+   wire n_27520;
+   wire n_27521;
+   wire n_27522;
+   wire n_27523;
+   wire n_27524;
+   wire n_27525;
+   wire n_27526;
+   wire n_27527;
+   wire n_27528;
+   wire n_27529;
+   wire n_27530;
+   wire n_27531;
+   wire n_27532;
+   wire n_27533;
+   wire n_27534;
+   wire n_27535;
+   wire n_27536;
+   wire n_27537;
+   wire n_27538;
+   wire n_27539;
+   wire n_27540;
+   wire n_27541;
+   wire n_27542;
+   wire n_27543;
+   wire n_27544;
+   wire n_27545;
+   wire n_27546;
+   wire n_27547;
+   wire n_27548;
+   wire n_27549;
+   wire n_27550;
+   wire n_27551;
+   wire n_27552;
+   wire n_27553;
+   wire n_27554;
+   wire n_27555;
+   wire n_27556;
+   wire n_27557;
+   wire n_27558;
+   wire n_27559;
+   wire n_27560;
+   wire n_27561;
+   wire n_27562;
+   wire n_27563;
+   wire n_27564;
+   wire n_27565;
+   wire n_27566;
+   wire n_27567;
+   wire n_27568;
+   wire n_27569;
+   wire n_27570;
+   wire n_27571;
+   wire n_27572;
+   wire n_27573;
+   wire n_27574;
+   wire n_27575;
+   wire n_27576;
+   wire n_27577;
+   wire n_27578;
+   wire n_27579;
+   wire n_27580;
+   wire n_27581;
+   wire n_27583;
+   wire n_27584;
+   wire n_27585;
+   wire n_27586;
+   wire n_27587;
+   wire n_27588;
+   wire n_27589;
+   wire n_27590;
+   wire n_27591;
+   wire n_27592;
+   wire n_27593;
+   wire n_27594;
+   wire n_27595;
+   wire n_27596;
+   wire n_27597;
+   wire n_27598;
+   wire n_27599;
+   wire n_27600;
+   wire n_27601;
+   wire n_27602;
+   wire n_27603;
+   wire n_27604;
+   wire n_27605;
+   wire n_27606;
+   wire n_27607;
+   wire n_27608;
+   wire n_27609;
+   wire n_27610;
+   wire n_27611;
+   wire n_27612;
+   wire n_27613;
+   wire n_27614;
+   wire n_27615;
+   wire n_27616;
+   wire n_27617;
+   wire n_27618;
+   wire n_27619;
+   wire n_27620;
+   wire n_27621;
+   wire n_27622;
+   wire n_27623;
+   wire n_27624;
+   wire n_27625;
+   wire n_27626;
+   wire n_27627;
+   wire n_27628;
+   wire n_27629;
+   wire n_27630;
+   wire n_27631;
+   wire n_27632;
+   wire n_27633;
+   wire n_27634;
+   wire n_27635;
+   wire n_27636;
+   wire n_27637;
+   wire n_27638;
+   wire n_27639;
+   wire n_27640;
+   wire n_27641;
+   wire n_27642;
+   wire n_27643;
+   wire n_27644;
+   wire n_27645;
+   wire n_27646;
+   wire n_27647;
+   wire n_27648;
+   wire n_27649;
+   wire n_27650;
+   wire n_27651;
+   wire n_27652;
+   wire n_27653;
+   wire n_27654;
+   wire n_27655;
+   wire n_27656;
+   wire n_27657;
+   wire n_27658;
+   wire n_27659;
+   wire n_27660;
+   wire n_27661;
+   wire n_27662;
+   wire n_27663;
+   wire n_27664;
+   wire n_27665;
+   wire n_27666;
+   wire n_27667;
+   wire n_27668;
+   wire n_27669;
+   wire n_27670;
+   wire n_27671;
+   wire n_27672;
+   wire n_27673;
+   wire n_27674;
+   wire n_27675;
+   wire n_27676;
+   wire n_27677;
+   wire n_27678;
+   wire n_27679;
+   wire n_27680;
+   wire n_27681;
+   wire n_27682;
+   wire n_27683;
+   wire n_27684;
+   wire n_27685;
+   wire n_27686;
+   wire n_27687;
+   wire n_27688;
+   wire n_27689;
+   wire n_27690;
+   wire n_27691;
+   wire n_27692;
+   wire n_27693;
+   wire n_27694;
+   wire n_27695;
+   wire n_27696;
+   wire n_27697;
+   wire n_27698;
+   wire n_27699;
+   wire n_27700;
+   wire n_27701;
+   wire n_27702;
+   wire n_27703;
+   wire n_27704;
+   wire n_27705;
+   wire n_27706;
+   wire n_27707;
+   wire n_27708;
+   wire n_27709;
+   wire n_27710;
+   wire n_27711;
+   wire n_27712;
+   wire n_27713;
+   wire n_27714;
+   wire n_27715;
+   wire n_27716;
+   wire n_27717;
+   wire n_27718;
+   wire n_27719;
+   wire n_27720;
+   wire n_27721;
+   wire n_27722;
+   wire n_27723;
+   wire n_27724;
+   wire n_27725;
+   wire n_27726;
+   wire n_27727;
+   wire n_27728;
+   wire n_27729;
+   wire n_27730;
+   wire n_27731;
+   wire n_27732;
+   wire n_27733;
+   wire n_27734;
+   wire n_27735;
+   wire n_27736;
+   wire n_27737;
+   wire n_27738;
+   wire n_27739;
+   wire n_27740;
+   wire n_27741;
+   wire n_27742;
+   wire n_27743;
+   wire n_27744;
+   wire n_27745;
+   wire n_27746;
+   wire n_27747;
+   wire n_27748;
+   wire n_27749;
+   wire n_27750;
+   wire n_27751;
+   wire n_27752;
+   wire n_27753;
+   wire n_27754;
+   wire n_27755;
+   wire n_27756;
+   wire n_27757;
+   wire n_27758;
+   wire n_27759;
+   wire n_27760;
+   wire n_27761;
+   wire n_27762;
+   wire n_27763;
+   wire n_27764;
+   wire n_27765;
+   wire n_27766;
+   wire n_27767;
+   wire n_27768;
+   wire n_27769;
+   wire n_27770;
+   wire n_27771;
+   wire n_27772;
+   wire n_27773;
+   wire n_27774;
+   wire n_27775;
+   wire n_27776;
+   wire n_27777;
+   wire n_27778;
+   wire n_27779;
+   wire n_27780;
+   wire n_27781;
+   wire n_27782;
+   wire n_27783;
+   wire n_27784;
+   wire n_27785;
+   wire n_27786;
+   wire n_27787;
+   wire n_27788;
+   wire n_27789;
+   wire n_27790;
+   wire n_27791;
+   wire n_27792;
+   wire n_27793;
+   wire n_27794;
+   wire n_27795;
+   wire n_27796;
+   wire n_27797;
+   wire n_27798;
+   wire n_27799;
+   wire n_27800;
+   wire n_27801;
+   wire n_27802;
+   wire n_27803;
+   wire n_27804;
+   wire n_27805;
+   wire n_27806;
+   wire n_27807;
+   wire n_27808;
+   wire n_27809;
+   wire n_27810;
+   wire n_27811;
+   wire n_27812;
+   wire n_27813;
+   wire n_27814;
+   wire n_27815;
+   wire n_27816;
+   wire n_27817;
+   wire n_27818;
+   wire n_27819;
+   wire n_27820;
+   wire n_27821;
+   wire n_27822;
+   wire n_27823;
+   wire n_27824;
+   wire n_27825;
+   wire n_27826;
+   wire n_27827;
+   wire n_27828;
+   wire n_27829;
+   wire n_27830;
+   wire n_27831;
+   wire n_27832;
+   wire n_27833;
+   wire n_27834;
+   wire n_27835;
+   wire n_27836;
+   wire n_27837;
+   wire n_27838;
+   wire n_27839;
+   wire n_27840;
+   wire n_27841;
+   wire n_27842;
+   wire n_27843;
+   wire n_27844;
+   wire n_27845;
+   wire n_27846;
+   wire n_27847;
+   wire n_27848;
+   wire n_27849;
+   wire n_27850;
+   wire n_27851;
+   wire n_27852;
+   wire n_27853;
+   wire n_27854;
+   wire n_27855;
+   wire n_27856;
+   wire n_27857;
+   wire n_27858;
+   wire n_27859;
+   wire n_27860;
+   wire n_27861;
+   wire n_27862;
+   wire n_27863;
+   wire n_27864;
+   wire n_27865;
+   wire n_27866;
+   wire n_27867;
+   wire n_27868;
+   wire n_27869;
+   wire n_27870;
+   wire n_27871;
+   wire n_27872;
+   wire n_27873;
+   wire n_27874;
+   wire n_27875;
+   wire n_27876;
+   wire n_27877;
+   wire n_27878;
+   wire n_27879;
+   wire n_27880;
+   wire n_27881;
+   wire n_27882;
+   wire n_27883;
+   wire n_27884;
+   wire n_27885;
+   wire n_27886;
+   wire n_27887;
+   wire n_27888;
+   wire n_27889;
+   wire n_27890;
+   wire n_27891;
+   wire n_27892;
+   wire n_27893;
+   wire n_27894;
+   wire n_27895;
+   wire n_27896;
+   wire n_27897;
+   wire n_27898;
+   wire n_27899;
+   wire n_27900;
+   wire n_27901;
+   wire n_27902;
+   wire n_27903;
+   wire n_27904;
+   wire n_27905;
+   wire n_27906;
+   wire n_27907;
+   wire n_27908;
+   wire n_27909;
+   wire n_27910;
+   wire n_27911;
+   wire n_27912;
+   wire n_27913;
+   wire n_27914;
+   wire n_27915;
+   wire n_27916;
+   wire n_27917;
+   wire n_27918;
+   wire n_27919;
+   wire n_27920;
+   wire n_27921;
+   wire n_27922;
+   wire n_27923;
+   wire n_27924;
+   wire n_27925;
+   wire n_27926;
+   wire n_27927;
+   wire n_27928;
+   wire n_27929;
+   wire n_27930;
+   wire n_27931;
+   wire n_27932;
+   wire n_27933;
+   wire n_27934;
+   wire n_27935;
+   wire n_27936;
+   wire n_27937;
+   wire n_27938;
+   wire n_27939;
+   wire n_27940;
+   wire n_27941;
+   wire n_27942;
+   wire n_27943;
+   wire n_27944;
+   wire n_27945;
+   wire n_27946;
+   wire n_27947;
+   wire n_27948;
+   wire n_27949;
+   wire n_27950;
+   wire n_27951;
+   wire n_27952;
+   wire n_27953;
+   wire n_27954;
+   wire n_27955;
+   wire n_27956;
+   wire n_27957;
+   wire n_27959;
+   wire n_27960;
+   wire n_27961;
+   wire n_27962;
+   wire n_27963;
+   wire n_27964;
+   wire n_27965;
+   wire n_27966;
+   wire n_27967;
+   wire n_27968;
+   wire n_27969;
+   wire n_27970;
+   wire n_27971;
+   wire n_27972;
+   wire n_27973;
+   wire n_27974;
+   wire n_27975;
+   wire n_27976;
+   wire n_27977;
+   wire n_27978;
+   wire n_27979;
+   wire n_27980;
+   wire n_27981;
+   wire n_27982;
+   wire n_27983;
+   wire n_27984;
+   wire n_27985;
+   wire n_27986;
+   wire n_27987;
+   wire n_27988;
+   wire n_27989;
+   wire n_27990;
+   wire n_27991;
+   wire n_27992;
+   wire n_27993;
+   wire n_27994;
+   wire n_27995;
+   wire n_27996;
+   wire n_27997;
+   wire n_27998;
+   wire n_27999;
+   wire n_28000;
+   wire n_28001;
+   wire n_28002;
+   wire n_28003;
+   wire n_28004;
+   wire n_28005;
+   wire n_28006;
+   wire n_28007;
+   wire n_28008;
+   wire n_28009;
+   wire n_28010;
+   wire n_28011;
+   wire n_28013;
+   wire n_28014;
+   wire n_28015;
+   wire n_28016;
+   wire n_28017;
+   wire n_28018;
+   wire n_28019;
+   wire n_28020;
+   wire n_28021;
+   wire n_28022;
+   wire n_28023;
+   wire n_28024;
+   wire n_28025;
+   wire n_28026;
+   wire n_28027;
+   wire n_28028;
+   wire n_28029;
+   wire n_28030;
+   wire n_28031;
+   wire n_28032;
+   wire n_28033;
+   wire n_28034;
+   wire n_28035;
+   wire n_28036;
+   wire n_28037;
+   wire n_28038;
+   wire n_28039;
+   wire n_28040;
+   wire n_28041;
+   wire n_28042;
+   wire n_28043;
+   wire n_28044;
+   wire n_28045;
+   wire n_28046;
+   wire n_28047;
+   wire n_28048;
+   wire n_28049;
+   wire n_28050;
+   wire n_28051;
+   wire n_28052;
+   wire n_28053;
+   wire n_28054;
+   wire n_28055;
+   wire n_28056;
+   wire n_28057;
+   wire n_28058;
+   wire n_28059;
+   wire n_28060;
+   wire n_28061;
+   wire n_28062;
+   wire n_28063;
+   wire n_28064;
+   wire n_28065;
+   wire n_28066;
+   wire n_28067;
+   wire n_28069;
+   wire n_28070;
+   wire n_28071;
+   wire n_28072;
+   wire n_28073;
+   wire n_28074;
+   wire n_28075;
+   wire n_28076;
+   wire n_28077;
+   wire n_28078;
+   wire n_28079;
+   wire n_28080;
+   wire n_28081;
+   wire n_28082;
+   wire n_28083;
+   wire n_28084;
+   wire n_28085;
+   wire n_28086;
+   wire n_28087;
+   wire n_28088;
+   wire n_28090;
+   wire n_28091;
+   wire n_28092;
+   wire n_28093;
+   wire n_28094;
+   wire n_28095;
+   wire n_28096;
+   wire n_28097;
+   wire n_28098;
+   wire n_28099;
+   wire n_28100;
+   wire n_28101;
+   wire n_28102;
+   wire n_28103;
+   wire n_28104;
+   wire n_28105;
+   wire n_28106;
+   wire n_28107;
+   wire n_28108;
+   wire n_28109;
+   wire n_28110;
+   wire n_28111;
+   wire n_28112;
+   wire n_28113;
+   wire n_28114;
+   wire n_28115;
+   wire n_28116;
+   wire n_28117;
+   wire n_28118;
+   wire n_28119;
+   wire n_28120;
+   wire n_28121;
+   wire n_28122;
+   wire n_28123;
+   wire n_28124;
+   wire n_28125;
+   wire n_28126;
+   wire n_28127;
+   wire n_28128;
+   wire n_28129;
+   wire n_28130;
+   wire n_28131;
+   wire n_28132;
+   wire n_28133;
+   wire n_28134;
+   wire n_28135;
+   wire n_28136;
+   wire n_28137;
+   wire n_28138;
+   wire n_28139;
+   wire n_28140;
+   wire n_28141;
+   wire n_28142;
+   wire n_28143;
+   wire n_28144;
+   wire n_28145;
+   wire n_28146;
+   wire n_28147;
+   wire n_28148;
+   wire n_28149;
+   wire n_28150;
+   wire n_28151;
+   wire n_28152;
+   wire n_28153;
+   wire n_28154;
+   wire n_28155;
+   wire n_28156;
+   wire n_28157;
+   wire n_28158;
+   wire n_28159;
+   wire n_28160;
+   wire n_28161;
+   wire n_28162;
+   wire n_28163;
+   wire n_28164;
+   wire n_28165;
+   wire n_28166;
+   wire n_28167;
+   wire n_28168;
+   wire n_28169;
+   wire n_28170;
+   wire n_28171;
+   wire n_28172;
+   wire n_28173;
+   wire n_28174;
+   wire n_28175;
+   wire n_28176;
+   wire n_28177;
+   wire n_28178;
+   wire n_28179;
+   wire n_28180;
+   wire n_28181;
+   wire n_28182;
+   wire n_28183;
+   wire n_28184;
+   wire n_28185;
+   wire n_28186;
+   wire n_28187;
+   wire n_28188;
+   wire n_28189;
+   wire n_28190;
+   wire n_28191;
+   wire n_28192;
+   wire n_28193;
+   wire n_28194;
+   wire n_28195;
+   wire n_28196;
+   wire n_28197;
+   wire n_28198;
+   wire n_28199;
+   wire n_28200;
+   wire n_28201;
+   wire n_28202;
+   wire n_28203;
+   wire n_28204;
+   wire n_28205;
+   wire n_28207;
+   wire n_28208;
+   wire n_28209;
+   wire n_28210;
+   wire n_28211;
+   wire n_28212;
+   wire n_28213;
+   wire n_28214;
+   wire n_28215;
+   wire n_28216;
+   wire n_28217;
+   wire n_28218;
+   wire n_28219;
+   wire n_28220;
+   wire n_28223;
+   wire n_28224;
+   wire n_28225;
+   wire n_28226;
+   wire n_28227;
+   wire n_28228;
+   wire n_28230;
+   wire n_28231;
+   wire n_28232;
+   wire n_28233;
+   wire n_28234;
+   wire n_28235;
+   wire n_28236;
+   wire n_28237;
+   wire n_28238;
+   wire n_28239;
+   wire n_28240;
+   wire n_28241;
+   wire n_28242;
+   wire n_28243;
+   wire n_28244;
+   wire n_28245;
+   wire n_28246;
+   wire n_28247;
+   wire n_28248;
+   wire n_28249;
+   wire n_28250;
+   wire n_28251;
+   wire n_28252;
+   wire n_28253;
+   wire n_28254;
+   wire n_28255;
+   wire n_28256;
+   wire n_28257;
+   wire n_28258;
+   wire n_28259;
+   wire n_28260;
+   wire n_28261;
+   wire n_28262;
+   wire n_28263;
+   wire n_28264;
+   wire n_28265;
+   wire n_28266;
+   wire n_28267;
+   wire n_28268;
+   wire n_28269;
+   wire n_28270;
+   wire n_28271;
+   wire n_28272;
+   wire n_28273;
+   wire n_28274;
+   wire n_28275;
+   wire n_28276;
+   wire n_28277;
+   wire n_28278;
+   wire n_28279;
+   wire n_28280;
+   wire n_28281;
+   wire n_28282;
+   wire n_28283;
+   wire n_28284;
+   wire n_28285;
+   wire n_28286;
+   wire n_28287;
+   wire n_28288;
+   wire n_28289;
+   wire n_28290;
+   wire n_28291;
+   wire n_28292;
+   wire n_28293;
+   wire n_28294;
+   wire n_28295;
+   wire n_28296;
+   wire n_28297;
+   wire n_28298;
+   wire n_28299;
+   wire n_28300;
+   wire n_28301;
+   wire n_28302;
+   wire n_28303;
+   wire n_28304;
+   wire n_28305;
+   wire n_28306;
+   wire n_28307;
+   wire n_28308;
+   wire n_28309;
+   wire n_28310;
+   wire n_28311;
+   wire n_28312;
+   wire n_28313;
+   wire n_28314;
+   wire n_28315;
+   wire n_28316;
+   wire n_28317;
+   wire n_28318;
+   wire n_28319;
+   wire n_28320;
+   wire n_28321;
+   wire n_28322;
+   wire n_28323;
+   wire n_28324;
+   wire n_28325;
+   wire n_28326;
+   wire n_28327;
+   wire n_28328;
+   wire n_28329;
+   wire n_28330;
+   wire n_28331;
+   wire n_28332;
+   wire n_28333;
+   wire n_28334;
+   wire n_28335;
+   wire n_28336;
+   wire n_28337;
+   wire n_28338;
+   wire n_28339;
+   wire n_28340;
+   wire n_28341;
+   wire n_28342;
+   wire n_28343;
+   wire n_28344;
+   wire n_28345;
+   wire n_28346;
+   wire n_28347;
+   wire n_28348;
+   wire n_28349;
+   wire n_28350;
+   wire n_28351;
+   wire n_28352;
+   wire n_28353;
+   wire n_28354;
+   wire n_28355;
+   wire n_28356;
+   wire n_28357;
+   wire n_28358;
+   wire n_28359;
+   wire n_28360;
+   wire n_28361;
+   wire n_28362;
+   wire n_28363;
+   wire n_28364;
+   wire n_28365;
+   wire n_28366;
+   wire n_28367;
+   wire n_28368;
+   wire n_28369;
+   wire n_28370;
+   wire n_28371;
+   wire n_28372;
+   wire n_28373;
+   wire n_28374;
+   wire n_28375;
+   wire n_28376;
+   wire n_28377;
+   wire n_28378;
+   wire n_28379;
+   wire n_28380;
+   wire n_28381;
+   wire n_28382;
+   wire n_28383;
+   wire n_28384;
+   wire n_28385;
+   wire n_28387;
+   wire n_28388;
+   wire n_28389;
+   wire n_28390;
+   wire n_28391;
+   wire n_28392;
+   wire n_28393;
+   wire n_28394;
+   wire n_28395;
+   wire n_28396;
+   wire n_28397;
+   wire n_28398;
+   wire n_28399;
+   wire n_28400;
+   wire n_28401;
+   wire n_28402;
+   wire n_28403;
+   wire n_28404;
+   wire n_28405;
+   wire n_28406;
+   wire n_28407;
+   wire n_28408;
+   wire n_28409;
+   wire n_28410;
+   wire n_28411;
+   wire n_28412;
+   wire n_28413;
+   wire n_28414;
+   wire n_28415;
+   wire n_28416;
+   wire n_28417;
+   wire n_28418;
+   wire n_28419;
+   wire n_28420;
+   wire n_28421;
+   wire n_28422;
+   wire n_28423;
+   wire n_28424;
+   wire n_28425;
+   wire n_28426;
+   wire n_28427;
+   wire n_28428;
+   wire n_28429;
+   wire n_28430;
+   wire n_28431;
+   wire n_28432;
+   wire n_28433;
+   wire n_28434;
+   wire n_28436;
+   wire n_28437;
+   wire n_28438;
+   wire n_28439;
+   wire n_28440;
+   wire n_28441;
+   wire n_28442;
+   wire n_28443;
+   wire n_28444;
+   wire n_28445;
+   wire n_28446;
+   wire n_28447;
+   wire n_28448;
+   wire n_28449;
+   wire n_28450;
+   wire n_28451;
+   wire n_28452;
+   wire n_28453;
+   wire n_28454;
+   wire n_28455;
+   wire n_28456;
+   wire n_28457;
+   wire n_28458;
+   wire n_28459;
+   wire n_28460;
+   wire n_28461;
+   wire n_28462;
+   wire n_28463;
+   wire n_28464;
+   wire n_28465;
+   wire n_28466;
+   wire n_28467;
+   wire n_28468;
+   wire n_28469;
+   wire n_28470;
+   wire n_28471;
+   wire n_28472;
+   wire n_28473;
+   wire n_28474;
+   wire n_28475;
+   wire n_28476;
+   wire n_28477;
+   wire n_28478;
+   wire n_28479;
+   wire n_28480;
+   wire n_28481;
+   wire n_28482;
+   wire n_28483;
+   wire n_28484;
+   wire n_28485;
+   wire n_28486;
+   wire n_28487;
+   wire n_28488;
+   wire n_28489;
+   wire n_28490;
+   wire n_28491;
+   wire n_28492;
+   wire n_28493;
+   wire n_28494;
+   wire n_28495;
+   wire n_28496;
+   wire n_28497;
+   wire n_28498;
+   wire n_28499;
+   wire n_28500;
+   wire n_28501;
+   wire n_28502;
+   wire n_28503;
+   wire n_28504;
+   wire n_28505;
+   wire n_28506;
+   wire n_28507;
+   wire n_28508;
+   wire n_28509;
+   wire n_28510;
+   wire n_28511;
+   wire n_28512;
+   wire n_28513;
+   wire n_28514;
+   wire n_28515;
+   wire n_28516;
+   wire n_28517;
+   wire n_28518;
+   wire n_28519;
+   wire n_28520;
+   wire n_28521;
+   wire n_28522;
+   wire n_28523;
+   wire n_28524;
+   wire n_28525;
+   wire n_28526;
+   wire n_28527;
+   wire n_28528;
+   wire n_28529;
+   wire n_28530;
+   wire n_28531;
+   wire n_28532;
+   wire n_28533;
+   wire n_28534;
+   wire n_28535;
+   wire n_28536;
+   wire n_28537;
+   wire n_28538;
+   wire n_28539;
+   wire n_28540;
+   wire n_28541;
+   wire n_28542;
+   wire n_28543;
+   wire n_28544;
+   wire n_28545;
+   wire n_28546;
+   wire n_28547;
+   wire n_28548;
+   wire n_28549;
+   wire n_28550;
+   wire n_28551;
+   wire n_28552;
+   wire n_28553;
+   wire n_28554;
+   wire n_28555;
+   wire n_28556;
+   wire n_28557;
+   wire n_28558;
+   wire n_28559;
+   wire n_28560;
+   wire n_28561;
+   wire n_28562;
+   wire n_28563;
+   wire n_28564;
+   wire n_28565;
+   wire n_28566;
+   wire n_28567;
+   wire n_28568;
+   wire n_28569;
+   wire n_28570;
+   wire n_28571;
+   wire n_28572;
+   wire n_28573;
+   wire n_28574;
+   wire n_28575;
+   wire n_28576;
+   wire n_28577;
+   wire n_28578;
+   wire n_28579;
+   wire n_28580;
+   wire n_28581;
+   wire n_28582;
+   wire n_28583;
+   wire n_28584;
+   wire n_28585;
+   wire n_28586;
+   wire n_28587;
+   wire n_28588;
+   wire n_28589;
+   wire n_28590;
+   wire n_28591;
+   wire n_28592;
+   wire n_28593;
+   wire n_28594;
+   wire n_28595;
+   wire n_28596;
+   wire n_28597;
+   wire n_28598;
+   wire n_28599;
+   wire n_28600;
+   wire n_28601;
+   wire n_28602;
+   wire n_28603;
+   wire n_28604;
+   wire n_28605;
+   wire n_28606;
+   wire n_28607;
+   wire n_28608;
+   wire n_28609;
+   wire n_28610;
+   wire n_28611;
+   wire n_28612;
+   wire n_28613;
+   wire n_28614;
+   wire n_28615;
+   wire n_28616;
+   wire n_28617;
+   wire n_28618;
+   wire n_28619;
+   wire n_28620;
+   wire n_28621;
+   wire n_28622;
+   wire n_28623;
+   wire n_28624;
+   wire n_28625;
+   wire n_28626;
+   wire n_28627;
+   wire n_28628;
+   wire n_28629;
+   wire n_28630;
+   wire n_28631;
+   wire n_28632;
+   wire n_28633;
+   wire n_28634;
+   wire n_28635;
+   wire n_28636;
+   wire n_28637;
+   wire n_28638;
+   wire n_28639;
+   wire n_28640;
+   wire n_28641;
+   wire n_28642;
+   wire n_28643;
+   wire n_28644;
+   wire n_28645;
+   wire n_28646;
+   wire n_28647;
+   wire n_28648;
+   wire n_28649;
+   wire n_28650;
+   wire n_28651;
+   wire n_28652;
+   wire n_28653;
+   wire n_28654;
+   wire n_28655;
+   wire n_28656;
+   wire n_28657;
+   wire n_28658;
+   wire n_28659;
+   wire n_28660;
+   wire n_28661;
+   wire n_28662;
+   wire n_28663;
+   wire n_28664;
+   wire n_28665;
+   wire n_28666;
+   wire n_28667;
+   wire n_28668;
+   wire n_28669;
+   wire n_28670;
+   wire n_28671;
+   wire n_28672;
+   wire n_28673;
+   wire n_28674;
+   wire n_28675;
+   wire n_28676;
+   wire n_28677;
+   wire n_28678;
+   wire n_28679;
+   wire n_28680;
+   wire n_28681;
+   wire n_28682;
+   wire n_28683;
+   wire n_28684;
+   wire n_28685;
+   wire n_28686;
+   wire n_28687;
+   wire n_28688;
+   wire n_28689;
+   wire n_28690;
+   wire n_28691;
+   wire n_28692;
+   wire n_28693;
+   wire n_28694;
+   wire n_28695;
+   wire n_28696;
+   wire n_28697;
+   wire n_28698;
+   wire n_28699;
+   wire n_28700;
+   wire n_28701;
+   wire n_28702;
+   wire n_28703;
+   wire n_28704;
+   wire n_28705;
+   wire n_28706;
+   wire n_28707;
+   wire n_28709;
+   wire n_28710;
+   wire n_28711;
+   wire n_28712;
+   wire n_28713;
+   wire n_28714;
+   wire n_28715;
+   wire n_28716;
+   wire n_28717;
+   wire n_28718;
+   wire n_28719;
+   wire n_28720;
+   wire n_28721;
+   wire n_28722;
+   wire n_28723;
+   wire n_28724;
+   wire n_28725;
+   wire n_28727;
+   wire n_28728;
+   wire n_28729;
+   wire n_28730;
+   wire n_28731;
+   wire n_28732;
+   wire n_28733;
+   wire n_28734;
+   wire n_28735;
+   wire n_28736;
+   wire n_28737;
+   wire n_28738;
+   wire n_28739;
+   wire n_28740;
+   wire n_28741;
+   wire n_28743;
+   wire n_28744;
+   wire n_28746;
+   wire n_28747;
+   wire n_28752;
+   wire n_28771;
+   wire n_28774;
+   wire n_28775;
+   wire n_28777;
+   wire n_28779;
+   wire n_28780;
+   wire n_28781;
+   wire n_28783;
+   wire n_28784;
+   wire n_28785;
+   wire n_28786;
+   wire n_28789;
+   wire n_28791;
+   wire n_28792;
+   wire n_28793;
+   wire n_28794;
+   wire n_28795;
+   wire n_28797;
+   wire n_28798;
+   wire n_28800;
+   wire n_28802;
+   wire n_28803;
+   wire n_28804;
+   wire n_28807;
+   wire n_28819;
+   wire n_28820;
+   wire n_28823;
+   wire n_28825;
+   wire n_28826;
+   wire n_28827;
+   wire n_28828;
+   wire n_28829;
+   wire n_28830;
+   wire n_28831;
+   wire n_28833;
+   wire n_28834;
+   wire n_28835;
+   wire n_28836;
+   wire n_28837;
+   wire n_28839;
+   wire n_28841;
+   wire n_28842;
+   wire n_28845;
+   wire n_28846;
+   wire n_28847;
+   wire n_28848;
+   wire n_28849;
+   wire n_28851;
+   wire n_28852;
+   wire n_28853;
+   wire n_28854;
+   wire n_28855;
+   wire n_28856;
+   wire n_28857;
+   wire n_28858;
+   wire n_28859;
+   wire n_28864;
+   wire n_28871;
+   wire n_28872;
+   wire n_28873;
+   wire n_28874;
+   wire n_28876;
+   wire n_28884;
+   wire n_28885;
+   wire n_28887;
+   wire n_28888;
+   wire n_28889;
+   wire n_28890;
+   wire n_28891;
+   wire n_28892;
+   wire n_28893;
+   wire n_28894;
+   wire n_28895;
+   wire n_28896;
+   wire n_28897;
+   wire n_28898;
+   wire n_28899;
+   wire n_28911;
+   wire n_28913;
+   wire n_28917;
+   wire n_28920;
+   wire n_28921;
+   wire n_28922;
+   wire n_28923;
+   wire n_28924;
+   wire n_28925;
+   wire n_28926;
+   wire n_28927;
+   wire n_28928;
+   wire n_28929;
+   wire n_28930;
+   wire n_28931;
+   wire n_28932;
+   wire n_28933;
+   wire n_28934;
+   wire n_28935;
+   wire n_28936;
+   wire n_28937;
+   wire n_28938;
+   wire n_28939;
+   wire n_28940;
+   wire n_28941;
+   wire n_28942;
+   wire n_28943;
+   wire n_28944;
+   wire n_28945;
+   wire n_28946;
+   wire n_28947;
+   wire n_28948;
+   wire n_28949;
+   wire n_28950;
+   wire n_28951;
+   wire n_28952;
+   wire n_28953;
+   wire n_28954;
+   wire n_28955;
+   wire n_28956;
+   wire n_28957;
+   wire n_28958;
+   wire n_28959;
+   wire n_28960;
+   wire n_28961;
+   wire n_28962;
+   wire n_28963;
+   wire n_28964;
+   wire n_28965;
+   wire n_28966;
+   wire n_28967;
+   wire n_28968;
+   wire n_28969;
+   wire n_28970;
+   wire n_28971;
+   wire n_28972;
+   wire n_28973;
+   wire n_28974;
+   wire n_28975;
+   wire n_28976;
+   wire n_28977;
+   wire n_28978;
+   wire n_28979;
+   wire n_28980;
+   wire n_28981;
+   wire n_28982;
+   wire n_28983;
+   wire n_28984;
+   wire n_28985;
+   wire n_28986;
+   wire n_28987;
+   wire n_28988;
+   wire n_28989;
+   wire n_28990;
+   wire n_28991;
+   wire n_28992;
+   wire n_28993;
+   wire n_28994;
+   wire n_28995;
+   wire n_28996;
+   wire n_28997;
+   wire n_28998;
+   wire n_28999;
+   wire n_29000;
+   wire n_29001;
+   wire n_29002;
+   wire n_29003;
+   wire n_29004;
+   wire n_29005;
+   wire n_29006;
+   wire n_29007;
+   wire n_29008;
+   wire n_29009;
+   wire n_29010;
+   wire n_29011;
+   wire n_29012;
+   wire n_29013;
+   wire n_29014;
+   wire n_29015;
+   wire n_29016;
+   wire n_29017;
+   wire n_29018;
+   wire n_29019;
+   wire n_29020;
+   wire n_29021;
+   wire n_29022;
+   wire n_29023;
+   wire n_29024;
+   wire n_29025;
+   wire n_29026;
+   wire n_29027;
+   wire n_29028;
+   wire n_29029;
+   wire n_29030;
+   wire n_29031;
+   wire n_29032;
+   wire n_29033;
+   wire n_29034;
+   wire n_29035;
+   wire n_29036;
+   wire n_29037;
+   wire n_29038;
+   wire n_29039;
+   wire n_29040;
+   wire n_29041;
+   wire n_29042;
+   wire n_29043;
+   wire n_29044;
+   wire n_29045;
+   wire n_29046;
+   wire n_29047;
+   wire n_29048;
+   wire n_29049;
+   wire n_29050;
+   wire n_29051;
+   wire n_29052;
+   wire n_29053;
+   wire n_29054;
+   wire n_29055;
+   wire n_29056;
+   wire n_29057;
+   wire n_29058;
+   wire n_29059;
+   wire n_29060;
+   wire n_29061;
+   wire n_29062;
+   wire n_29063;
+   wire n_29064;
+   wire n_29065;
+   wire n_29066;
+   wire n_29067;
+   wire n_29068;
+   wire n_29069;
+   wire n_29070;
+   wire n_29071;
+   wire n_29072;
+   wire n_29073;
+   wire n_29074;
+   wire n_29075;
+   wire n_29076;
+   wire n_29077;
+   wire n_29078;
+   wire n_29079;
+   wire n_29080;
+   wire n_29081;
+   wire n_29082;
+   wire n_29083;
+   wire n_29084;
+   wire n_29085;
+   wire n_29086;
+   wire n_29087;
+   wire n_29088;
+   wire n_29089;
+   wire n_29090;
+   wire n_29091;
+   wire n_29092;
+   wire n_29093;
+   wire n_29094;
+   wire n_29095;
+   wire n_29096;
+   wire n_29097;
+   wire n_29098;
+   wire n_29099;
+   wire n_29100;
+   wire n_29101;
+   wire n_29102;
+   wire n_29103;
+   wire n_29104;
+   wire n_29105;
+   wire n_29106;
+   wire n_29107;
+   wire n_29108;
+   wire n_29109;
+   wire n_29110;
+   wire n_29111;
+   wire n_29112;
+   wire n_29113;
+   wire n_29114;
+   wire n_29115;
+   wire n_29116;
+   wire n_29117;
+   wire n_29118;
+   wire n_29119;
+   wire n_29120;
+   wire n_29121;
+   wire n_29122;
+   wire n_29123;
+   wire n_29124;
+   wire n_29125;
+   wire n_29126;
+   wire n_29127;
+   wire n_29128;
+   wire n_29129;
+   wire n_29130;
+   wire n_29131;
+   wire n_29133;
+   wire n_29134;
+   wire n_29136;
+   wire n_29139;
+   wire n_29140;
+   wire n_29142;
+   wire n_29143;
+   wire n_29144;
+   wire n_29145;
+   wire n_29146;
+   wire n_29147;
+   wire n_29148;
+   wire n_29149;
+   wire n_29150;
+   wire n_29151;
+   wire n_29152;
+   wire n_29153;
+   wire n_29154;
+   wire n_29155;
+   wire n_29156;
+   wire n_29157;
+   wire n_29158;
+   wire n_29159;
+   wire n_29160;
+   wire n_29161;
+   wire n_29162;
+   wire n_29163;
+   wire n_29164;
+   wire n_29165;
+   wire n_29166;
+   wire n_29167;
+   wire n_29168;
+   wire n_29169;
+   wire n_29170;
+   wire n_29171;
+   wire n_29172;
+   wire n_29173;
+   wire n_29174;
+   wire n_29175;
+   wire n_29176;
+   wire n_29177;
+   wire n_29178;
+   wire n_29179;
+   wire n_29180;
+   wire n_29181;
+   wire n_29182;
+   wire n_29183;
+   wire n_29184;
+   wire n_29185;
+   wire n_29186;
+   wire n_29187;
+   wire n_29188;
+   wire n_29189;
+   wire n_29190;
+   wire n_29191;
+   wire n_29192;
+   wire n_29193;
+   wire n_29194;
+   wire n_29195;
+   wire n_29196;
+   wire n_29197;
+   wire n_29198;
+   wire n_29199;
+   wire n_29200;
+   wire n_29201;
+   wire n_29202;
+   wire n_29203;
+   wire n_29204;
+   wire n_29205;
+   wire n_29206;
+   wire n_29207;
+   wire n_29208;
+   wire n_29209;
+   wire n_29210;
+   wire n_29211;
+   wire n_29212;
+   wire n_29213;
+   wire n_29214;
+   wire n_29215;
+   wire n_29216;
+   wire n_29217;
+   wire n_29218;
+   wire n_29219;
+   wire n_29220;
+   wire n_29221;
+   wire n_29222;
+   wire n_29223;
+   wire n_29224;
+   wire n_29225;
+   wire n_29226;
+   wire n_29227;
+   wire n_29228;
+   wire n_29229;
+   wire n_29230;
+   wire n_29231;
+   wire n_29232;
+   wire n_29233;
+   wire n_29234;
+   wire n_29235;
+   wire n_29236;
+   wire n_29237;
+   wire n_29238;
+   wire n_29239;
+   wire n_29240;
+   wire n_29241;
+   wire n_29242;
+   wire n_29243;
+   wire n_29244;
+   wire n_29245;
+   wire n_29246;
+   wire n_29247;
+   wire n_29248;
+   wire n_29249;
+   wire n_29250;
+   wire n_29251;
+   wire n_29252;
+   wire n_29253;
+   wire n_29254;
+   wire n_29255;
+   wire n_29256;
+   wire n_29257;
+   wire n_29258;
+   wire n_29259;
+   wire n_29260;
+   wire n_29261;
+   wire n_29262;
+   wire n_29263;
+   wire n_29264;
+   wire n_29265;
+   wire n_29266;
+   wire n_29267;
+   wire n_29268;
+   wire n_29269;
+   wire n_29270;
+   wire n_29271;
+   wire n_29272;
+   wire n_29273;
+   wire n_29274;
+   wire n_29275;
+   wire n_29276;
+   wire n_29277;
+   wire n_29278;
+   wire n_29279;
+   wire n_29280;
+   wire n_29281;
+   wire n_29282;
+   wire n_29283;
+   wire n_29284;
+   wire n_29285;
+   wire n_29286;
+   wire n_29287;
+   wire n_29288;
+   wire n_29289;
+   wire n_29290;
+   wire n_29291;
+   wire n_29292;
+   wire n_29293;
+   wire n_29294;
+   wire n_29295;
+   wire n_29296;
+   wire n_29297;
+   wire n_29298;
+   wire n_29299;
+   wire n_29300;
+   wire n_29301;
+   wire n_29302;
+   wire n_29303;
+   wire n_29304;
+   wire n_29305;
+   wire n_29306;
+   wire n_29307;
+   wire n_29308;
+   wire n_29309;
+   wire n_29310;
+   wire n_29311;
+   wire n_29312;
+   wire n_29313;
+   wire n_29314;
+   wire n_29315;
+   wire n_29316;
+   wire n_29317;
+   wire n_29318;
+   wire n_29319;
+   wire n_29320;
+   wire n_29321;
+   wire n_29322;
+   wire n_29323;
+   wire n_29324;
+   wire n_29327;
+   wire n_29328;
+   wire n_29329;
+   wire n_29330;
+   wire n_29331;
+   wire n_29332;
+   wire n_29333;
+   wire n_29334;
+   wire n_29335;
+   wire n_29336;
+   wire n_29337;
+   wire n_29338;
+   wire n_29339;
+   wire n_29340;
+   wire n_29341;
+   wire n_29342;
+   wire n_29343;
+   wire n_29344;
+   wire n_29345;
+   wire n_29346;
+   wire n_29347;
+   wire n_29348;
+   wire n_29349;
+   wire n_29350;
+   wire n_29351;
+   wire n_29352;
+   wire n_29353;
+   wire n_29354;
+   wire n_29355;
+   wire n_29356;
+   wire n_29357;
+   wire n_29365;
+   wire n_29367;
+   wire n_29368;
+   wire n_29369;
+   wire n_29370;
+   wire n_29371;
+   wire n_29372;
+   wire n_29373;
+   wire n_29374;
+   wire n_29375;
+   wire n_29376;
+   wire n_29377;
+   wire n_29378;
+   wire n_29379;
+   wire n_29380;
+   wire n_29381;
+   wire n_29382;
+   wire n_29383;
+   wire n_29384;
+   wire n_29385;
+   wire n_29386;
+   wire n_29387;
+   wire n_29388;
+   wire n_29389;
+   wire n_29390;
+   wire n_29391;
+   wire n_29392;
+   wire n_29393;
+   wire n_29394;
+   wire n_29395;
+   wire n_29396;
+   wire n_29397;
+   wire n_29398;
+   wire n_29399;
+   wire n_29400;
+   wire n_29401;
+   wire n_29402;
+   wire n_29403;
+   wire n_29404;
+   wire n_29405;
+   wire n_29406;
+   wire n_29407;
+   wire n_29408;
+   wire n_29409;
+   wire n_29410;
+   wire n_29411;
+   wire n_29412;
+   wire n_29413;
+   wire n_29414;
+   wire n_29415;
+   wire n_29416;
+   wire n_29417;
+   wire n_29418;
+   wire n_29419;
+   wire n_29420;
+   wire n_29421;
+   wire n_29422;
+   wire n_29423;
+   wire n_29424;
+   wire n_29425;
+   wire n_29426;
+   wire n_29427;
+   wire n_29428;
+   wire n_29429;
+   wire n_29430;
+   wire n_29431;
+   wire n_29432;
+   wire n_29433;
+   wire n_29434;
+   wire n_29435;
+   wire n_29436;
+   wire n_29437;
+   wire n_29438;
+   wire n_29439;
+   wire n_29440;
+   wire n_29441;
+   wire n_29442;
+   wire n_29443;
+   wire n_29444;
+   wire n_29445;
+   wire n_29446;
+   wire n_29447;
+   wire n_29448;
+   wire n_29449;
+   wire n_29450;
+   wire n_29451;
+   wire n_29452;
+   wire n_29453;
+   wire n_29454;
+   wire n_29455;
+   wire n_29456;
+   wire n_29457;
+   wire n_29458;
+   wire n_29459;
+   wire n_29460;
+   wire n_29461;
+   wire n_29462;
+   wire n_29463;
+   wire n_29464;
+   wire n_29465;
+   wire n_29466;
+   wire n_29467;
+   wire n_29468;
+   wire n_29469;
+   wire n_29470;
+   wire n_29471;
+   wire n_29472;
+   wire n_29473;
+   wire n_29474;
+   wire n_29475;
+   wire n_29476;
+   wire n_29477;
+   wire n_29478;
+   wire n_29479;
+   wire n_29480;
+   wire n_29481;
+   wire n_29482;
+   wire n_29483;
+   wire n_29484;
+   wire n_29485;
+   wire n_29486;
+   wire n_29487;
+   wire n_29488;
+   wire n_29489;
+   wire n_29490;
+   wire n_29491;
+   wire n_29492;
+   wire n_29493;
+   wire n_29494;
+   wire n_29495;
+   wire n_29496;
+   wire n_29497;
+   wire n_29498;
+   wire n_29499;
+   wire n_29500;
+   wire n_29501;
+   wire n_29502;
+   wire n_29503;
+   wire n_29504;
+   wire n_29505;
+   wire n_29506;
+   wire n_29507;
+   wire n_29508;
+   wire n_29509;
+   wire n_29510;
+   wire n_29511;
+   wire n_29512;
+   wire n_29513;
+   wire n_29514;
+   wire n_29515;
+   wire n_29516;
+   wire n_29517;
+   wire n_29518;
+   wire n_29519;
+   wire n_29520;
+   wire n_29521;
+   wire n_29522;
+   wire n_29523;
+   wire n_29524;
+   wire n_29525;
+   wire n_29526;
+   wire n_29527;
+   wire n_29528;
+   wire n_29529;
+   wire n_29530;
+   wire n_29531;
+   wire n_29532;
+   wire n_29533;
+   wire n_29534;
+   wire n_29535;
+   wire n_29536;
+   wire n_29537;
+   wire n_29538;
+   wire n_29539;
+   wire n_29540;
+   wire n_29541;
+   wire n_29542;
+   wire n_29543;
+   wire n_29544;
+   wire n_29545;
+   wire n_29546;
+   wire n_29547;
+   wire n_29548;
+   wire n_29549;
+   wire n_29550;
+   wire n_29551;
+   wire n_29552;
+   wire n_29553;
+   wire n_29554;
+   wire n_29555;
+   wire n_29556;
+   wire n_29557;
+   wire n_29558;
+   wire n_29559;
+   wire n_29560;
+   wire n_29561;
+   wire n_29562;
+   wire n_29563;
+   wire n_29564;
+   wire n_29565;
+   wire n_29566;
+   wire n_29567;
+   wire n_29568;
+   wire n_29569;
+   wire n_29570;
+   wire n_29571;
+   wire n_29572;
+   wire n_29573;
+   wire n_29574;
+   wire n_29576;
+   wire n_29577;
+   wire n_29578;
+   wire n_29579;
+   wire n_29580;
+   wire n_29581;
+   wire n_29582;
+   wire n_29583;
+   wire n_29584;
+   wire n_29585;
+   wire n_29586;
+   wire n_29587;
+   wire n_29588;
+   wire n_29589;
+   wire n_29590;
+   wire n_29591;
+   wire n_29592;
+   wire n_29593;
+   wire n_29594;
+   wire n_29595;
+   wire n_29596;
+   wire n_29597;
+   wire n_29598;
+   wire n_29599;
+   wire n_29600;
+   wire n_29601;
+   wire n_29602;
+   wire n_29603;
+   wire n_29604;
+   wire n_29605;
+   wire n_29606;
+   wire n_29607;
+   wire n_29608;
+   wire n_29609;
+   wire n_29610;
+   wire n_29611;
+   wire n_29612;
+   wire n_29613;
+   wire n_29614;
+   wire n_29615;
+   wire n_29616;
+   wire n_29617;
+   wire n_29618;
+   wire n_29619;
+   wire n_29620;
+   wire n_29621;
+   wire n_29622;
+   wire n_29623;
+   wire n_29624;
+   wire n_29625;
+   wire n_29626;
+   wire n_29627;
+   wire n_29628;
+   wire n_29630;
+   wire n_29631;
+   wire n_29633;
+   wire n_29634;
+   wire n_29635;
+   wire n_29636;
+   wire n_29637;
+   wire n_29638;
+   wire n_29639;
+   wire n_29640;
+   wire n_29641;
+   wire n_29642;
+   wire n_29643;
+   wire n_29644;
+   wire n_29645;
+   wire n_29646;
+   wire n_29647;
+   wire n_29648;
+   wire n_29649;
+   wire n_29650;
+   wire n_29651;
+   wire n_29652;
+   wire n_29653;
+   wire n_29654;
+   wire n_29655;
+   wire n_29656;
+   wire n_29657;
+   wire n_29658;
+   wire n_29659;
+   wire n_29660;
+   wire n_29661;
+   wire n_29662;
+   wire n_29663;
+   wire n_29664;
+   wire n_29665;
+   wire n_29666;
+   wire n_29667;
+   wire n_29669;
+   wire n_29670;
+   wire n_29671;
+   wire n_29672;
+   wire n_29674;
+   wire n_29675;
+   wire n_29676;
+   wire n_29677;
+   wire n_29679;
+   wire n_29680;
+   wire n_29681;
+   wire n_29682;
+   wire n_29683;
+   wire n_29684;
+   wire n_29685;
+   wire n_29686;
+   wire n_29687;
+   wire n_29688;
+   wire n_29689;
+   wire n_29690;
+   wire n_29691;
+   wire n_29692;
+   wire n_29693;
+   wire n_29695;
+   wire n_29696;
+   wire n_29697;
+   wire n_29698;
+   wire n_29699;
+   wire n_29701;
+   wire n_29702;
+   wire n_29703;
+   wire n_29704;
+   wire n_29705;
+   wire n_29706;
+   wire n_29707;
+   wire n_29708;
+   wire n_29709;
+   wire n_29710;
+   wire n_29711;
+   wire n_29712;
+   wire n_29713;
+   wire n_29714;
+   wire n_29715;
+   wire n_29716;
+   wire n_29717;
+   wire n_29718;
+   wire n_29719;
+   wire n_29720;
+   wire n_29721;
+   wire n_29722;
+   wire n_29723;
+   wire n_29724;
+   wire n_29725;
+   wire n_29726;
+   wire n_29727;
+   wire n_29728;
+   wire n_29729;
+   wire n_29730;
+   wire n_29731;
+   wire n_29732;
+   wire n_29733;
+   wire n_29734;
+   wire n_29735;
+   wire n_29740;
+   wire n_29741;
+   wire n_29742;
+   wire n_29743;
+   wire n_29744;
+   wire n_29745;
+   wire n_29746;
+   wire n_29747;
+   wire n_29748;
+   wire n_29749;
+   wire n_29750;
+   wire n_29751;
+   wire n_29752;
+   wire n_29753;
+   wire n_29754;
+   wire n_29755;
+   wire n_29756;
+   wire n_29757;
+   wire n_29758;
+   wire n_29759;
+   wire n_29760;
+   wire n_29761;
+   wire n_29762;
+   wire n_29763;
+   wire n_29764;
+   wire n_29765;
+   wire n_29766;
+   wire n_29767;
+   wire n_29768;
+   wire n_29769;
+   wire n_29770;
+   wire n_29771;
+   wire n_29772;
+   wire n_29773;
+   wire n_29774;
+   wire n_29775;
+   wire n_29776;
+   wire n_29777;
+   wire n_29778;
+   wire n_29779;
+   wire n_29780;
+   wire n_29781;
+   wire n_29782;
+   wire n_29783;
+   wire n_29784;
+   wire n_29785;
+   wire n_29786;
+   wire n_29787;
+   wire n_29788;
+   wire n_29789;
+   wire n_29790;
+   wire n_29791;
+   wire n_29792;
+   wire n_29793;
+   wire n_29794;
+   wire n_29795;
+   wire n_29796;
+   wire n_29797;
+   wire n_29798;
+   wire n_29799;
+   wire n_29800;
+   wire n_29801;
+   wire n_29802;
+   wire n_29803;
+   wire n_29804;
+   wire n_29805;
+   wire n_29806;
+   wire n_29807;
+   wire n_29808;
+   wire n_29809;
+   wire n_29810;
+   wire n_29811;
+   wire n_29812;
+   wire n_29813;
+   wire n_29814;
+   wire n_29815;
+   wire n_29816;
+   wire n_29817;
+   wire n_29818;
+   wire n_29819;
+   wire n_29820;
+   wire n_29821;
+   wire n_29822;
+   wire n_29823;
+   wire n_29824;
+   wire n_29825;
+   wire n_29826;
+   wire n_29827;
+   wire n_29828;
+   wire n_29844;
+   wire n_29845;
+   wire n_29846;
+   wire n_29847;
+   wire n_29848;
+   wire n_29849;
+   wire n_29850;
+   wire n_29851;
+   wire n_29852;
+   wire n_29853;
+   wire n_29854;
+   wire n_29855;
+   wire n_29856;
+   wire n_29857;
+   wire n_29858;
+   wire n_29859;
+   wire n_29860;
+   wire n_29861;
+   wire n_29862;
+   wire n_29863;
+   wire n_29864;
+   wire n_29865;
+   wire n_29866;
+   wire n_29867;
+   wire n_29869;
+   wire n_29871;
+   wire n_29873;
+   wire n_29875;
+   wire n_29876;
+   wire n_29877;
+   wire n_29878;
+   wire n_29879;
+   wire n_29882;
+   wire n_29884;
+   wire n_29889;
+   wire n_29890;
+   wire n_29891;
+   wire n_29892;
+   wire n_29893;
+   wire n_29896;
+   wire n_29898;
+   wire n_29899;
+   wire n_29900;
+   wire n_29901;
+   wire n_29902;
+   wire n_29903;
+   wire n_29904;
+   wire n_29906;
+   wire n_29907;
+   wire n_29908;
+   wire n_29909;
+   wire n_29910;
+   wire n_29911;
+   wire n_29912;
+   wire n_29913;
+   wire n_29914;
+   wire n_29915;
+   wire n_29916;
+   wire n_29917;
+   wire n_29918;
+   wire n_29919;
+   wire n_29920;
+   wire n_29921;
+   wire n_29922;
+   wire n_29923;
+   wire n_29924;
+   wire n_29925;
+   wire n_29926;
+   wire n_29927;
+   wire n_29929;
+   wire n_29930;
+   wire n_29931;
+   wire n_29932;
+   wire n_29933;
+   wire n_29934;
+   wire n_29935;
+   wire n_29936;
+   wire n_29937;
+   wire n_29938;
+   wire n_29939;
+   wire n_29940;
+   wire n_29941;
+   wire n_29942;
+   wire n_29943;
+   wire n_29944;
+   wire n_29945;
+   wire n_29946;
+   wire n_29947;
+   wire n_29948;
+   wire n_29949;
+   wire n_29950;
+   wire n_29951;
+   wire n_29952;
+   wire n_29953;
+   wire n_29954;
+   wire n_29955;
+   wire n_29956;
+   wire n_29957;
+   wire n_29958;
+   wire n_29959;
+   wire n_29960;
+   wire n_29961;
+   wire n_29962;
+   wire n_29963;
+   wire n_29964;
+   wire n_29965;
+   wire n_29966;
+   wire n_29967;
+   wire n_29968;
+   wire n_29969;
+   wire n_29970;
+   wire n_29971;
+   wire n_29972;
+   wire n_29973;
+   wire n_29974;
+   wire n_29975;
+   wire n_29976;
+   wire n_29977;
+   wire n_29978;
+   wire n_29979;
+   wire n_29980;
+   wire n_29981;
+   wire n_29982;
+   wire n_29983;
+   wire n_29984;
+   wire n_29985;
+   wire n_29986;
+   wire n_29987;
+   wire n_29988;
+   wire n_29989;
+   wire n_29990;
+   wire n_29991;
+   wire n_29992;
+   wire n_29993;
+   wire n_29994;
+   wire n_29995;
+   wire n_29996;
+   wire n_29997;
+   wire n_29998;
+   wire n_29999;
+   wire n_30000;
+   wire n_30001;
+   wire n_30002;
+   wire n_30003;
+   wire n_30004;
+   wire n_30005;
+   wire n_30006;
+   wire n_30007;
+   wire n_30008;
+   wire n_30009;
+   wire n_30010;
+   wire n_30011;
+   wire n_30012;
+   wire n_30013;
+   wire n_30014;
+   wire n_30015;
+   wire n_30016;
+   wire n_30017;
+   wire n_30018;
+   wire n_30019;
+   wire n_30020;
+   wire n_30021;
+   wire n_30022;
+   wire n_30023;
+   wire n_30024;
+   wire n_30025;
+   wire n_30026;
+   wire n_30027;
+   wire n_30028;
+   wire n_30029;
+   wire n_30030;
+   wire n_30031;
+   wire n_30032;
+   wire n_30033;
+   wire n_30034;
+   wire n_30035;
+   wire n_30036;
+   wire n_30037;
+   wire n_30038;
+   wire n_30039;
+   wire n_30040;
+   wire n_30041;
+   wire n_30042;
+   wire n_30043;
+   wire n_30044;
+   wire n_30045;
+   wire n_30046;
+   wire n_30047;
+   wire n_30048;
+   wire n_30049;
+   wire n_30050;
+   wire n_30051;
+   wire n_30052;
+   wire n_30053;
+   wire n_30054;
+   wire n_30055;
+   wire n_30056;
+   wire n_30057;
+   wire n_30058;
+   wire n_30059;
+   wire n_30060;
+   wire n_30061;
+   wire n_30062;
+   wire n_30063;
+   wire n_30064;
+   wire n_30065;
+   wire n_30066;
+   wire n_30067;
+   wire n_30068;
+   wire n_30069;
+   wire n_30070;
+   wire n_30071;
+   wire n_30072;
+   wire n_30073;
+   wire n_30074;
+   wire n_30075;
+   wire n_30076;
+   wire n_30077;
+   wire n_30078;
+   wire n_30079;
+   wire n_30080;
+   wire n_30081;
+   wire n_30082;
+   wire n_30083;
+   wire n_30084;
+   wire n_30085;
+   wire n_30086;
+   wire n_30087;
+   wire n_30088;
+   wire n_30089;
+   wire n_30090;
+   wire n_30091;
+   wire n_30092;
+   wire n_30093;
+   wire n_30094;
+   wire n_30095;
+   wire n_30096;
+   wire n_30097;
+   wire n_30098;
+   wire n_30099;
+   wire n_30100;
+   wire n_30101;
+   wire n_30102;
+   wire n_30103;
+   wire n_30104;
+   wire n_30105;
+   wire n_30106;
+   wire n_30107;
+   wire n_30108;
+   wire n_30109;
+   wire n_30110;
+   wire n_30111;
+   wire n_30112;
+   wire n_30113;
+   wire n_30114;
+   wire n_30115;
+   wire n_30116;
+   wire n_30117;
+   wire n_30118;
+   wire n_30119;
+   wire n_30120;
+   wire n_30121;
+   wire n_30122;
+   wire n_30123;
+   wire n_30124;
+   wire n_30125;
+   wire n_30126;
+   wire n_30127;
+   wire n_30128;
+   wire n_30129;
+   wire n_30130;
+   wire n_30131;
+   wire n_30132;
+   wire n_30133;
+   wire n_30134;
+   wire n_30135;
+   wire n_30136;
+   wire n_30137;
+   wire n_30138;
+   wire n_30139;
+   wire n_30140;
+   wire n_30141;
+   wire n_30142;
+   wire n_30143;
+   wire n_30144;
+   wire n_30145;
+   wire n_30146;
+   wire n_30147;
+   wire n_30148;
+   wire n_30149;
+   wire n_30150;
+   wire n_30151;
+   wire n_30152;
+   wire n_30153;
+   wire n_30154;
+   wire n_30155;
+   wire n_30156;
+   wire n_30157;
+   wire n_30158;
+   wire n_30159;
+   wire n_30160;
+   wire n_30161;
+   wire n_30162;
+   wire n_30163;
+   wire n_30164;
+   wire n_30165;
+   wire n_30166;
+   wire n_30167;
+   wire n_30168;
+   wire n_30169;
+   wire n_30170;
+   wire n_30171;
+   wire n_30172;
+   wire n_30173;
+   wire n_30174;
+   wire n_30175;
+   wire n_30176;
+   wire n_30177;
+   wire n_30178;
+   wire n_30179;
+   wire n_30180;
+   wire n_30181;
+   wire n_30182;
+   wire n_30183;
+   wire n_30184;
+   wire n_30185;
+   wire n_30186;
+   wire n_30187;
+   wire n_30188;
+   wire n_30189;
+   wire n_30190;
+   wire n_30191;
+   wire n_30192;
+   wire n_30193;
+   wire n_30194;
+   wire n_30195;
+   wire n_30196;
+   wire n_30197;
+   wire n_30198;
+   wire n_30199;
+   wire n_30200;
+   wire n_30201;
+   wire n_30202;
+   wire n_30203;
+   wire n_30204;
+   wire n_30205;
+   wire n_30206;
+   wire n_30207;
+   wire n_30208;
+   wire n_30209;
+   wire n_30210;
+   wire n_30211;
+   wire n_30212;
+   wire n_30213;
+   wire n_30214;
+   wire n_30215;
+   wire n_30216;
+   wire n_30217;
+   wire n_30218;
+   wire n_30219;
+   wire n_30220;
+   wire n_30221;
+   wire n_30222;
+   wire n_30223;
+   wire n_30224;
+   wire n_30225;
+   wire n_30226;
+   wire n_30227;
+   wire n_30228;
+   wire n_30229;
+   wire n_30230;
+   wire n_30231;
+   wire n_30232;
+   wire n_30233;
+   wire n_30234;
+   wire n_30235;
+   wire n_30236;
+   wire n_30237;
+   wire n_30238;
+   wire n_30239;
+   wire n_30240;
+   wire n_30241;
+   wire n_30242;
+   wire n_30243;
+   wire n_30244;
+   wire n_30245;
+   wire n_30246;
+   wire n_30247;
+   wire n_30248;
+   wire n_30249;
+   wire n_30250;
+   wire n_30251;
+   wire n_30252;
+   wire n_30253;
+   wire n_30254;
+   wire n_30255;
+   wire n_30256;
+   wire n_30257;
+   wire n_30258;
+   wire n_30259;
+   wire n_30260;
+   wire n_30261;
+   wire n_30262;
+   wire n_30263;
+   wire n_30264;
+   wire n_30265;
+   wire n_30266;
+   wire n_30267;
+   wire n_30268;
+   wire n_30269;
+   wire n_30270;
+   wire n_30271;
+   wire n_30272;
+   wire n_30273;
+   wire n_30274;
+   wire n_30275;
+   wire n_30276;
+   wire n_30277;
+   wire n_30278;
+   wire n_30279;
+   wire n_30280;
+   wire n_30281;
+   wire n_30282;
+   wire n_30283;
+   wire n_30284;
+   wire n_30285;
+   wire n_30286;
+   wire n_30287;
+   wire n_30288;
+   wire n_30289;
+   wire n_30290;
+   wire n_30291;
+   wire n_30292;
+   wire n_30293;
+   wire n_30294;
+   wire n_30295;
+   wire n_30296;
+   wire n_30297;
+   wire n_30298;
+   wire n_30299;
+   wire n_30300;
+   wire n_30301;
+   wire n_30302;
+   wire n_30303;
+   wire n_30304;
+   wire n_30305;
+   wire n_30306;
+   wire n_30307;
+   wire n_30308;
+   wire n_30309;
+   wire n_30310;
+   wire n_30311;
+   wire n_30312;
+   wire n_30313;
+   wire n_30314;
+   wire n_30315;
+   wire n_30316;
+   wire n_30317;
+   wire n_30318;
+   wire n_30319;
+   wire n_30320;
+   wire n_30321;
+   wire n_30322;
+   wire n_30323;
+   wire n_30324;
+   wire n_30325;
+   wire n_30326;
+   wire n_30327;
+   wire n_30328;
+   wire n_30329;
+   wire n_30330;
+   wire n_30331;
+   wire n_30332;
+   wire n_30333;
+   wire n_30334;
+   wire n_30335;
+   wire n_30336;
+   wire n_30337;
+   wire n_30338;
+   wire n_30339;
+   wire n_30340;
+   wire n_30341;
+   wire n_30342;
+   wire n_30343;
+   wire n_30344;
+   wire n_30345;
+   wire n_30346;
+   wire n_30347;
+   wire n_30348;
+   wire n_30349;
+   wire n_30350;
+   wire n_30351;
+   wire n_30352;
+   wire n_30353;
+   wire n_30354;
+   wire n_30355;
+   wire n_30356;
+   wire n_30357;
+   wire n_30358;
+   wire n_30359;
+   wire n_30360;
+   wire n_30361;
+   wire n_30362;
+   wire n_30363;
+   wire n_30364;
+   wire n_30365;
+   wire n_30366;
+   wire n_30367;
+   wire n_30368;
+   wire n_30369;
+   wire n_30370;
+   wire n_30371;
+   wire n_30372;
+   wire n_30373;
+   wire n_30374;
+   wire n_30375;
+   wire n_30376;
+   wire n_30377;
+   wire n_30378;
+   wire n_30379;
+   wire n_30380;
+   wire n_30381;
+   wire n_30382;
+   wire n_30383;
+   wire n_30384;
+   wire n_30385;
+   wire n_30386;
+   wire n_30387;
+   wire n_30388;
+   wire n_30389;
+   wire n_30390;
+   wire n_30391;
+   wire n_30392;
+   wire n_30393;
+   wire n_30394;
+   wire n_30395;
+   wire n_30396;
+   wire n_30397;
+   wire n_30398;
+   wire n_30399;
+   wire n_30400;
+   wire n_30401;
+   wire n_30402;
+   wire n_30403;
+   wire n_30404;
+   wire n_30405;
+   wire n_30406;
+   wire n_30407;
+   wire n_30408;
+   wire n_30409;
+   wire n_30410;
+   wire n_30411;
+   wire n_30412;
+   wire n_30413;
+   wire n_30414;
+   wire n_30415;
+   wire n_30416;
+   wire n_30417;
+   wire n_30418;
+   wire n_30419;
+   wire n_30420;
+   wire n_30421;
+   wire n_30422;
+   wire n_30423;
+   wire n_30424;
+   wire n_30425;
+   wire n_30426;
+   wire n_30427;
+   wire n_30428;
+   wire n_30429;
+   wire n_30430;
+   wire n_30431;
+   wire n_30432;
+   wire n_30433;
+   wire n_30434;
+   wire n_30435;
+   wire n_30436;
+   wire n_30437;
+   wire n_30438;
+   wire n_30439;
+   wire n_30440;
+   wire n_30441;
+   wire n_30442;
+   wire n_30443;
+   wire n_30444;
+   wire n_30445;
+   wire n_30446;
+   wire n_30447;
+   wire n_30448;
+   wire n_30449;
+   wire n_30450;
+   wire n_30451;
+   wire n_30452;
+   wire n_30453;
+   wire n_30454;
+   wire n_30455;
+   wire n_30456;
+   wire n_30457;
+   wire n_30458;
+   wire n_30460;
+   wire n_30461;
+   wire n_30462;
+   wire n_30463;
+   wire n_30464;
+   wire n_30465;
+   wire n_30466;
+   wire n_30467;
+   wire n_30469;
+   wire n_30470;
+   wire n_30471;
+   wire n_30472;
+   wire n_30473;
+   wire n_30474;
+   wire n_30475;
+   wire n_30476;
+   wire n_30477;
+   wire n_30478;
+   wire n_30479;
+   wire n_30481;
+   wire n_30482;
+   wire n_30483;
+   wire n_30484;
+   wire n_30485;
+   wire n_30486;
+   wire n_30487;
+   wire n_30488;
+   wire n_30489;
+   wire n_30490;
+   wire n_30491;
+   wire n_30492;
+   wire n_30494;
+   wire n_30495;
+   wire n_30496;
+   wire n_30497;
+   wire n_30498;
+   wire n_30499;
+   wire n_30500;
+   wire n_30501;
+   wire n_30502;
+   wire n_30503;
+   wire n_30504;
+   wire n_30505;
+   wire n_30506;
+   wire n_30509;
+   wire n_30510;
+   wire n_30511;
+   wire n_30512;
+   wire n_30513;
+   wire n_30514;
+   wire n_30515;
+   wire n_30516;
+   wire n_30517;
+   wire n_30518;
+   wire n_30519;
+   wire n_30521;
+   wire n_30522;
+   wire n_30523;
+   wire n_30524;
+   wire n_30526;
+   wire n_30527;
+   wire n_30528;
+   wire n_30529;
+   wire n_30530;
+   wire n_30531;
+   wire n_30532;
+   wire n_30533;
+   wire n_30534;
+   wire n_30535;
+   wire n_30536;
+   wire n_30537;
+   wire n_30538;
+   wire n_30539;
+   wire n_30540;
+   wire n_30541;
+   wire n_30542;
+   wire n_30543;
+   wire n_30544;
+   wire n_30545;
+   wire n_30546;
+   wire n_30547;
+   wire n_30548;
+   wire n_30550;
+   wire n_30551;
+   wire n_30552;
+   wire n_30553;
+   wire n_30554;
+   wire n_30555;
+   wire n_30556;
+   wire n_30557;
+   wire n_30558;
+   wire n_30559;
+   wire n_30560;
+   wire n_30561;
+   wire n_30562;
+   wire n_30563;
+   wire n_30564;
+   wire n_30565;
+   wire n_30566;
+   wire n_30567;
+   wire n_30568;
+   wire n_30569;
+   wire n_30570;
+   wire n_30571;
+   wire n_30572;
+   wire n_30573;
+   wire n_30574;
+   wire n_30576;
+   wire n_30577;
+   wire n_30578;
+   wire n_30580;
+   wire n_30581;
+   wire n_30583;
+   wire n_30584;
+   wire n_30585;
+   wire n_30586;
+   wire n_30587;
+   wire n_30588;
+   wire n_30589;
+   wire n_30590;
+   wire n_30591;
+   wire n_30592;
+   wire n_30593;
+   wire n_30594;
+   wire n_30595;
+   wire n_30596;
+   wire n_30597;
+   wire n_30598;
+   wire n_30599;
+   wire n_30600;
+   wire n_30601;
+   wire n_30602;
+   wire n_30603;
+   wire n_30604;
+   wire n_30605;
+   wire n_30606;
+   wire n_30607;
+   wire n_30608;
+   wire n_30609;
+   wire n_30610;
+   wire n_30611;
+   wire n_30612;
+   wire n_30613;
+   wire n_30614;
+   wire n_30615;
+   wire n_30616;
+   wire n_30617;
+   wire n_30618;
+   wire n_30619;
+   wire n_30620;
+   wire n_30621;
+   wire n_30622;
+   wire n_30623;
+   wire n_30624;
+   wire n_30625;
+   wire n_30626;
+   wire n_30627;
+   wire n_30628;
+   wire n_30629;
+   wire n_30630;
+   wire n_30631;
+   wire n_30633;
+   wire n_30634;
+   wire n_30635;
+   wire n_30636;
+   wire n_30637;
+   wire n_30638;
+   wire n_30639;
+   wire n_30640;
+   wire n_30641;
+   wire n_30642;
+   wire n_30643;
+   wire n_30644;
+   wire n_30645;
+   wire n_30646;
+   wire n_30647;
+   wire n_30648;
+   wire n_30649;
+   wire n_30650;
+   wire n_30651;
+   wire n_30652;
+   wire n_30655;
+   wire n_30656;
+   wire n_30657;
+   wire n_30658;
+   wire n_30659;
+   wire n_30660;
+   wire n_30661;
+   wire n_30662;
+   wire n_30663;
+   wire n_30664;
+   wire n_30665;
+   wire n_30666;
+   wire n_30667;
+   wire n_30668;
+   wire n_30669;
+   wire n_30670;
+   wire n_30671;
+   wire n_30672;
+   wire n_30673;
+   wire n_30674;
+   wire n_30675;
+   wire n_30676;
+   wire n_30678;
+   wire n_30679;
+   wire n_30680;
+   wire n_30681;
+   wire n_30682;
+   wire n_30683;
+   wire n_30684;
+   wire n_30685;
+   wire n_30686;
+   wire n_30687;
+   wire n_30688;
+   wire n_30689;
+   wire n_30690;
+   wire n_30691;
+   wire n_30692;
+   wire n_30693;
+   wire n_30694;
+   wire n_30695;
+   wire n_30696;
+   wire n_30697;
+   wire n_30698;
+   wire n_30699;
+   wire n_30700;
+   wire n_30701;
+   wire n_30702;
+   wire n_30703;
+   wire n_30704;
+   wire n_30705;
+   wire n_30706;
+   wire n_30707;
+   wire n_30709;
+   wire n_30710;
+   wire n_30711;
+   wire n_30712;
+   wire n_30713;
+   wire n_30714;
+   wire n_30715;
+   wire n_30717;
+   wire n_30719;
+   wire n_30720;
+   wire n_30721;
+   wire n_30722;
+   wire n_30723;
+   wire n_30726;
+   wire n_30727;
+   wire n_30730;
+   wire n_30731;
+   wire n_30732;
+   wire n_30733;
+   wire n_30734;
+   wire n_30735;
+   wire n_30736;
+   wire n_30737;
+   wire n_30738;
+   wire n_30739;
+   wire n_30740;
+   wire n_30741;
+   wire n_30742;
+   wire n_30743;
+   wire n_30744;
+   wire n_30746;
+   wire n_30747;
+   wire n_30748;
+   wire n_30749;
+   wire n_30750;
+   wire n_30752;
+   wire n_30753;
+   wire n_30754;
+   wire n_30755;
+   wire n_30756;
+   wire n_30757;
+   wire n_30758;
+   wire n_30759;
+   wire n_30760;
+   wire n_30761;
+   wire n_30762;
+   wire n_30763;
+   wire n_30764;
+   wire n_30765;
+   wire n_30766;
+   wire n_30767;
+   wire n_30768;
+   wire n_30769;
+   wire n_30770;
+   wire n_30771;
+   wire n_30772;
+   wire n_30773;
+   wire n_30774;
+   wire n_30775;
+   wire n_30776;
+   wire n_30777;
+   wire n_30778;
+   wire n_30779;
+   wire n_30780;
+   wire n_30781;
+   wire n_30782;
+   wire n_30783;
+   wire n_30784;
+   wire n_30785;
+   wire n_30786;
+   wire n_30787;
+   wire n_30790;
+   wire n_30791;
+   wire n_30792;
+   wire n_30793;
+   wire n_30794;
+   wire n_30795;
+   wire n_30796;
+   wire n_30797;
+   wire n_30798;
+   wire n_30799;
+   wire n_30800;
+   wire n_30801;
+   wire n_30802;
+   wire n_30803;
+   wire n_30804;
+   wire n_30806;
+   wire n_30807;
+   wire n_30808;
+   wire n_30810;
+   wire n_30811;
+   wire n_30813;
+   wire n_30814;
+   wire n_30815;
+   wire n_30816;
+   wire n_30817;
+   wire n_30818;
+   wire n_30820;
+   wire n_30822;
+   wire n_30824;
+   wire n_30825;
+   wire n_30826;
+   wire n_30827;
+   wire n_30828;
+   wire n_30830;
+   wire n_30831;
+   wire n_30832;
+   wire n_30833;
+   wire n_30834;
+   wire n_30835;
+   wire n_30836;
+   wire n_30837;
+   wire n_30838;
+   wire n_30839;
+   wire n_30840;
+   wire n_30842;
+   wire n_30843;
+   wire n_30844;
+   wire n_30846;
+   wire n_30847;
+   wire n_30848;
+   wire n_30849;
+   wire n_30850;
+   wire n_30851;
+   wire n_30852;
+   wire n_30853;
+   wire n_30854;
+   wire n_30855;
+   wire n_30856;
+   wire n_30857;
+   wire n_30858;
+   wire n_30859;
+   wire n_30860;
+   wire n_30861;
+   wire n_30862;
+   wire n_30863;
+   wire n_30864;
+   wire n_30865;
+   wire n_30872;
+   wire n_30873;
+   wire n_30875;
+   wire n_30876;
+   wire n_30877;
+   wire n_30879;
+   wire n_30880;
+   wire n_30881;
+   wire n_30882;
+   wire n_30883;
+   wire n_30884;
+   wire n_30885;
+   wire n_30886;
+   wire n_30887;
+   wire n_30888;
+   wire n_30889;
+   wire n_30890;
+   wire n_30891;
+   wire n_30892;
+   wire n_30893;
+   wire n_30894;
+   wire n_30895;
+   wire n_30896;
+   wire n_30897;
+   wire n_30898;
+   wire n_30899;
+   wire n_30900;
+   wire n_30901;
+   wire n_30902;
+   wire n_30903;
+   wire n_30904;
+   wire n_30905;
+   wire n_30907;
+   wire n_30908;
+   wire n_30909;
+   wire n_30910;
+   wire n_30911;
+   wire n_30912;
+   wire n_30913;
+   wire n_30914;
+   wire n_30915;
+   wire n_30916;
+   wire n_30917;
+   wire n_30918;
+   wire n_30919;
+   wire n_30920;
+   wire n_30921;
+   wire n_30922;
+   wire n_30923;
+   wire n_30924;
+   wire n_30925;
+   wire n_30927;
+   wire n_30928;
+   wire n_30930;
+   wire n_30931;
+   wire n_30936;
+   wire n_30937;
+   wire n_30938;
+   wire n_30939;
+   wire n_30940;
+   wire n_30941;
+   wire n_30942;
+   wire n_30943;
+   wire n_30946;
+   wire n_30947;
+   wire n_30948;
+   wire n_30949;
+   wire n_30952;
+   wire n_30953;
+   wire n_30956;
+   wire n_30957;
+   wire n_30962;
+   wire n_30963;
+   wire n_30968;
+   wire n_30969;
+   wire n_30970;
+   wire n_30971;
+   wire n_30978;
+   wire n_30979;
+   wire n_30980;
+   wire n_30981;
+   wire n_30988;
+   wire n_30989;
+   wire n_30990;
+   wire n_30991;
+   wire n_30994;
+   wire n_30995;
+   wire n_30996;
+   wire n_30997;
+   wire n_30998;
+   wire n_30999;
+   wire n_31000;
+   wire n_31001;
+   wire n_31002;
+   wire n_31003;
+   wire n_31004;
+   wire n_31005;
+   wire n_31006;
+   wire n_31007;
+   wire n_31008;
+   wire n_31009;
+   wire n_31010;
+   wire n_31011;
+   wire n_31012;
+   wire n_31013;
+   wire n_31014;
+   wire n_31015;
+   wire n_31016;
+   wire n_31017;
+   wire n_31018;
+   wire n_31021;
+   wire n_31022;
+   wire n_31027;
+   wire n_31028;
+   wire n_31029;
+   wire n_31032;
+   wire n_31033;
+   wire n_31034;
+   wire n_31035;
+   wire n_31036;
+   wire n_31037;
+   wire n_31038;
+   wire n_31040;
+   wire n_31041;
+   wire n_31042;
+   wire n_31043;
+   wire n_31044;
+   wire n_31046;
+   wire n_31047;
+   wire n_31048;
+   wire n_31049;
+   wire n_31050;
+   wire n_31051;
+   wire n_31052;
+   wire n_31053;
+   wire n_31055;
+   wire n_31056;
+   wire n_31057;
+   wire n_31058;
+   wire n_31059;
+   wire n_31060;
+   wire n_31061;
+   wire n_31063;
+   wire n_31064;
+   wire n_31065;
+   wire n_31066;
+   wire n_31067;
+   wire n_31068;
+   wire n_31069;
+   wire n_31070;
+   wire n_31071;
+   wire n_31072;
+   wire n_31073;
+   wire n_31074;
+   wire n_31075;
+   wire n_31076;
+   wire n_31077;
+   wire n_31078;
+   wire n_31079;
+   wire n_31080;
+   wire n_31081;
+   wire n_31082;
+   wire n_31083;
+   wire n_31084;
+   wire n_31085;
+   wire n_31086;
+   wire n_31087;
+   wire n_31088;
+   wire n_31089;
+   wire n_31090;
+   wire n_31091;
+   wire n_31092;
+   wire n_31093;
+   wire n_31094;
+   wire n_31095;
+   wire n_31096;
+   wire n_31097;
+   wire n_31098;
+   wire n_31099;
+   wire n_31100;
+   wire n_31101;
+   wire n_31102;
+   wire n_31103;
+   wire n_31104;
+   wire n_31105;
+   wire n_31106;
+   wire n_31107;
+   wire n_31108;
+   wire n_31109;
+   wire n_31110;
+   wire n_31111;
+   wire n_31112;
+   wire n_31113;
+   wire n_31114;
+   wire n_31115;
+   wire n_31116;
+   wire n_31117;
+   wire n_31118;
+   wire n_31119;
+   wire n_31120;
+   wire n_31121;
+   wire n_31122;
+   wire n_31123;
+   wire n_31124;
+   wire n_31125;
+   wire n_31126;
+   wire n_31127;
+   wire n_31128;
+   wire n_31129;
+   wire n_31130;
+   wire n_31131;
+   wire n_31132;
+   wire n_31133;
+   wire n_31134;
+   wire n_31135;
+   wire n_31136;
+   wire n_31137;
+   wire n_31138;
+   wire n_31139;
+   wire n_31140;
+   wire n_31141;
+   wire n_31142;
+   wire n_31143;
+   wire n_31144;
+   wire n_31145;
+   wire n_31146;
+   wire n_31147;
+   wire n_31148;
+   wire n_31149;
+   wire n_31150;
+   wire n_31151;
+   wire n_31152;
+   wire n_31153;
+   wire n_31154;
+   wire n_31155;
+   wire n_31156;
+   wire n_31157;
+   wire n_31158;
+   wire n_31159;
+   wire n_31160;
+   wire n_31161;
+   wire n_31162;
+   wire n_31163;
+   wire n_31164;
+   wire n_31165;
+   wire n_31166;
+   wire n_31167;
+   wire n_31168;
+   wire n_31169;
+   wire n_31170;
+   wire n_31171;
+   wire n_31172;
+   wire n_31173;
+   wire n_31174;
+   wire n_31175;
+   wire n_31176;
+   wire n_31177;
+   wire n_31178;
+   wire n_31179;
+   wire n_31180;
+   wire n_31181;
+   wire n_31182;
+   wire n_31183;
+   wire n_31184;
+   wire n_31185;
+   wire n_31186;
+   wire n_31187;
+   wire n_31188;
+   wire n_31189;
+   wire n_31190;
+   wire n_31191;
+   wire n_31192;
+   wire n_31193;
+   wire n_31194;
+   wire n_31195;
+   wire n_31196;
+   wire n_31197;
+   wire n_31198;
+   wire n_31199;
+   wire n_31200;
+   wire n_31201;
+   wire n_31202;
+   wire n_31203;
+   wire n_31204;
+   wire n_31205;
+   wire n_31206;
+   wire n_31207;
+   wire n_31208;
+   wire n_31209;
+   wire n_31210;
+   wire n_31211;
+   wire n_31212;
+   wire n_31213;
+   wire n_31214;
+   wire n_31215;
+   wire n_31216;
+   wire n_31217;
+   wire n_31218;
+   wire n_31219;
+   wire n_31220;
+   wire n_31221;
+   wire n_31222;
+   wire n_31223;
+   wire n_31224;
+   wire n_31225;
+   wire n_31227;
+   wire n_31228;
+   wire n_31229;
+   wire n_31230;
+   wire n_31231;
+   wire n_31232;
+   wire n_31233;
+   wire n_31234;
+   wire n_31235;
+   wire n_31236;
+   wire n_31237;
+   wire n_31238;
+   wire n_31239;
+   wire n_31240;
+   wire n_31241;
+   wire n_31242;
+   wire n_31243;
+   wire n_31244;
+   wire n_31245;
+   wire n_31246;
+   wire n_31247;
+   wire n_31248;
+   wire n_31249;
+   wire n_31250;
+   wire n_31251;
+   wire n_31252;
+   wire n_31253;
+   wire n_31254;
+   wire n_31255;
+   wire n_31256;
+   wire n_31257;
+   wire n_31258;
+   wire n_31259;
+   wire n_31260;
+   wire n_31261;
+   wire n_31262;
+   wire n_31263;
+   wire n_31264;
+   wire n_31265;
+   wire n_31266;
+   wire n_31267;
+   wire n_31268;
+   wire n_31269;
+   wire n_31270;
+   wire n_31271;
+   wire n_31273;
+   wire n_31274;
+   wire n_31275;
+   wire n_31276;
+   wire n_31277;
+   wire n_31278;
+   wire n_31279;
+   wire n_31280;
+   wire n_31281;
+   wire n_31282;
+   wire n_31283;
+   wire n_31284;
+   wire n_31285;
+   wire n_31286;
+   wire n_31287;
+   wire n_31288;
+   wire n_31289;
+   wire n_31290;
+   wire n_31291;
+   wire n_31292;
+   wire n_31295;
+   wire n_31296;
+   wire n_31297;
+   wire n_31298;
+   wire n_31299;
+   wire n_31300;
+   wire n_31301;
+   wire n_31302;
+   wire n_31303;
+   wire n_31304;
+   wire n_31305;
+   wire n_31306;
+   wire n_31309;
+   wire n_31310;
+   wire n_31311;
+   wire n_31312;
+   wire n_31313;
+   wire n_31314;
+   wire n_31315;
+   wire n_31316;
+   wire n_31317;
+   wire n_31318;
+   wire n_31319;
+   wire n_31320;
+   wire n_31321;
+   wire n_31322;
+   wire n_31323;
+   wire n_31324;
+   wire n_31325;
+   wire n_31326;
+   wire n_31327;
+   wire n_31328;
+   wire n_31329;
+   wire n_31330;
+   wire n_31331;
+   wire n_31332;
+   wire n_31333;
+   wire n_31334;
+   wire n_31335;
+   wire n_31336;
+   wire n_31337;
+   wire n_31338;
+   wire n_31339;
+   wire n_31340;
+   wire n_31341;
+   wire n_31342;
+   wire n_31343;
+   wire n_31344;
+   wire n_31345;
+   wire n_31346;
+   wire n_31347;
+   wire n_31348;
+   wire n_31349;
+   wire n_31350;
+   wire n_31351;
+   wire n_31352;
+   wire n_31353;
+   wire n_31354;
+   wire n_31355;
+   wire n_31356;
+   wire n_31357;
+   wire n_31358;
+   wire n_31359;
+   wire n_31360;
+   wire n_31361;
+   wire n_31362;
+   wire n_31363;
+   wire n_31364;
+   wire n_31365;
+   wire n_31366;
+   wire n_31367;
+   wire n_31368;
+   wire n_31369;
+   wire n_31370;
+   wire n_31371;
+   wire n_31372;
+   wire n_31373;
+   wire n_31374;
+   wire n_31375;
+   wire n_31376;
+   wire n_31377;
+   wire n_31378;
+   wire n_31379;
+   wire n_31380;
+   wire n_31381;
+   wire n_31382;
+   wire n_31383;
+   wire n_31384;
+   wire n_31385;
+   wire n_31386;
+   wire n_31387;
+   wire n_31388;
+   wire n_31389;
+   wire n_31390;
+   wire n_31391;
+   wire n_31392;
+   wire n_31393;
+   wire n_31394;
+   wire n_31395;
+   wire n_31396;
+   wire n_31397;
+   wire n_31398;
+   wire n_31399;
+   wire n_31400;
+   wire n_31401;
+   wire n_31402;
+   wire n_31403;
+   wire n_31404;
+   wire n_31405;
+   wire n_31406;
+   wire n_31407;
+   wire n_31408;
+   wire n_31409;
+   wire n_31410;
+   wire n_31411;
+   wire n_31412;
+   wire n_31413;
+   wire n_31414;
+   wire n_31415;
+   wire n_31416;
+   wire n_31419;
+   wire n_31420;
+   wire n_31421;
+   wire n_31422;
+   wire n_31423;
+   wire n_31424;
+   wire n_31425;
+   wire n_31426;
+   wire n_31427;
+   wire n_31428;
+   wire n_31429;
+   wire n_31430;
+   wire n_31431;
+   wire n_31432;
+   wire n_31433;
+   wire n_31434;
+   wire n_31435;
+   wire n_31436;
+   wire n_31437;
+   wire n_31438;
+   wire n_31439;
+   wire n_31440;
+   wire n_31441;
+   wire n_31442;
+   wire n_31443;
+   wire n_31444;
+   wire n_31445;
+   wire n_31446;
+   wire n_31447;
+   wire n_31448;
+   wire n_31449;
+   wire n_31450;
+   wire n_31451;
+   wire n_31452;
+   wire n_31453;
+   wire n_31454;
+   wire n_31455;
+   wire n_31458;
+   wire n_31459;
+   wire n_31460;
+   wire n_31461;
+   wire n_31462;
+   wire n_31463;
+   wire n_31464;
+   wire n_31465;
+   wire n_31466;
+   wire n_31467;
+   wire n_31468;
+   wire n_31469;
+   wire n_31470;
+   wire n_31471;
+   wire n_31472;
+   wire n_31473;
+   wire n_31474;
+   wire n_31475;
+   wire n_31476;
+   wire n_31477;
+   wire n_31478;
+   wire n_31479;
+   wire n_31480;
+   wire n_31481;
+   wire n_31482;
+   wire n_31483;
+   wire n_31484;
+   wire n_31485;
+   wire n_31486;
+   wire n_31487;
+   wire n_31488;
+   wire n_31490;
+   wire n_31491;
+   wire n_31492;
+   wire n_31493;
+   wire n_31494;
+   wire n_31495;
+   wire n_31496;
+   wire n_31497;
+   wire n_31498;
+   wire n_31499;
+   wire n_31500;
+   wire n_31501;
+   wire n_31502;
+   wire n_31503;
+   wire n_31504;
+   wire n_31505;
+   wire n_31506;
+   wire n_31507;
+   wire n_31508;
+   wire n_31509;
+   wire n_31510;
+   wire n_31511;
+   wire n_31512;
+   wire n_31513;
+   wire n_31514;
+   wire n_31515;
+   wire n_31516;
+   wire n_31517;
+   wire n_31518;
+   wire n_31519;
+   wire n_31520;
+   wire n_31521;
+   wire n_31522;
+   wire n_31523;
+   wire n_31524;
+   wire n_31525;
+   wire n_31526;
+   wire n_31527;
+   wire n_31528;
+   wire n_31529;
+   wire n_31530;
+   wire n_31531;
+   wire n_31532;
+   wire n_31533;
+   wire n_31534;
+   wire n_31535;
+   wire n_31536;
+   wire n_31537;
+   wire n_31538;
+   wire n_31539;
+   wire n_31540;
+   wire n_31541;
+   wire n_31542;
+   wire n_31543;
+   wire n_31544;
+   wire n_31545;
+   wire n_31546;
+   wire n_31547;
+   wire n_31549;
+   wire n_31550;
+   wire n_31551;
+   wire n_31552;
+   wire n_31553;
+   wire n_31554;
+   wire n_31555;
+   wire n_31556;
+   wire n_31557;
+   wire n_31558;
+   wire n_31559;
+   wire n_31561;
+   wire n_31562;
+   wire n_31563;
+   wire n_31564;
+   wire n_31565;
+   wire n_31566;
+   wire n_31567;
+   wire n_31568;
+   wire n_31569;
+   wire n_31570;
+   wire n_31571;
+   wire n_31572;
+   wire n_31573;
+   wire n_31574;
+   wire n_31575;
+   wire n_31576;
+   wire n_31577;
+   wire n_31578;
+   wire n_31579;
+   wire n_31580;
+   wire n_31581;
+   wire n_31582;
+   wire n_31583;
+   wire n_31584;
+   wire n_31585;
+   wire n_31586;
+   wire n_31587;
+   wire n_31588;
+   wire n_31589;
+   wire n_31590;
+   wire n_31591;
+   wire n_31592;
+   wire n_31593;
+   wire n_31594;
+   wire n_31595;
+   wire n_31596;
+   wire n_31597;
+   wire n_31598;
+   wire n_31599;
+   wire n_31600;
+   wire n_31601;
+   wire n_31603;
+   wire n_31604;
+   wire n_31605;
+   wire n_31606;
+   wire n_31607;
+   wire n_31608;
+   wire n_31609;
+   wire n_31610;
+   wire n_31612;
+   wire n_31613;
+   wire n_31614;
+   wire n_31615;
+   wire n_31616;
+   wire n_31617;
+   wire n_31618;
+   wire n_31619;
+   wire n_31620;
+   wire n_31621;
+   wire n_31622;
+   wire n_31623;
+   wire n_31624;
+   wire n_31625;
+   wire n_31626;
+   wire n_31627;
+   wire n_31628;
+   wire n_31629;
+   wire n_31630;
+   wire n_31631;
+   wire n_31632;
+   wire n_31633;
+   wire n_31634;
+   wire n_31635;
+   wire n_31636;
+   wire n_31637;
+   wire n_31638;
+   wire n_31639;
+   wire n_31640;
+   wire n_31641;
+   wire n_31642;
+   wire n_31643;
+   wire n_31644;
+   wire n_31645;
+   wire n_31646;
+   wire n_31647;
+   wire n_31648;
+   wire n_31649;
+   wire n_31650;
+   wire n_31652;
+   wire n_31654;
+   wire n_31656;
+   wire n_31657;
+   wire n_31658;
+   wire n_31659;
+   wire n_31660;
+   wire n_31661;
+   wire n_31662;
+   wire n_31663;
+   wire n_31664;
+   wire n_31665;
+   wire n_31666;
+   wire n_31667;
+   wire n_31668;
+   wire n_31669;
+   wire n_31670;
+   wire n_31672;
+   wire n_31674;
+   wire n_31677;
+   wire n_31678;
+   wire n_31679;
+   wire n_31680;
+   wire n_31681;
+   wire n_31682;
+   wire n_31683;
+   wire n_31684;
+   wire n_31685;
+   wire n_31686;
+   wire n_31687;
+   wire n_31688;
+   wire n_31689;
+   wire n_31690;
+   wire n_31691;
+   wire n_31692;
+   wire n_31693;
+   wire n_31694;
+   wire n_31696;
+   wire n_31697;
+   wire n_31698;
+   wire n_31699;
+   wire n_31700;
+   wire n_31701;
+   wire n_31702;
+   wire n_31703;
+   wire n_31704;
+   wire n_31705;
+   wire n_31708;
+   wire n_31710;
+   wire n_31711;
+   wire n_31712;
+   wire n_31714;
+   wire n_31718;
+   wire n_31719;
+   wire n_31720;
+   wire n_31721;
+   wire n_31722;
+   wire n_31723;
+   wire n_31724;
+   wire n_31725;
+   wire n_31726;
+   wire n_31727;
+   wire n_31728;
+   wire n_31729;
+   wire n_31730;
+   wire n_31731;
+   wire n_31732;
+   wire n_31733;
+   wire n_31734;
+   wire n_31735;
+   wire n_31736;
+   wire n_31737;
+   wire n_31738;
+   wire n_31739;
+   wire n_31740;
+   wire n_31741;
+   wire n_31742;
+   wire n_31743;
+   wire n_31744;
+   wire n_31745;
+   wire n_31747;
+   wire n_31748;
+   wire n_31749;
+   wire n_31750;
+   wire n_31751;
+   wire n_31752;
+   wire n_31753;
+   wire n_31754;
+   wire n_31755;
+   wire n_31756;
+   wire n_31757;
+   wire n_31758;
+   wire n_31759;
+   wire n_31760;
+   wire n_31761;
+   wire n_31762;
+   wire n_31763;
+   wire n_31764;
+   wire n_31765;
+   wire n_31766;
+   wire n_31767;
+   wire n_31768;
+   wire n_31769;
+   wire n_31770;
+   wire n_31771;
+   wire n_31772;
+   wire n_31773;
+   wire n_31774;
+   wire n_31775;
+   wire n_31776;
+   wire n_31777;
+   wire n_31778;
+   wire n_31779;
+   wire n_31780;
+   wire n_31781;
+   wire n_31782;
+   wire n_31783;
+   wire n_31784;
+   wire n_31785;
+   wire n_31786;
+   wire n_31787;
+   wire n_31788;
+   wire n_31789;
+   wire n_31790;
+   wire n_31791;
+   wire n_31792;
+   wire n_31793;
+   wire n_31794;
+   wire n_31795;
+   wire n_31796;
+   wire n_31797;
+   wire n_31798;
+   wire n_31799;
+   wire n_31800;
+   wire n_31801;
+   wire n_31802;
+   wire n_31803;
+   wire n_31804;
+   wire n_31805;
+   wire n_31806;
+   wire n_31807;
+   wire n_31808;
+   wire n_31809;
+   wire n_31810;
+   wire n_31811;
+   wire n_31812;
+   wire n_31813;
+   wire n_31814;
+   wire n_31815;
+   wire n_31816;
+   wire n_31817;
+   wire n_31818;
+   wire n_31819;
+   wire n_31820;
+   wire n_31821;
+   wire n_31822;
+   wire n_31823;
+   wire n_31824;
+   wire n_31825;
+   wire n_31826;
+   wire n_31827;
+   wire n_31828;
+   wire n_31829;
+   wire n_31830;
+   wire n_31831;
+   wire n_31832;
+   wire n_31833;
+   wire n_31834;
+   wire n_31835;
+   wire n_31836;
+   wire n_31837;
+   wire n_31838;
+   wire n_31839;
+   wire n_31840;
+   wire n_31841;
+   wire n_31842;
+   wire n_31843;
+   wire n_31844;
+   wire n_31845;
+   wire n_31846;
+   wire n_31847;
+   wire n_31848;
+   wire n_31849;
+   wire n_31850;
+   wire n_31851;
+   wire n_31852;
+   wire n_31853;
+   wire n_31854;
+   wire n_31855;
+   wire n_31856;
+   wire n_31857;
+   wire n_31858;
+   wire n_31859;
+   wire n_31860;
+   wire n_31861;
+   wire n_31862;
+   wire n_31863;
+   wire n_31864;
+   wire n_31865;
+   wire n_31866;
+   wire n_31867;
+   wire n_31868;
+   wire n_31869;
+   wire n_31870;
+   wire n_31871;
+   wire n_31872;
+   wire n_31874;
+   wire n_31875;
+   wire n_31876;
+   wire n_31877;
+   wire n_31878;
+   wire n_31879;
+   wire n_31880;
+   wire n_31881;
+   wire n_31883;
+   wire n_31884;
+   wire n_31885;
+   wire n_31886;
+   wire n_31887;
+   wire n_31888;
+   wire n_31889;
+   wire n_31890;
+   wire n_31891;
+   wire n_31892;
+   wire n_31893;
+   wire n_31894;
+   wire n_31895;
+   wire n_31896;
+   wire n_31897;
+   wire n_31898;
+   wire n_31899;
+   wire n_31900;
+   wire n_31901;
+   wire n_31902;
+   wire n_31903;
+   wire n_31904;
+   wire n_31905;
+   wire n_31906;
+   wire n_31907;
+   wire n_31908;
+   wire n_31909;
+   wire n_31910;
+   wire n_31911;
+   wire n_31912;
+   wire n_31913;
+   wire n_31914;
+   wire n_31915;
+   wire n_31916;
+   wire n_31917;
+   wire n_31918;
+   wire n_31919;
+   wire n_31920;
+   wire n_31921;
+   wire n_31922;
+   wire n_31923;
+   wire n_31924;
+   wire n_31925;
+   wire n_31926;
+   wire n_31927;
+   wire n_31928;
+   wire n_31929;
+   wire n_31930;
+   wire n_31931;
+   wire n_31932;
+   wire n_31933;
+   wire n_31934;
+   wire n_31935;
+   wire n_31936;
+   wire n_31937;
+   wire n_31938;
+   wire n_31939;
+   wire n_31940;
+   wire n_31941;
+   wire n_31942;
+   wire n_31943;
+   wire n_31944;
+   wire n_31945;
+   wire n_31946;
+   wire n_31947;
+   wire n_31948;
+   wire n_31949;
+   wire n_31950;
+   wire n_31951;
+   wire n_31952;
+   wire n_31953;
+   wire n_31954;
+   wire n_31955;
+   wire n_31956;
+   wire n_31957;
+   wire n_31958;
+   wire n_31959;
+   wire n_31960;
+   wire n_31961;
+   wire n_31962;
+   wire n_31963;
+   wire n_31964;
+   wire n_31965;
+   wire n_31966;
+   wire n_31967;
+   wire n_31968;
+   wire n_31969;
+   wire n_31970;
+   wire n_31971;
+   wire n_31972;
+   wire n_31973;
+   wire n_31974;
+   wire n_31975;
+   wire n_31976;
+   wire n_31977;
+   wire n_31978;
+   wire n_31979;
+   wire n_31980;
+   wire n_31981;
+   wire n_31982;
+   wire n_31983;
+   wire n_31984;
+   wire n_31985;
+   wire n_31986;
+   wire n_31987;
+   wire n_31988;
+   wire n_31989;
+   wire n_31990;
+   wire n_31991;
+   wire n_31992;
+   wire n_31993;
+   wire n_31994;
+   wire n_31995;
+   wire n_31996;
+   wire n_31997;
+   wire n_31998;
+   wire n_31999;
+   wire n_32000;
+   wire n_32001;
+   wire n_32002;
+   wire n_32003;
+   wire n_32004;
+   wire n_32005;
+   wire n_32006;
+   wire n_32007;
+   wire n_32008;
+   wire n_32009;
+   wire n_32010;
+   wire n_32011;
+   wire n_32012;
+   wire n_32013;
+   wire n_32014;
+   wire n_32015;
+   wire n_32016;
+   wire n_32017;
+   wire n_32018;
+   wire n_32019;
+   wire n_32020;
+   wire n_32021;
+   wire n_32022;
+   wire n_32023;
+   wire n_32024;
+   wire n_32025;
+   wire n_32026;
+   wire n_32027;
+   wire n_32028;
+   wire n_32029;
+   wire n_32030;
+   wire n_32031;
+   wire n_32032;
+   wire n_32033;
+   wire n_32034;
+   wire n_32035;
+   wire n_32036;
+   wire n_32037;
+   wire n_32038;
+   wire n_32039;
+   wire n_32040;
+   wire n_32041;
+   wire n_32042;
+   wire n_32043;
+   wire n_32044;
+   wire n_32045;
+   wire n_32046;
+   wire n_32047;
+   wire n_32048;
+   wire n_32049;
+   wire n_32050;
+   wire n_32051;
+   wire n_32052;
+   wire n_32053;
+   wire n_32054;
+   wire n_32055;
+   wire n_32056;
+   wire n_32057;
+   wire n_32058;
+   wire n_32059;
+   wire n_32060;
+   wire n_32061;
+   wire n_32062;
+   wire n_32063;
+   wire n_32064;
+   wire n_32065;
+   wire n_32066;
+   wire n_32067;
+   wire n_32068;
+   wire n_32069;
+   wire n_32070;
+   wire n_32071;
+   wire n_32072;
+   wire n_32073;
+   wire n_32074;
+   wire n_32075;
+   wire n_32076;
+   wire n_32093;
+   wire n_32094;
+   wire n_32095;
+   wire n_32096;
+   wire n_32097;
+   wire n_32098;
+   wire n_32099;
+   wire n_32100;
+   wire n_32101;
+   wire n_32102;
+   wire n_32103;
+   wire n_32104;
+   wire n_32105;
+   wire n_32106;
+   wire n_32107;
+   wire n_32108;
+   wire n_32109;
+   wire n_32110;
+   wire n_32111;
+   wire n_32112;
+   wire n_32113;
+   wire n_32114;
+   wire n_32115;
+   wire n_32116;
+   wire n_32117;
+   wire n_32118;
+   wire n_32119;
+   wire n_32120;
+   wire n_32121;
+   wire n_32122;
+   wire n_32123;
+   wire n_32124;
+   wire n_32125;
+   wire n_32126;
+   wire n_32127;
+   wire n_32128;
+   wire n_32129;
+   wire n_32130;
+   wire n_32131;
+   wire n_32132;
+   wire n_32133;
+   wire n_32134;
+   wire n_32135;
+   wire n_32136;
+   wire n_32137;
+   wire n_32138;
+   wire n_32139;
+   wire n_32140;
+   wire n_32141;
+   wire n_32142;
+   wire n_32143;
+   wire n_32144;
+   wire n_32145;
+   wire n_32146;
+   wire n_32147;
+   wire n_32148;
+   wire n_32149;
+   wire n_32150;
+   wire n_32151;
+   wire n_32152;
+   wire n_32153;
+   wire n_32154;
+   wire n_32157;
+   wire n_32158;
+   wire n_32159;
+   wire n_32160;
+   wire n_32161;
+   wire n_32162;
+   wire n_32163;
+   wire n_32164;
+   wire n_32165;
+   wire n_32166;
+   wire n_32167;
+   wire n_32168;
+   wire n_32170;
+   wire n_32171;
+   wire n_32173;
+   wire n_32174;
+   wire n_32175;
+   wire n_32176;
+   wire n_32177;
+   wire n_32178;
+   wire n_32179;
+   wire n_32181;
+   wire n_32182;
+   wire n_32183;
+   wire n_32184;
+   wire n_32185;
+   wire n_32186;
+   wire n_32187;
+   wire n_32188;
+   wire n_32189;
+   wire n_32190;
+   wire n_32191;
+   wire n_32192;
+   wire n_32193;
+   wire n_32200;
+   wire n_32201;
+   wire n_32202;
+   wire n_32203;
+   wire n_32204;
+   wire n_32205;
+   wire n_32206;
+   wire n_32207;
+   wire n_32209;
+   wire n_32210;
+   wire n_32211;
+   wire n_32212;
+   wire n_32213;
+   wire n_32214;
+   wire n_32215;
+   wire n_32216;
+   wire n_32217;
+   wire n_32218;
+   wire n_32219;
+   wire n_32220;
+   wire n_32221;
+   wire n_32222;
+   wire n_32223;
+   wire n_32224;
+   wire n_32225;
+   wire n_32226;
+   wire n_32227;
+   wire n_32228;
+   wire n_32229;
+   wire n_32230;
+   wire n_32231;
+   wire n_32232;
+   wire n_32233;
+   wire n_32234;
+   wire n_32235;
+   wire n_32236;
+   wire n_32237;
+   wire n_32238;
+   wire n_32241;
+   wire n_32242;
+   wire n_32245;
+   wire n_32246;
+   wire n_32247;
+   wire n_32248;
+   wire n_32249;
+   wire n_32250;
+   wire n_32251;
+   wire n_32252;
+   wire n_32253;
+   wire n_32254;
+   wire n_32255;
+   wire n_32256;
+   wire n_32257;
+   wire n_32258;
+   wire n_32259;
+   wire n_32260;
+   wire n_32262;
+   wire n_32263;
+   wire n_32264;
+   wire n_32265;
+   wire n_32266;
+   wire n_32267;
+   wire n_32268;
+   wire n_32269;
+   wire n_32270;
+   wire n_32271;
+   wire n_32272;
+   wire n_32273;
+   wire n_32274;
+   wire n_32275;
+   wire n_32276;
+   wire n_32277;
+   wire n_32278;
+   wire n_32279;
+   wire n_32280;
+   wire n_32281;
+   wire n_32284;
+   wire n_32285;
+   wire n_32286;
+   wire n_32288;
+   wire n_32289;
+   wire n_32291;
+   wire n_32292;
+   wire n_32293;
+   wire n_32294;
+   wire n_32295;
+   wire n_32296;
+   wire n_32297;
+   wire n_32298;
+   wire n_32299;
+   wire n_32300;
+   wire n_32301;
+   wire n_32302;
+   wire n_32303;
+   wire n_32304;
+   wire n_32305;
+   wire n_32306;
+   wire n_32307;
+   wire n_32308;
+   wire n_32309;
+   wire n_32310;
+   wire n_32311;
+   wire n_32312;
+   wire n_32313;
+   wire n_32314;
+   wire n_32315;
+   wire n_32317;
+   wire n_32319;
+   wire n_32322;
+   wire n_32323;
+   wire n_32324;
+   wire n_32325;
+   wire n_32326;
+   wire n_32327;
+   wire n_32328;
+   wire n_32329;
+   wire n_32330;
+   wire n_32332;
+   wire n_32333;
+   wire n_32334;
+   wire n_32335;
+   wire n_32336;
+   wire n_32337;
+   wire n_32338;
+   wire n_32339;
+   wire n_32340;
+   wire n_32341;
+   wire n_32342;
+   wire n_32343;
+   wire n_32344;
+   wire n_32345;
+   wire n_32346;
+   wire n_32347;
+   wire n_32348;
+   wire n_32349;
+   wire n_32350;
+   wire n_32351;
+   wire n_32352;
+   wire n_32353;
+   wire n_32354;
+   wire n_32355;
+   wire n_32356;
+   wire n_32357;
+   wire n_32358;
+   wire n_32359;
+   wire n_32360;
+   wire n_32361;
+   wire n_32362;
+   wire n_32363;
+   wire n_32364;
+   wire n_32365;
+   wire n_32366;
+   wire n_32367;
+   wire n_32368;
+   wire n_32369;
+   wire n_32370;
+   wire n_32371;
+   wire n_32372;
+   wire n_32373;
+   wire n_32374;
+   wire n_32375;
+   wire n_32376;
+   wire n_32377;
+   wire n_32378;
+   wire n_32379;
+   wire n_32380;
+   wire n_32381;
+   wire n_32382;
+   wire n_32383;
+   wire n_32385;
+   wire n_32386;
+   wire n_32387;
+   wire n_32388;
+   wire n_32389;
+   wire n_32391;
+   wire n_32392;
+   wire n_32393;
+   wire n_32394;
+   wire n_32395;
+   wire n_32396;
+   wire n_32397;
+   wire n_32398;
+   wire n_32399;
+   wire n_32400;
+   wire n_32401;
+   wire n_32402;
+   wire n_32403;
+   wire n_32404;
+   wire n_32405;
+   wire n_32406;
+   wire n_32407;
+   wire n_32408;
+   wire n_32409;
+   wire n_32410;
+   wire n_32411;
+   wire n_32412;
+   wire n_32413;
+   wire n_32414;
+   wire n_32416;
+   wire n_32417;
+   wire n_32419;
+   wire n_32420;
+   wire n_32421;
+   wire n_32422;
+   wire n_32423;
+   wire n_32424;
+   wire n_32425;
+   wire n_32426;
+   wire n_32427;
+   wire n_32428;
+   wire n_32429;
+   wire n_32431;
+   wire n_32433;
+   wire n_32434;
+   wire n_32435;
+   wire n_32436;
+   wire n_32437;
+   wire n_32438;
+   wire n_32439;
+   wire n_32440;
+   wire n_32441;
+   wire n_32442;
+   wire n_32443;
+   wire n_32444;
+   wire n_32445;
+   wire n_32446;
+   wire n_32447;
+   wire n_32448;
+   wire n_32449;
+   wire n_32450;
+   wire n_32451;
+   wire n_32452;
+   wire n_32453;
+   wire n_32454;
+   wire n_32455;
+   wire n_32456;
+   wire n_32457;
+   wire n_32458;
+   wire n_32459;
+   wire n_32460;
+   wire n_32461;
+   wire n_32462;
+   wire n_32465;
+   wire n_32466;
+   wire n_32467;
+   wire n_32468;
+   wire n_32469;
+   wire n_32470;
+   wire n_32471;
+   wire n_32472;
+   wire n_32473;
+   wire n_32474;
+   wire n_32475;
+   wire n_32476;
+   wire n_32477;
+   wire n_32478;
+   wire n_32479;
+   wire n_32480;
+   wire n_32481;
+   wire n_32482;
+   wire n_32483;
+   wire n_32484;
+   wire n_32485;
+   wire n_32486;
+   wire n_32487;
+   wire n_32488;
+   wire n_32489;
+   wire n_32490;
+   wire n_32491;
+   wire n_32492;
+   wire n_32493;
+   wire n_32494;
+   wire n_32495;
+   wire n_32496;
+   wire n_32497;
+   wire n_32498;
+   wire n_32499;
+   wire n_32500;
+   wire n_32501;
+   wire n_32502;
+   wire n_32503;
+   wire n_32509;
+   wire n_32510;
+   wire n_32511;
+   wire n_32513;
+   wire n_32514;
+   wire n_32515;
+   wire n_32516;
+   wire n_32517;
+   wire n_32518;
+   wire n_32519;
+   wire n_32521;
+   wire n_32524;
+   wire n_32525;
+   wire n_32526;
+   wire n_32528;
+   wire n_32529;
+   wire n_32536;
+   wire n_32537;
+   wire n_32538;
+   wire n_32539;
+   wire n_32540;
+   wire n_32541;
+   wire n_32542;
+   wire n_32543;
+   wire n_32544;
+   wire n_32545;
+   wire n_32546;
+   wire n_32547;
+   wire n_32548;
+   wire n_32549;
+   wire n_32550;
+   wire n_32551;
+   wire n_32552;
+   wire n_32554;
+   wire n_32555;
+   wire n_32556;
+   wire n_32557;
+   wire n_32558;
+   wire n_32559;
+   wire n_32562;
+   wire n_32564;
+   wire n_32565;
+   wire n_32566;
+   wire n_32567;
+   wire n_32568;
+   wire n_32569;
+   wire n_32570;
+   wire n_32571;
+   wire n_32572;
+   wire n_32573;
+   wire n_32574;
+   wire n_32575;
+   wire n_32576;
+   wire n_32577;
+   wire n_32578;
+   wire n_32579;
+   wire n_32580;
+   wire n_32581;
+   wire n_32582;
+   wire n_32585;
+   wire n_32586;
+   wire n_32587;
+   wire n_32588;
+   wire n_32589;
+   wire n_32590;
+   wire n_32591;
+   wire n_32592;
+   wire n_32593;
+   wire n_32594;
+   wire n_32595;
+   wire n_32596;
+   wire n_32602;
+   wire n_32603;
+   wire n_32604;
+   wire n_32605;
+   wire n_32606;
+   wire n_32607;
+   wire n_32609;
+   wire n_32610;
+   wire n_32611;
+   wire n_32612;
+   wire n_32613;
+   wire n_32614;
+   wire n_32615;
+   wire n_32618;
+   wire n_32619;
+   wire n_32620;
+   wire n_32621;
+   wire n_32622;
+   wire n_32623;
+   wire n_32627;
+   wire n_32628;
+   wire n_32630;
+   wire n_32631;
+   wire n_32632;
+   wire n_32633;
+   wire n_32634;
+   wire n_32635;
+   wire n_32636;
+   wire n_32637;
+   wire n_32638;
+   wire n_32639;
+   wire n_32640;
+   wire n_32641;
+   wire n_32642;
+   wire n_32643;
+   wire n_32644;
+   wire n_32645;
+   wire n_32646;
+   wire n_32647;
+   wire n_32649;
+   wire n_32650;
+   wire n_32651;
+   wire n_32652;
+   wire n_32653;
+   wire n_32654;
+   wire n_32655;
+   wire n_32656;
+   wire n_32657;
+   wire n_32658;
+   wire n_32659;
+   wire n_32660;
+   wire n_32661;
+   wire n_32662;
+   wire n_32663;
+   wire n_32664;
+   wire n_32665;
+   wire n_32666;
+   wire n_32667;
+   wire n_32668;
+   wire n_32669;
+   wire n_32670;
+   wire n_32671;
+   wire n_32672;
+   wire n_32673;
+   wire n_32674;
+   wire n_32675;
+   wire n_32676;
+   wire n_32678;
+   wire n_32679;
+   wire n_32680;
+   wire n_32681;
+   wire n_32682;
+   wire n_32683;
+   wire n_32684;
+   wire n_32685;
+   wire n_32686;
+   wire n_32687;
+   wire n_32688;
+   wire n_32692;
+   wire n_32696;
+   wire n_32697;
+   wire n_32698;
+   wire n_32699;
+   wire n_32700;
+   wire n_32701;
+   wire n_32702;
+   wire n_32703;
+   wire n_32704;
+   wire n_32705;
+   wire n_32706;
+   wire n_32707;
+   wire n_32708;
+   wire n_32709;
+   wire n_32710;
+   wire n_32711;
+   wire n_32712;
+   wire n_32713;
+   wire n_32714;
+   wire n_32715;
+   wire n_32716;
+   wire n_32717;
+   wire n_32718;
+   wire n_32719;
+   wire n_32720;
+   wire n_32721;
+   wire n_32722;
+   wire n_32723;
+   wire n_32724;
+   wire n_32725;
+   wire n_32726;
+   wire n_32727;
+   wire n_32728;
+   wire n_32729;
+   wire n_32730;
+   wire n_32731;
+   wire n_32732;
+   wire n_32733;
+   wire n_32734;
+   wire n_32735;
+   wire n_32736;
+   wire n_32737;
+   wire n_32738;
+   wire n_32740;
+   wire n_32741;
+   wire n_32742;
+   wire n_32743;
+   wire n_32744;
+   wire n_32745;
+   wire n_32746;
+   wire n_32747;
+   wire n_32748;
+   wire n_32749;
+   wire n_32750;
+   wire n_32751;
+   wire n_32752;
+   wire n_32753;
+   wire n_32754;
+   wire n_32755;
+   wire n_32756;
+   wire n_32757;
+   wire n_32758;
+   wire n_32759;
+   wire n_32760;
+   wire n_32761;
+   wire n_32762;
+   wire n_32763;
+   wire n_32764;
+   wire n_32765;
+   wire n_32766;
+   wire n_32767;
+   wire n_32768;
+   wire n_32769;
+   wire n_32770;
+   wire n_32771;
+   wire n_32772;
+   wire n_32773;
+   wire n_32774;
+   wire n_32775;
+   wire n_32776;
+   wire n_32777;
+   wire n_32778;
+   wire n_32779;
+   wire n_32780;
+   wire n_32781;
+   wire n_32782;
+   wire n_32783;
+   wire n_32784;
+   wire n_32785;
+   wire n_32789;
+   wire n_32790;
+   wire n_32791;
+   wire n_32792;
+   wire n_32806;
+   wire n_32808;
+   wire n_32810;
+   wire n_32811;
+   wire n_32812;
+   wire n_32813;
+   wire n_32814;
+   wire n_32815;
+   wire n_32816;
+   wire n_32817;
+   wire n_32818;
+   wire n_32819;
+   wire n_32820;
+   wire n_32821;
+   wire n_32822;
+   wire n_32823;
+   wire n_32824;
+   wire n_32825;
+   wire n_32826;
+   wire n_32827;
+   wire n_32828;
+   wire n_32829;
+   wire n_32830;
+   wire n_32831;
+   wire n_32832;
+   wire n_32833;
+   wire n_32834;
+   wire n_32835;
+   wire n_32836;
+   wire n_32837;
+   wire n_32838;
+   wire n_32839;
+   wire n_32840;
+   wire n_32841;
+   wire n_32842;
+   wire n_32843;
+   wire n_32844;
+   wire n_32845;
+   wire n_32846;
+   wire n_32847;
+   wire n_32848;
+   wire n_32850;
+   wire n_32851;
+   wire n_32852;
+   wire n_32853;
+   wire n_32854;
+   wire n_32855;
+   wire n_32856;
+   wire n_32857;
+   wire n_32858;
+   wire n_32859;
+   wire n_32860;
+   wire n_32861;
+   wire n_32862;
+   wire n_32863;
+   wire n_32864;
+   wire n_32865;
+   wire n_32868;
+   wire n_32869;
+   wire n_32870;
+   wire n_32871;
+   wire n_32872;
+   wire n_32873;
+   wire n_32874;
+   wire n_32875;
+   wire n_32876;
+   wire n_32877;
+   wire n_32878;
+   wire n_32879;
+   wire n_32880;
+   wire n_32881;
+   wire n_32882;
+   wire n_32883;
+   wire n_32884;
+   wire n_32885;
+   wire n_32886;
+   wire n_32887;
+   wire n_32888;
+   wire n_32889;
+   wire n_32890;
+   wire n_32891;
+   wire n_32892;
+   wire n_32893;
+   wire n_32894;
+   wire n_32895;
+   wire n_32896;
+   wire n_32897;
+   wire n_32899;
+   wire n_32900;
+   wire n_32901;
+   wire n_32902;
+   wire n_32903;
+   wire n_32904;
+   wire n_32905;
+   wire n_32906;
+   wire n_32907;
+   wire n_32908;
+   wire n_32909;
+   wire n_32910;
+   wire n_32911;
+   wire n_32912;
+   wire n_32913;
+   wire n_32914;
+   wire n_32915;
+   wire n_32916;
+   wire n_32917;
+   wire n_32918;
+   wire n_32919;
+   wire n_32920;
+   wire n_32921;
+   wire n_32922;
+   wire n_32923;
+   wire n_32924;
+   wire n_32925;
+   wire n_32926;
+   wire n_32927;
+   wire n_32928;
+   wire n_32929;
+   wire n_32930;
+   wire n_32931;
+   wire n_32932;
+   wire n_32933;
+   wire n_32934;
+   wire n_32935;
+   wire n_32936;
+   wire n_32937;
+   wire n_32938;
+   wire n_32939;
+   wire n_32940;
+   wire n_32941;
+   wire n_32942;
+   wire n_32943;
+   wire n_32944;
+   wire n_32945;
+   wire n_32946;
+   wire n_32947;
+   wire n_32948;
+   wire n_32949;
+   wire n_32950;
+   wire n_32951;
+   wire n_32952;
+   wire n_32953;
+   wire n_32954;
+   wire n_32955;
+   wire n_32959;
+   wire n_32961;
+   wire n_32967;
+   wire n_32969;
+   wire n_32970;
+   wire n_32971;
+   wire n_32972;
+   wire n_32973;
+   wire n_32974;
+   wire n_32975;
+   wire n_32976;
+   wire n_32977;
+   wire n_32978;
+   wire n_32979;
+   wire n_32980;
+   wire n_32981;
+   wire n_32982;
+   wire n_32983;
+   wire n_32984;
+   wire n_32985;
+   wire n_32986;
+   wire n_32987;
+   wire n_32988;
+   wire n_32989;
+   wire n_32990;
+   wire n_32991;
+   wire n_32992;
+   wire n_32993;
+   wire n_32994;
+   wire n_32995;
+   wire n_32996;
+   wire n_32997;
+   wire n_32998;
+   wire n_32999;
+   wire n_33000;
+   wire n_33001;
+   wire n_33002;
+   wire n_33003;
+   wire n_33004;
+   wire n_33005;
+   wire n_33006;
+   wire n_33007;
+   wire n_33008;
+   wire n_33009;
+   wire n_33010;
+   wire n_33011;
+   wire n_33012;
+   wire n_33013;
+   wire n_33014;
+   wire n_33015;
+   wire n_33016;
+   wire n_33017;
+   wire n_33018;
+   wire n_33019;
+   wire n_33020;
+   wire n_33021;
+   wire n_33022;
+   wire n_33023;
+   wire n_33024;
+   wire n_33025;
+   wire n_33026;
+   wire n_33027;
+   wire n_33028;
+   wire n_33029;
+   wire n_33030;
+   wire n_33031;
+   wire n_33032;
+   wire n_33033;
+   wire n_33034;
+   wire n_33035;
+   wire n_33036;
+   wire n_33037;
+   wire n_33038;
+   wire n_33039;
+   wire n_33040;
+   wire n_33041;
+   wire n_33042;
+   wire n_33043;
+   wire n_33044;
+   wire n_33045;
+   wire n_33046;
+   wire n_33047;
+   wire n_33048;
+   wire n_33049;
+   wire n_33050;
+   wire n_33051;
+   wire n_33052;
+   wire n_33053;
+   wire n_33054;
+   wire n_33055;
+   wire n_33056;
+   wire n_33057;
+   wire n_33058;
+   wire n_33059;
+   wire n_33060;
+   wire n_33061;
+   wire n_33062;
+   wire n_33063;
+   wire n_33064;
+   wire n_33065;
+   wire n_33066;
+   wire n_33067;
+   wire n_33068;
+   wire n_33069;
+   wire n_33070;
+   wire n_33071;
+   wire n_33072;
+   wire n_33073;
+   wire n_33074;
+   wire n_33075;
+   wire n_33076;
+   wire n_33077;
+   wire n_33078;
+   wire n_33079;
+   wire n_33080;
+   wire n_33081;
+   wire n_33082;
+   wire n_33083;
+   wire n_33084;
+   wire n_33085;
+   wire n_33086;
+   wire n_33087;
+   wire n_33088;
+   wire n_33089;
+   wire n_33090;
+   wire n_33091;
+   wire n_33092;
+   wire n_33093;
+   wire n_33094;
+   wire n_33095;
+   wire n_33096;
+   wire n_33097;
+   wire n_33098;
+   wire n_33099;
+   wire n_33100;
+   wire n_33101;
+   wire n_33102;
+   wire n_33103;
+   wire n_33104;
+   wire n_33105;
+   wire n_33106;
+   wire n_33107;
+   wire n_33108;
+   wire n_33109;
+   wire n_33110;
+   wire n_33111;
+   wire n_33112;
+   wire n_33113;
+   wire n_33114;
+   wire n_33115;
+   wire n_33116;
+   wire n_33117;
+   wire n_33118;
+   wire n_33119;
+   wire n_33120;
+   wire n_33121;
+   wire n_33122;
+   wire n_33123;
+   wire n_33124;
+   wire n_33125;
+   wire n_33126;
+   wire n_33127;
+   wire n_33128;
+   wire n_33129;
+   wire n_33130;
+   wire n_33131;
+   wire n_33132;
+   wire n_33133;
+   wire n_33134;
+   wire n_33135;
+   wire n_33136;
+   wire n_33137;
+   wire n_33138;
+   wire n_33139;
+   wire n_33140;
+   wire n_33141;
+   wire n_33142;
+   wire n_33143;
+   wire n_33144;
+   wire n_33145;
+   wire n_33146;
+   wire n_33147;
+   wire n_33148;
+   wire n_33149;
+   wire n_33150;
+   wire n_33151;
+   wire n_33152;
+   wire n_33153;
+   wire n_33154;
+   wire n_33155;
+   wire n_33156;
+   wire n_33157;
+   wire n_33158;
+   wire n_33159;
+   wire n_33160;
+   wire n_33161;
+   wire n_33162;
+   wire n_33163;
+   wire n_33164;
+   wire n_33165;
+   wire n_33166;
+   wire n_33167;
+   wire n_33168;
+   wire n_33169;
+   wire n_33170;
+   wire n_33171;
+   wire n_33172;
+   wire n_33173;
+   wire n_33174;
+   wire n_33175;
+   wire n_33176;
+   wire n_33177;
+   wire n_33178;
+   wire n_33179;
+   wire n_33180;
+   wire n_33181;
+   wire n_33182;
+   wire n_33183;
+   wire n_33184;
+   wire n_33185;
+   wire n_33186;
+   wire n_33187;
+   wire n_33188;
+   wire n_33189;
+   wire n_33190;
+   wire n_33191;
+   wire n_33192;
+   wire n_33193;
+   wire n_33194;
+   wire n_33195;
+   wire n_33196;
+   wire n_33197;
+   wire n_33198;
+   wire n_33199;
+   wire n_33200;
+   wire n_33201;
+   wire n_33202;
+   wire n_33203;
+   wire n_33204;
+   wire n_33205;
+   wire n_33206;
+   wire n_33207;
+   wire n_33208;
+   wire n_33209;
+   wire n_33210;
+   wire n_33211;
+   wire n_33212;
+   wire n_33213;
+   wire n_33214;
+   wire n_33215;
+   wire n_33216;
+   wire n_33217;
+   wire n_33218;
+   wire n_33219;
+   wire n_33220;
+   wire n_33221;
+   wire n_33222;
+   wire n_33223;
+   wire n_33224;
+   wire n_33225;
+   wire n_33226;
+   wire n_33227;
+   wire n_33228;
+   wire n_33229;
+   wire n_33230;
+   wire n_33231;
+   wire n_33232;
+   wire n_33233;
+   wire n_33234;
+   wire n_33235;
+   wire n_33236;
+   wire n_33237;
+   wire n_33238;
+   wire n_33239;
+   wire n_33240;
+   wire n_33241;
+   wire n_33242;
+   wire n_33243;
+   wire n_33244;
+   wire n_33245;
+   wire n_33246;
+   wire n_33247;
+   wire n_33248;
+   wire n_33249;
+   wire n_33250;
+   wire n_33251;
+   wire n_33252;
+   wire n_33253;
+   wire n_33254;
+   wire n_33255;
+   wire n_33256;
+   wire n_33257;
+   wire n_33258;
+   wire n_33259;
+   wire n_33260;
+   wire n_33261;
+   wire n_33262;
+   wire n_33263;
+   wire n_33264;
+   wire n_33265;
+   wire n_33266;
+   wire n_33267;
+   wire n_33268;
+   wire n_33269;
+   wire n_33270;
+   wire n_33271;
+   wire n_33272;
+   wire n_33273;
+   wire n_33274;
+   wire n_33275;
+   wire n_33276;
+   wire n_33277;
+   wire n_33278;
+   wire n_33279;
+   wire n_33280;
+   wire n_33281;
+   wire n_33282;
+   wire n_33283;
+   wire n_33284;
+   wire n_33285;
+   wire n_33286;
+   wire n_33287;
+   wire n_33288;
+   wire n_33289;
+   wire n_33290;
+   wire n_33291;
+   wire n_33292;
+   wire n_33293;
+   wire n_33294;
+   wire n_33295;
+   wire n_33296;
+   wire n_33297;
+   wire n_33298;
+   wire n_33299;
+   wire n_33300;
+   wire n_33301;
+   wire n_33302;
+   wire n_33303;
+   wire n_33304;
+   wire n_33305;
+   wire n_33306;
+   wire n_33307;
+   wire n_33308;
+   wire n_33309;
+   wire n_33310;
+   wire n_33311;
+   wire n_33312;
+   wire n_33313;
+   wire n_33314;
+   wire n_33315;
+   wire n_33316;
+   wire n_33317;
+   wire n_33318;
+   wire n_33319;
+   wire n_33320;
+   wire n_33321;
+   wire n_33322;
+   wire n_33323;
+   wire n_33324;
+   wire n_33325;
+   wire n_33326;
+   wire n_33327;
+   wire n_33328;
+   wire n_33329;
+   wire n_33330;
+   wire n_33331;
+   wire n_33332;
+   wire n_33333;
+   wire n_33334;
+   wire n_33335;
+   wire n_33336;
+   wire n_33337;
+   wire n_33338;
+   wire n_33339;
+   wire n_33340;
+   wire n_33341;
+   wire n_33342;
+   wire n_33343;
+   wire n_33344;
+   wire n_33345;
+   wire n_33346;
+   wire n_33347;
+   wire n_33348;
+   wire n_33349;
+   wire n_33350;
+   wire n_33351;
+   wire n_33352;
+   wire n_33353;
+   wire n_33354;
+   wire n_33355;
+   wire n_33356;
+   wire n_33357;
+   wire n_33358;
+   wire n_33359;
+   wire n_33360;
+   wire n_33361;
+   wire n_33362;
+   wire n_33363;
+   wire n_33364;
+   wire n_33365;
+   wire n_33366;
+   wire n_33367;
+   wire n_33369;
+   wire n_33370;
+   wire n_33372;
+   wire n_33373;
+   wire n_33374;
+   wire n_33376;
+   wire n_33377;
+   wire n_33378;
+   wire n_33379;
+   wire n_33380;
+   wire n_33381;
+   wire n_33382;
+   wire n_33383;
+   wire n_33384;
+   wire n_33385;
+   wire n_33386;
+   wire n_33387;
+   wire n_33388;
+   wire n_33389;
+   wire n_33390;
+   wire n_33391;
+   wire n_33392;
+   wire n_33393;
+   wire n_33394;
+   wire n_33395;
+   wire n_33396;
+   wire n_33397;
+   wire n_33398;
+   wire n_33399;
+   wire n_33400;
+   wire n_33401;
+   wire n_33402;
+   wire n_33403;
+   wire n_33404;
+   wire n_33405;
+   wire n_33406;
+   wire n_33407;
+   wire n_33408;
+   wire n_33409;
+   wire n_33410;
+   wire n_33411;
+   wire n_33412;
+   wire n_33413;
+   wire n_33414;
+   wire n_33415;
+   wire n_33416;
+   wire n_33417;
+   wire n_33418;
+   wire n_33419;
+   wire n_33420;
+   wire n_33421;
+   wire n_33422;
+   wire n_33423;
+   wire n_33424;
+   wire n_33425;
+   wire n_33426;
+   wire n_33427;
+   wire n_33428;
+   wire n_33429;
+   wire n_33430;
+   wire n_33431;
+   wire n_33432;
+   wire n_33433;
+   wire n_33434;
+   wire n_33435;
+   wire n_33436;
+   wire n_33437;
+   wire n_33438;
+   wire n_33439;
+   wire n_33440;
+   wire n_33441;
+   wire n_33442;
+   wire n_33443;
+   wire n_33444;
+   wire n_33445;
+   wire n_33446;
+   wire n_33447;
+   wire n_33448;
+   wire n_33449;
+   wire n_33450;
+   wire n_33451;
+   wire n_33452;
+   wire n_33453;
+   wire n_33454;
+   wire n_33455;
+   wire n_33456;
+   wire n_33457;
+   wire n_33458;
+   wire n_33459;
+   wire n_33460;
+   wire n_33461;
+   wire n_33462;
+   wire n_33463;
+   wire n_33464;
+   wire n_33465;
+   wire n_33466;
+   wire n_33467;
+   wire n_33468;
+   wire n_33469;
+   wire n_33470;
+   wire n_33471;
+   wire n_33472;
+   wire n_33473;
+   wire n_33474;
+   wire n_33476;
+   wire n_33477;
+   wire n_33478;
+   wire n_33479;
+   wire n_33480;
+   wire n_33481;
+   wire n_33482;
+   wire n_33483;
+   wire n_33484;
+   wire n_33485;
+   wire n_33486;
+   wire n_33487;
+   wire n_33488;
+   wire n_33489;
+   wire n_33490;
+   wire n_33491;
+   wire n_33492;
+   wire n_33493;
+   wire n_33494;
+   wire n_33495;
+   wire n_33518;
+   wire n_33521;
+   wire n_33522;
+   wire n_33523;
+   wire n_33524;
+   wire n_33525;
+   wire n_33526;
+   wire n_33527;
+   wire n_33528;
+   wire n_33529;
+   wire n_33530;
+   wire n_33531;
+   wire n_33532;
+   wire n_33533;
+   wire n_33534;
+   wire n_33535;
+   wire n_33536;
+   wire n_33537;
+   wire n_33538;
+   wire n_33539;
+   wire n_33540;
+   wire n_33541;
+   wire n_33542;
+   wire n_33543;
+   wire n_33544;
+   wire n_33545;
+   wire n_33546;
+   wire n_33547;
+   wire n_33548;
+   wire n_33549;
+   wire n_33550;
+   wire n_33551;
+   wire n_33552;
+   wire n_33553;
+   wire n_33554;
+   wire n_33555;
+   wire n_33556;
+   wire n_33557;
+   wire n_33558;
+   wire n_33559;
+   wire n_33560;
+   wire n_33561;
+   wire n_33562;
+   wire n_33563;
+   wire n_33564;
+   wire n_33565;
+   wire n_33566;
+   wire n_33567;
+   wire n_33568;
+   wire n_33569;
+   wire n_33570;
+   wire n_33571;
+   wire n_33572;
+   wire n_33573;
+   wire n_33574;
+   wire n_33575;
+   wire n_33576;
+   wire n_33577;
+   wire n_33578;
+   wire n_33579;
+   wire n_33580;
+   wire n_33581;
+   wire n_33582;
+   wire n_33583;
+   wire n_33584;
+   wire n_33585;
+   wire n_33586;
+   wire n_33587;
+   wire n_33588;
+   wire n_33589;
+   wire n_33590;
+   wire n_33591;
+   wire n_33592;
+   wire n_33593;
+   wire n_33594;
+   wire n_33595;
+   wire n_33596;
+   wire n_33597;
+   wire n_33598;
+   wire n_33599;
+   wire n_33600;
+   wire n_33601;
+   wire n_33602;
+   wire n_33603;
+   wire n_33604;
+   wire n_33605;
+   wire n_33606;
+   wire n_33607;
+   wire n_33608;
+   wire n_33609;
+   wire n_33610;
+   wire n_33611;
+   wire n_33612;
+   wire n_33613;
+   wire n_33614;
+   wire n_33615;
+   wire n_33616;
+   wire n_33617;
+   wire n_33618;
+   wire n_33619;
+   wire n_33620;
+   wire n_33621;
+   wire n_33622;
+   wire n_33623;
+   wire n_33624;
+   wire n_33625;
+   wire n_33626;
+   wire n_33627;
+   wire n_33628;
+   wire n_33629;
+   wire n_33630;
+   wire n_33631;
+   wire n_33632;
+   wire n_33633;
+   wire n_33634;
+   wire n_33635;
+   wire n_33636;
+   wire n_33637;
+   wire n_33638;
+   wire n_33639;
+   wire n_33640;
+   wire n_33641;
+   wire n_33642;
+   wire n_33643;
+   wire n_33644;
+   wire n_33645;
+   wire n_33646;
+   wire n_33647;
+   wire n_33648;
+   wire n_33649;
+   wire n_33650;
+   wire n_33651;
+   wire n_33652;
+   wire n_33653;
+   wire n_33654;
+   wire n_33655;
+   wire n_33656;
+   wire n_33657;
+   wire n_33658;
+   wire n_33659;
+   wire n_33660;
+   wire n_33661;
+   wire n_33662;
+   wire n_33663;
+   wire n_33664;
+   wire n_33665;
+   wire n_33666;
+   wire n_33667;
+   wire n_33669;
+   wire n_33670;
+   wire n_33671;
+   wire n_33672;
+   wire n_33673;
+   wire n_33674;
+   wire n_33675;
+   wire n_33676;
+   wire n_33677;
+   wire n_33678;
+   wire n_33679;
+   wire n_33680;
+   wire n_33681;
+   wire n_33682;
+   wire n_33683;
+   wire n_33684;
+   wire n_33685;
+   wire n_33686;
+   wire n_33687;
+   wire n_33688;
+   wire n_33689;
+   wire n_33690;
+   wire n_33691;
+   wire n_33692;
+   wire n_33693;
+   wire n_33694;
+   wire n_33695;
+   wire n_33696;
+   wire n_33697;
+   wire n_33698;
+   wire n_33699;
+   wire n_33700;
+   wire n_33701;
+   wire n_33702;
+   wire n_33703;
+   wire n_33704;
+   wire n_33705;
+   wire n_33706;
+   wire n_33707;
+   wire n_33708;
+   wire n_33709;
+   wire n_33710;
+   wire n_33711;
+   wire n_33712;
+   wire n_33713;
+   wire n_33714;
+   wire n_33715;
+   wire n_33716;
+   wire n_33717;
+   wire n_33718;
+   wire n_33719;
+   wire n_33720;
+   wire n_33721;
+   wire n_33722;
+   wire n_33723;
+   wire n_33724;
+   wire n_33725;
+   wire n_33726;
+   wire n_33727;
+   wire n_33728;
+   wire n_33729;
+   wire n_33730;
+   wire n_33731;
+   wire n_33732;
+   wire n_33733;
+   wire n_33734;
+   wire n_33735;
+   wire n_33736;
+   wire n_33737;
+   wire n_33738;
+   wire n_33739;
+   wire n_33740;
+   wire n_33741;
+   wire n_33742;
+   wire n_33743;
+   wire n_33744;
+   wire n_33745;
+   wire n_33746;
+   wire n_33747;
+   wire n_33748;
+   wire n_33749;
+   wire n_33750;
+   wire n_33751;
+   wire n_33752;
+   wire n_33753;
+   wire n_33754;
+   wire n_33755;
+   wire n_33756;
+   wire n_33757;
+   wire n_33758;
+   wire n_33759;
+   wire n_33760;
+   wire n_33761;
+   wire n_33762;
+   wire n_33763;
+   wire n_33764;
+   wire n_33765;
+   wire n_33766;
+   wire n_33767;
+   wire n_33768;
+   wire n_33769;
+   wire n_33770;
+   wire n_33771;
+   wire n_33772;
+   wire n_33773;
+   wire n_33774;
+   wire n_33775;
+   wire n_33776;
+   wire n_33777;
+   wire n_33778;
+   wire n_33779;
+   wire n_33780;
+   wire n_33781;
+   wire n_33782;
+   wire n_33783;
+   wire n_33784;
+   wire n_33785;
+   wire n_33786;
+   wire n_33787;
+   wire n_33788;
+   wire n_33789;
+   wire n_33790;
+   wire n_33791;
+   wire n_33792;
+   wire n_33793;
+   wire n_33794;
+   wire n_33795;
+   wire n_33796;
+   wire n_33797;
+   wire n_33798;
+   wire n_33799;
+   wire n_33800;
+   wire n_33801;
+   wire n_33802;
+   wire n_33803;
+   wire n_33804;
+   wire n_33805;
+   wire n_33806;
+   wire n_33807;
+   wire n_33808;
+   wire n_33809;
+   wire n_33810;
+   wire n_33811;
+   wire n_33812;
+   wire n_33813;
+   wire n_33814;
+   wire n_33815;
+   wire n_33816;
+   wire n_33817;
+   wire n_33818;
+   wire n_33819;
+   wire n_33820;
+   wire n_33821;
+   wire n_33822;
+   wire n_33823;
+   wire n_33824;
+   wire n_33825;
+   wire n_33826;
+   wire n_33827;
+   wire n_33828;
+   wire n_33829;
+   wire n_33830;
+   wire n_33831;
+   wire n_33832;
+   wire n_33833;
+   wire n_33834;
+   wire n_33835;
+   wire n_33836;
+   wire n_33837;
+   wire n_33838;
+   wire n_33839;
+   wire n_33840;
+   wire n_33841;
+   wire n_33842;
+   wire n_33843;
+   wire n_33844;
+   wire n_33845;
+   wire n_33846;
+   wire n_33847;
+   wire n_33848;
+   wire n_33849;
+   wire n_33850;
+   wire n_33851;
+   wire n_33852;
+   wire n_33853;
+   wire n_33854;
+   wire n_33855;
+   wire n_33856;
+   wire n_33857;
+   wire n_33858;
+   wire n_33859;
+   wire n_33860;
+   wire n_33861;
+   wire n_33862;
+   wire n_33863;
+   wire n_33864;
+   wire n_33865;
+   wire n_33866;
+   wire n_33867;
+   wire n_33868;
+   wire n_33869;
+   wire n_33870;
+   wire n_33871;
+   wire n_33872;
+   wire n_33873;
+   wire n_33874;
+   wire n_33875;
+   wire n_33876;
+   wire n_33877;
+   wire n_33878;
+   wire n_33879;
+   wire n_33880;
+   wire n_33881;
+   wire n_33882;
+   wire n_33883;
+   wire n_33884;
+   wire n_33885;
+   wire n_33886;
+   wire n_33887;
+   wire n_33888;
+   wire n_33889;
+   wire n_33890;
+   wire n_33891;
+   wire n_33892;
+   wire n_33893;
+   wire n_33894;
+   wire n_33895;
+   wire n_33896;
+   wire n_33897;
+   wire n_33898;
+   wire n_33899;
+   wire n_33900;
+   wire n_33901;
+   wire n_33902;
+   wire n_33903;
+   wire n_33904;
+   wire n_33905;
+   wire n_33906;
+   wire n_33907;
+   wire n_33908;
+   wire n_33909;
+   wire n_33910;
+   wire n_33911;
+   wire n_33912;
+   wire n_33913;
+   wire n_33914;
+   wire n_33915;
+   wire n_33916;
+   wire n_33917;
+   wire n_33918;
+   wire n_33919;
+   wire n_33920;
+   wire n_33921;
+   wire n_33922;
+   wire n_33923;
+   wire n_33924;
+   wire n_33925;
+   wire n_33926;
+   wire n_33927;
+   wire n_33928;
+   wire n_33929;
+   wire n_33930;
+   wire n_33931;
+   wire n_33932;
+   wire n_33933;
+   wire n_33934;
+   wire n_33935;
+   wire n_33936;
+   wire n_33937;
+   wire n_33938;
+   wire n_33939;
+   wire n_33940;
+   wire n_33941;
+   wire n_33942;
+   wire n_33943;
+   wire n_33944;
+   wire n_33945;
+   wire n_33946;
+   wire n_33947;
+   wire n_33948;
+   wire n_33949;
+   wire n_33950;
+   wire n_33951;
+   wire n_33952;
+   wire n_33953;
+   wire n_33954;
+   wire n_33955;
+   wire n_33956;
+   wire n_33957;
+   wire n_33958;
+   wire n_33959;
+   wire n_33960;
+   wire n_33961;
+   wire n_33962;
+   wire n_33963;
+   wire n_33964;
+   wire n_33965;
+   wire n_33966;
+   wire n_33967;
+   wire n_33968;
+   wire n_33969;
+   wire n_33970;
+   wire n_33971;
+   wire n_33972;
+   wire n_33973;
+   wire n_33974;
+   wire n_33975;
+   wire n_33976;
+   wire n_33977;
+   wire n_33978;
+   wire n_33979;
+   wire n_33980;
+   wire n_33981;
+   wire n_33982;
+   wire n_33983;
+   wire n_33984;
+   wire n_33985;
+   wire n_33986;
+   wire n_33987;
+   wire n_33988;
+   wire n_33989;
+   wire n_33990;
+   wire n_33991;
+   wire n_33992;
+   wire n_33993;
+   wire n_33994;
+   wire n_33995;
+   wire n_33996;
+   wire n_33997;
+   wire n_33998;
+   wire n_33999;
+   wire n_34000;
+   wire n_34001;
+   wire n_34002;
+   wire n_34003;
+   wire n_34004;
+   wire n_34005;
+   wire n_34006;
+   wire n_34007;
+   wire n_34008;
+   wire n_34009;
+   wire n_34010;
+   wire n_34011;
+   wire n_34012;
+   wire n_34013;
+   wire n_34014;
+   wire n_34015;
+   wire n_34016;
+   wire n_34017;
+   wire n_34018;
+   wire n_34019;
+   wire n_34020;
+   wire n_34021;
+   wire n_34022;
+   wire n_34023;
+   wire n_34024;
+   wire n_34025;
+   wire n_34026;
+   wire n_34027;
+   wire n_34028;
+   wire n_34029;
+   wire n_34030;
+   wire n_34031;
+   wire n_34032;
+   wire n_34033;
+   wire n_34034;
+   wire n_34035;
+   wire n_34036;
+   wire n_34037;
+   wire n_34038;
+   wire n_34039;
+   wire n_34040;
+   wire n_34041;
+   wire n_34042;
+   wire n_34043;
+   wire n_34044;
+   wire n_34045;
+   wire n_34046;
+   wire n_34047;
+   wire n_34048;
+   wire n_34049;
+   wire n_34050;
+   wire n_34051;
+   wire n_34052;
+   wire n_34053;
+   wire n_34054;
+   wire n_34055;
+   wire n_34056;
+   wire n_34057;
+   wire n_34058;
+   wire n_34059;
+   wire n_34060;
+   wire n_34061;
+   wire n_34062;
+   wire n_34063;
+   wire n_34064;
+   wire n_34065;
+   wire n_34066;
+   wire n_34067;
+   wire n_34068;
+   wire n_34069;
+   wire n_34070;
+   wire n_34071;
+   wire n_34072;
+   wire n_34073;
+   wire n_34074;
+   wire n_34075;
+   wire n_34076;
+   wire n_34077;
+   wire n_34078;
+   wire n_34079;
+   wire n_34080;
+   wire n_34081;
+   wire n_34082;
+   wire n_34083;
+   wire n_34084;
+   wire n_34085;
+   wire n_34086;
+   wire n_34087;
+   wire n_34088;
+   wire n_34089;
+   wire n_34090;
+   wire n_34091;
+   wire n_34092;
+   wire n_34093;
+   wire n_34094;
+   wire n_34095;
+   wire n_34096;
+   wire n_34097;
+   wire n_34098;
+   wire n_34099;
+   wire n_34100;
+   wire n_34101;
+   wire n_34102;
+   wire n_34103;
+   wire n_34104;
+   wire n_34105;
+   wire n_34106;
+   wire n_34107;
+   wire n_34108;
+   wire n_34109;
+   wire n_34110;
+   wire n_34111;
+   wire n_34112;
+   wire n_34113;
+   wire n_34114;
+   wire n_34115;
+   wire n_34116;
+   wire n_34117;
+   wire n_34118;
+   wire n_34119;
+   wire n_34120;
+   wire n_34121;
+   wire n_34122;
+   wire n_34123;
+   wire n_34124;
+   wire n_34125;
+   wire n_34126;
+   wire n_34127;
+   wire n_34128;
+   wire n_34129;
+   wire n_34130;
+   wire n_34131;
+   wire n_34132;
+   wire n_34133;
+   wire n_34134;
+   wire n_34135;
+   wire n_34136;
+   wire n_34137;
+   wire n_34138;
+   wire n_34139;
+   wire n_34140;
+   wire n_34141;
+   wire n_34142;
+   wire n_34143;
+   wire n_34144;
+   wire n_34145;
+   wire n_34146;
+   wire n_34147;
+   wire n_34148;
+   wire n_34149;
+   wire n_34150;
+   wire n_34151;
+   wire n_34152;
+   wire n_34153;
+   wire n_34154;
+   wire n_34155;
+   wire n_34156;
+   wire n_34157;
+   wire n_34158;
+   wire n_34159;
+   wire n_34160;
+   wire n_34161;
+   wire n_34162;
+   wire n_34163;
+   wire n_34164;
+   wire n_34165;
+   wire n_34166;
+   wire n_34167;
+   wire n_34168;
+   wire n_34169;
+   wire n_34170;
+   wire n_34171;
+   wire n_34172;
+   wire n_34173;
+   wire n_34174;
+   wire n_34175;
+   wire n_34176;
+   wire n_34177;
+   wire n_34178;
+   wire n_34179;
+   wire n_34180;
+   wire n_34181;
+   wire n_34182;
+   wire n_34183;
+   wire n_34184;
+   wire n_34185;
+   wire n_34186;
+   wire n_34187;
+   wire n_34188;
+   wire n_34189;
+   wire n_34190;
+   wire n_34191;
+   wire n_34192;
+   wire n_34193;
+   wire n_34194;
+   wire n_34195;
+   wire n_34196;
+   wire n_34197;
+   wire n_34198;
+   wire n_34199;
+   wire n_34200;
+   wire n_34201;
+   wire n_34202;
+   wire n_34203;
+   wire n_34204;
+   wire n_34205;
+   wire n_34206;
+   wire n_34207;
+   wire n_34208;
+   wire n_34209;
+   wire n_34210;
+   wire n_34211;
+   wire n_34212;
+   wire n_34213;
+   wire n_34214;
+   wire n_34215;
+   wire n_34216;
+   wire n_34217;
+   wire n_34218;
+   wire n_34219;
+   wire n_34220;
+   wire n_34221;
+   wire n_34222;
+   wire n_34223;
+   wire n_34224;
+   wire n_34225;
+   wire n_34226;
+   wire n_34227;
+   wire n_34228;
+   wire n_34229;
+   wire n_34230;
+   wire n_34231;
+   wire n_34232;
+   wire n_34233;
+   wire n_34234;
+   wire n_34235;
+   wire n_34236;
+   wire n_34237;
+   wire n_34238;
+   wire n_34239;
+   wire n_34240;
+   wire n_34241;
+   wire n_34242;
+   wire n_34243;
+   wire n_34244;
+   wire n_34245;
+   wire n_34246;
+   wire n_34247;
+   wire n_34248;
+   wire n_34249;
+   wire n_34250;
+   wire n_34251;
+   wire n_34252;
+   wire n_34253;
+   wire n_34254;
+   wire n_34255;
+   wire n_34256;
+   wire n_34257;
+   wire n_34258;
+   wire n_34259;
+   wire n_34260;
+   wire n_34261;
+   wire n_34262;
+   wire n_34263;
+   wire n_34264;
+   wire n_34265;
+   wire n_34266;
+   wire n_34267;
+   wire n_34268;
+   wire n_34269;
+   wire n_34270;
+   wire n_34271;
+   wire n_34272;
+   wire n_34273;
+   wire n_34274;
+   wire n_34275;
+   wire n_34276;
+   wire n_34277;
+   wire n_34278;
+   wire n_34279;
+   wire n_34280;
+   wire n_34281;
+   wire n_34282;
+   wire n_34283;
+   wire n_34284;
+   wire n_34285;
+   wire n_34286;
+   wire n_34287;
+   wire n_34288;
+   wire n_34289;
+   wire n_34290;
+   wire n_34291;
+   wire n_34292;
+   wire n_34293;
+   wire n_34294;
+   wire n_34295;
+   wire n_34296;
+   wire n_34297;
+   wire n_34298;
+   wire n_34299;
+   wire n_34300;
+   wire n_34301;
+   wire n_34302;
+   wire n_34303;
+   wire n_34304;
+   wire n_34305;
+   wire n_34306;
+   wire n_34307;
+   wire n_34308;
+   wire n_34309;
+   wire n_34310;
+   wire n_34311;
+   wire n_34312;
+   wire n_34313;
+   wire n_34314;
+   wire n_34315;
+   wire n_34316;
+   wire n_34317;
+   wire n_34318;
+   wire n_34319;
+   wire n_34320;
+   wire n_34321;
+   wire n_34322;
+   wire n_34323;
+   wire n_34324;
+   wire n_34325;
+   wire n_34326;
+   wire n_34327;
+   wire n_34328;
+   wire n_34329;
+   wire n_34330;
+   wire n_34331;
+   wire n_34332;
+   wire n_34333;
+   wire n_34334;
+   wire n_34335;
+   wire n_34336;
+   wire n_34337;
+   wire n_34338;
+   wire n_34339;
+   wire n_34340;
+   wire n_34341;
+   wire n_34342;
+   wire n_34343;
+   wire n_34344;
+   wire n_34345;
+   wire n_34346;
+   wire n_34347;
+   wire n_34348;
+   wire n_34349;
+   wire n_34350;
+   wire n_34351;
+   wire n_34352;
+   wire n_34353;
+   wire n_34354;
+   wire n_34355;
+   wire n_34356;
+   wire n_34357;
+   wire n_34358;
+   wire n_34359;
+   wire n_34360;
+   wire n_34361;
+   wire n_34362;
+   wire n_34363;
+   wire n_34364;
+   wire n_34365;
+   wire n_34366;
+   wire n_34367;
+   wire n_34368;
+   wire n_34369;
+   wire n_34370;
+   wire n_34371;
+   wire n_34372;
+   wire n_34373;
+   wire n_34374;
+   wire n_34375;
+   wire n_34376;
+   wire n_34377;
+   wire n_34378;
+   wire n_34379;
+   wire n_34380;
+   wire n_34381;
+   wire n_34382;
+   wire n_34383;
+   wire n_34384;
+   wire n_34385;
+   wire n_34386;
+   wire n_34387;
+   wire n_34388;
+   wire n_34389;
+   wire n_34390;
+   wire n_34391;
+   wire n_34392;
+   wire n_34393;
+   wire n_34394;
+   wire n_34395;
+   wire n_34396;
+   wire n_34397;
+   wire n_34398;
+   wire n_34399;
+   wire n_34400;
+   wire n_34401;
+   wire n_34402;
+   wire n_34403;
+   wire n_34404;
+   wire n_34405;
+   wire n_34406;
+   wire n_34407;
+   wire n_34408;
+   wire n_34409;
+   wire n_34410;
+   wire n_34411;
+   wire n_34412;
+   wire n_34413;
+   wire n_34414;
+   wire n_34415;
+   wire n_34416;
+   wire n_34417;
+   wire n_34418;
+   wire n_34419;
+   wire n_34420;
+   wire n_34421;
+   wire n_34422;
+   wire n_34423;
+   wire n_34424;
+   wire n_34425;
+   wire n_34426;
+   wire n_34427;
+   wire n_34428;
+   wire n_34429;
+   wire n_34430;
+   wire n_34431;
+   wire n_34432;
+   wire n_34433;
+   wire n_34434;
+   wire n_34435;
+   wire n_34436;
+   wire n_34437;
+   wire n_34438;
+   wire n_34439;
+   wire n_34440;
+   wire n_34441;
+   wire n_34442;
+   wire n_34443;
+   wire n_34444;
+   wire n_34445;
+   wire n_34446;
+   wire n_34447;
+   wire n_34448;
+   wire n_34449;
+   wire n_34450;
+   wire n_34451;
+   wire n_34452;
+   wire n_34453;
+   wire n_34454;
+   wire n_34455;
+   wire n_34456;
+   wire n_34457;
+   wire n_34458;
+   wire n_34459;
+   wire n_34460;
+   wire n_34461;
+   wire n_34462;
+   wire n_34463;
+   wire n_34464;
+   wire n_34465;
+   wire n_34466;
+   wire n_34467;
+   wire n_34468;
+   wire n_34469;
+   wire n_34470;
+   wire n_34471;
+   wire n_34472;
+   wire n_34473;
+   wire n_34474;
+   wire n_34475;
+   wire n_34476;
+   wire n_34477;
+   wire n_34478;
+   wire n_34479;
+   wire n_34480;
+   wire n_34481;
+   wire n_34482;
+   wire n_34483;
+   wire n_34484;
+   wire n_34485;
+   wire n_34486;
+   wire n_34487;
+   wire n_34488;
+   wire n_34489;
+   wire n_34490;
+   wire n_34491;
+   wire n_34492;
+   wire n_34493;
+   wire n_34494;
+   wire n_34495;
+   wire n_34496;
+   wire n_34497;
+   wire n_34498;
+   wire n_34499;
+   wire n_34500;
+   wire n_34501;
+   wire n_34502;
+   wire n_34503;
+   wire n_34504;
+   wire n_34505;
+   wire n_34506;
+   wire n_34507;
+   wire n_34508;
+   wire n_34509;
+   wire n_34510;
+   wire n_34511;
+   wire n_34512;
+   wire n_34513;
+   wire n_34514;
+   wire n_34515;
+   wire n_34516;
+   wire n_34517;
+   wire n_34518;
+   wire n_34526;
+   wire n_34528;
+   wire n_34531;
+   wire n_34532;
+   wire n_34535;
+   wire n_34538;
+   wire n_34547;
+   wire n_34549;
+   wire n_34550;
+   wire n_34552;
+   wire n_34553;
+   wire n_34554;
+   wire n_34555;
+   wire n_34556;
+   wire n_34571;
+   wire n_34576;
+   wire n_34577;
+   wire n_34578;
+   wire n_34581;
+   wire n_34583;
+   wire n_34584;
+   wire n_34585;
+   wire n_34586;
+   wire n_34587;
+   wire n_34588;
+   wire n_34589;
+   wire n_34590;
+   wire n_34591;
+   wire n_34592;
+   wire n_34593;
+   wire n_34594;
+   wire n_34595;
+   wire n_34596;
+   wire n_34597;
+   wire n_34598;
+   wire n_34599;
+   wire n_34600;
+   wire n_34601;
+   wire n_34602;
+   wire n_34603;
+   wire n_34604;
+   wire n_34605;
+   wire n_34606;
+   wire n_34607;
+   wire n_34608;
+   wire n_34609;
+   wire n_34610;
+   wire n_34611;
+   wire n_34612;
+   wire n_34613;
+   wire n_34614;
+   wire n_34615;
+   wire n_34616;
+   wire n_34617;
+   wire n_34618;
+   wire n_34619;
+   wire n_34620;
+   wire n_34621;
+   wire n_34622;
+   wire n_34623;
+   wire n_34624;
+   wire n_34625;
+   wire n_34626;
+   wire n_34627;
+   wire n_34628;
+   wire n_34629;
+   wire n_34630;
+   wire n_34631;
+   wire n_34632;
+   wire n_34633;
+   wire n_34634;
+   wire n_34635;
+   wire n_34636;
+   wire n_34637;
+   wire n_34638;
+   wire n_34639;
+   wire n_34640;
+   wire n_34641;
+   wire n_34642;
+   wire n_34643;
+   wire n_34644;
+   wire n_34645;
+   wire n_34646;
+   wire n_34647;
+   wire n_34648;
+   wire n_34649;
+   wire n_34650;
+   wire n_34651;
+   wire n_34652;
+   wire n_34653;
+   wire n_34654;
+   wire n_34655;
+   wire n_34656;
+   wire n_34657;
+   wire n_34658;
+   wire n_34659;
+   wire n_34660;
+   wire n_34661;
+   wire n_34662;
+   wire n_34663;
+   wire n_34664;
+   wire n_34665;
+   wire n_34666;
+   wire n_34667;
+   wire n_34668;
+   wire n_34669;
+   wire n_34670;
+   wire n_34671;
+   wire n_34672;
+   wire n_34673;
+   wire n_34674;
+   wire n_34675;
+   wire n_34676;
+   wire n_34677;
+   wire n_34678;
+   wire n_34679;
+   wire n_34680;
+   wire n_34681;
+   wire n_34682;
+   wire n_34683;
+   wire n_34684;
+   wire n_34685;
+   wire n_34686;
+   wire n_34687;
+   wire n_34688;
+   wire n_34689;
+   wire n_34690;
+   wire n_34691;
+   wire n_34692;
+   wire n_34693;
+   wire n_34695;
+   wire n_34696;
+   wire n_34697;
+   wire n_34698;
+   wire n_34699;
+   wire n_34700;
+   wire n_34701;
+   wire n_34702;
+   wire n_34703;
+   wire n_34704;
+   wire n_34705;
+   wire n_34706;
+   wire n_34707;
+   wire n_34708;
+   wire n_34709;
+   wire n_34710;
+   wire n_34711;
+   wire n_34712;
+   wire n_34713;
+   wire n_34714;
+   wire n_34715;
+   wire n_34716;
+   wire n_34717;
+   wire n_34718;
+   wire n_34719;
+   wire n_34720;
+   wire n_34721;
+   wire n_34722;
+   wire n_34723;
+   wire n_34724;
+   wire n_34725;
+   wire n_34726;
+   wire n_34727;
+   wire n_34728;
+   wire n_34729;
+   wire n_34730;
+   wire n_34731;
+   wire n_34732;
+   wire n_34733;
+   wire n_34734;
+   wire n_34735;
+   wire n_34736;
+   wire n_34740;
+   wire n_34742;
+   wire n_34744;
+   wire n_34746;
+   wire n_34747;
+   wire n_34750;
+   wire n_34751;
+   wire n_34752;
+   wire n_34753;
+   wire n_34754;
+   wire n_34755;
+   wire n_34756;
+   wire n_34757;
+   wire n_34758;
+   wire n_34759;
+   wire n_34760;
+   wire n_34761;
+   wire n_34762;
+   wire n_34763;
+   wire n_34764;
+   wire n_34765;
+   wire n_34766;
+   wire n_34767;
+   wire n_34768;
+   wire n_34769;
+   wire n_34770;
+   wire n_34771;
+   wire n_34772;
+   wire n_34773;
+   wire n_34774;
+   wire n_34775;
+   wire n_34776;
+   wire n_34777;
+   wire n_34778;
+   wire n_34779;
+   wire n_34780;
+   wire n_34781;
+   wire n_34782;
+   wire n_34783;
+   wire n_34784;
+   wire n_34785;
+   wire n_34786;
+   wire n_34787;
+   wire n_34788;
+   wire n_34789;
+   wire n_34790;
+   wire n_34791;
+   wire n_34792;
+   wire n_34793;
+   wire n_34794;
+   wire n_34795;
+   wire n_34796;
+   wire n_34797;
+   wire n_34799;
+   wire n_34800;
+   wire n_34801;
+   wire n_34802;
+   wire n_34803;
+   wire n_34804;
+   wire n_34805;
+   wire n_34806;
+   wire n_34807;
+   wire n_34808;
+   wire n_34809;
+   wire n_34810;
+   wire n_34811;
+   wire n_34812;
+   wire n_34813;
+   wire n_34814;
+   wire n_34815;
+   wire n_34816;
+   wire n_34817;
+   wire n_34818;
+   wire n_34819;
+   wire n_34820;
+   wire n_34821;
+   wire n_34822;
+   wire n_34823;
+   wire n_34824;
+   wire n_34825;
+   wire n_34826;
+   wire n_34827;
+   wire n_34828;
+   wire n_34829;
+   wire n_34830;
+   wire n_34831;
+   wire n_34832;
+   wire n_34833;
+   wire n_34834;
+   wire n_34835;
+   wire n_34836;
+   wire n_34837;
+   wire n_34838;
+   wire n_34839;
+   wire n_34840;
+   wire n_34841;
+   wire n_34842;
+   wire n_34843;
+   wire n_34844;
+   wire n_34845;
+   wire n_34846;
+   wire n_34847;
+   wire n_34848;
+   wire n_34849;
+   wire n_34850;
+   wire n_34851;
+   wire n_34852;
+   wire n_34853;
+   wire n_34854;
+   wire n_34855;
+   wire n_34856;
+   wire n_34857;
+   wire n_34858;
+   wire n_34859;
+   wire n_34860;
+   wire n_34861;
+   wire n_34862;
+   wire n_34863;
+   wire n_34864;
+   wire n_34865;
+   wire n_34866;
+   wire n_34867;
+   wire n_34868;
+   wire n_34869;
+   wire n_34870;
+   wire n_34871;
+   wire n_34872;
+   wire n_34873;
+   wire n_34874;
+   wire n_34875;
+   wire n_34876;
+   wire n_34877;
+   wire n_34878;
+   wire n_34879;
+   wire n_34880;
+   wire n_34881;
+   wire n_34882;
+   wire n_34883;
+   wire n_34884;
+   wire n_34885;
+   wire n_34886;
+   wire n_34887;
+   wire n_34888;
+   wire n_34889;
+   wire n_34890;
+   wire n_34891;
+   wire n_34892;
+   wire n_34894;
+   wire n_34895;
+   wire n_34896;
+   wire n_34897;
+   wire n_34898;
+   wire n_34899;
+   wire n_34900;
+   wire n_34901;
+   wire n_34902;
+   wire n_34903;
+   wire n_34904;
+   wire n_34905;
+   wire n_34906;
+   wire n_34907;
+   wire n_34908;
+   wire n_34909;
+   wire n_34910;
+   wire n_34911;
+   wire n_34912;
+   wire n_34913;
+   wire n_34914;
+   wire n_34915;
+   wire n_34917;
+   wire n_34918;
+   wire n_34919;
+   wire n_34920;
+   wire n_34921;
+   wire n_34922;
+   wire n_34923;
+   wire n_34924;
+   wire n_34925;
+   wire n_34926;
+   wire n_34927;
+   wire n_34928;
+   wire n_34929;
+   wire n_34930;
+   wire n_34931;
+   wire n_34932;
+   wire n_34933;
+   wire n_34934;
+   wire n_34935;
+   wire n_34936;
+   wire n_34937;
+   wire n_34938;
+   wire n_34939;
+   wire n_34940;
+   wire n_34941;
+   wire n_34942;
+   wire n_34943;
+   wire n_34944;
+   wire n_34945;
+   wire n_34946;
+   wire n_34947;
+   wire n_34948;
+   wire n_34949;
+   wire n_35010;
+   wire n_37573_BAR;
+   wire n_38479;
+   wire n_38480;
+   wire n_38481;
+   wire n_38482;
+   wire n_38483;
+   wire n_38484;
+   wire n_38485;
+   wire n_38487;
+   wire n_38488;
+   wire n_38489;
+   wire n_38490;
+   wire n_38491;
+   wire n_38492;
+   wire n_38493;
+   wire n_38494;
+   wire n_38495;
+   wire n_38497;
+   wire n_38498;
+   wire n_38499;
+   wire n_38500;
+   wire n_38501;
+   wire n_38502;
+   wire n_38503;
+   wire n_38504;
+   wire n_38505;
+   wire n_38506;
+   wire n_38507;
+   wire n_38508;
+   wire n_38509;
+   wire n_38510;
+   wire n_38511;
+   wire n_38512;
+   wire n_38513;
+   wire n_38514;
+   wire n_38515;
+   wire n_38516;
+   wire n_38517;
+   wire n_38518;
+   wire n_38519;
+   wire n_38520;
+   wire n_38521;
+   wire n_38522;
+   wire n_38523;
+   wire n_38524;
+   wire n_38525;
+   wire n_38526;
+   wire n_38527;
+   wire n_38528;
+   wire n_38529;
+   wire n_38530;
+   wire n_38531;
+   wire n_38532;
+   wire n_38533;
+   wire n_38534;
+   wire n_38535;
+   wire n_38536;
+   wire n_38537;
+   wire n_38538;
+   wire n_38539;
+   wire n_38540;
+   wire n_38541;
+   wire n_38542;
+   wire n_38543;
+   wire n_38544;
+   wire n_38545;
+   wire n_38547;
+   wire n_38549;
+   wire n_39120;
+   wire n_39122;
+   wire n_39123;
+   wire n_39127;
+   wire n_39128;
+   wire n_39129;
+   wire n_39131;
+   wire n_39132;
+   wire n_39133;
+   wire n_39134;
+   wire n_39135;
+   wire n_39136;
+   wire n_39137;
+   wire n_39138;
+   wire n_39139;
+   wire n_39140;
+   wire n_39141;
+   wire n_39142;
+   wire n_39143;
+   wire n_39144;
+   wire n_39145;
+   wire n_39146;
+   wire n_39147;
+   wire n_39148;
+   wire n_39149;
+   wire n_39150;
+   wire n_39151;
+   wire n_39152;
+   wire n_39153;
+   wire n_39154;
+   wire n_39155;
+   wire n_39156;
+   wire n_39157;
+   wire n_39158;
+   wire n_39159;
+   wire n_39160;
+   wire n_39161;
+   wire n_39162;
+   wire n_39163;
+   wire n_39164;
+   wire n_39165;
+   wire n_39166;
+   wire n_39167;
+   wire n_39168;
+   wire n_39169;
+   wire n_39170;
+   wire n_39171;
+   wire n_39172;
+   wire n_39173;
+   wire n_39174;
+   wire n_39175;
+   wire n_39176;
+   wire n_39177;
+   wire n_39178;
+   wire n_39179;
+   wire n_39180;
+   wire n_39181;
+   wire n_39182;
+   wire n_39183;
+   wire n_39184;
+   wire n_39185;
+   wire n_39186;
+   wire n_39187;
+   wire n_39188;
+   wire n_39190;
+   wire n_39191;
+   wire n_39192;
+   wire n_39193;
+   wire n_39194;
+   wire n_39195;
+   wire n_39196;
+   wire n_39197;
+   wire n_39198;
+   wire n_39199;
+   wire n_39200;
+   wire n_39201;
+   wire n_39202;
+   wire n_39203;
+   wire n_39204;
+   wire n_39205;
+   wire n_39206;
+   wire n_39207;
+   wire n_39208;
+   wire n_39209;
+   wire n_39210;
+   wire n_39211;
+   wire n_39212;
+   wire n_39213;
+   wire n_39214;
+   wire n_39215;
+   wire n_39216;
+   wire n_39217;
+   wire n_39218;
+   wire n_39219;
+   wire n_39220;
+   wire n_39221;
+   wire n_39222;
+   wire n_39223;
+   wire n_39224;
+   wire n_39225;
+   wire n_39226;
+   wire n_39227;
+   wire n_39228;
+   wire n_39229;
+   wire n_39230;
+   wire n_39231;
+   wire n_39232;
+   wire n_39233;
+   wire n_39234;
+   wire n_39235;
+   wire n_39236;
+   wire n_39237;
+   wire n_39238;
+   wire n_39239;
+   wire n_39240;
+   wire n_39241;
+   wire n_39242;
+   wire n_39243;
+   wire n_39244;
+   wire n_39245;
+   wire n_39246;
+   wire n_39247;
+   wire n_39248;
+   wire n_39249;
+   wire n_39250;
+   wire n_39251;
+   wire n_39252;
+   wire n_39253;
+   wire n_39254;
+   wire n_39255;
+   wire n_39256;
+   wire n_39257;
+   wire n_39258;
+   wire n_39259;
+   wire n_39260;
+   wire n_39261;
+   wire n_39262;
+   wire n_39263;
+   wire n_39264;
+   wire n_39265;
+   wire n_39266;
+   wire n_39267;
+   wire n_39268;
+   wire n_39269;
+   wire n_39270;
+   wire n_39271;
+   wire n_39272;
+   wire n_39273;
+   wire n_39274;
+   wire n_39275;
+   wire n_39276;
+   wire n_39277;
+   wire n_39278;
+   wire n_39279;
+   wire n_39280;
+   wire n_39281;
+   wire n_39282;
+   wire n_39283;
+   wire n_39284;
+   wire n_39285;
+   wire n_39286;
+   wire n_39287;
+   wire n_39288;
+   wire n_39289;
+   wire n_39290;
+   wire n_39291;
+   wire n_39292;
+   wire n_39293;
+   wire n_39294;
+   wire n_39295;
+   wire n_39296;
+   wire n_39297;
+   wire n_39298;
+   wire n_39299;
+   wire n_39300;
+   wire n_39301;
+   wire n_39302;
+   wire n_39303;
+   wire n_39304;
+   wire n_39305;
+   wire n_39306;
+   wire n_39307;
+   wire n_39308;
+   wire n_39309;
+   wire n_39310;
+   wire n_39311;
+   wire n_39312;
+   wire n_39313;
+   wire n_39314;
+   wire n_39315;
+   wire n_39316;
+   wire n_39317;
+   wire n_39318;
+   wire n_39319;
+   wire n_39320;
+   wire n_39321;
+   wire n_39322;
+   wire n_39323;
+   wire n_39324;
+   wire n_39325;
+   wire n_39326;
+   wire n_39327;
+   wire n_39328;
+   wire n_39329;
+   wire n_39330;
+   wire n_39331;
+   wire n_39332;
+   wire n_39333;
+   wire n_39334;
+   wire n_39335;
+   wire n_39336;
+   wire n_39337;
+   wire n_39338;
+   wire n_39339;
+   wire n_39340;
+   wire n_39341;
+   wire n_39342;
+   wire n_39343;
+   wire n_39344;
+   wire n_39345;
+   wire n_39346;
+   wire n_39347;
+   wire n_39348;
+   wire n_39349;
+   wire n_39350;
+   wire n_39351;
+   wire n_39352;
+   wire n_39353;
+   wire n_39354;
+   wire n_39355;
+   wire n_39356;
+   wire n_39357;
+   wire n_39358;
+   wire n_39359;
+   wire n_39360;
+   wire n_39361;
+   wire n_39362;
+   wire n_39363;
+   wire n_39364;
+   wire n_39365;
+   wire n_39366;
+   wire n_39367;
+   wire n_39368;
+   wire n_39369;
+   wire n_39370;
+   wire n_39583;
+   wire n_39584;
+   wire n_39585;
+   wire n_39586;
+   wire n_39587;
+   wire n_39588;
+   wire n_39589;
+   wire n_39590;
+   wire n_39591;
+   wire n_39592;
+   wire n_39593;
+   wire n_39594;
+   wire n_39595;
+   wire n_39596;
+   wire n_39597;
+   wire n_39598;
+   wire n_39599;
+   wire n_39600;
+   wire n_39601;
+   wire n_39602;
+   wire n_39603;
+   wire n_39604;
+   wire n_39605;
+   wire n_39606;
+   wire n_39607;
+   wire n_39608;
+   wire n_39609;
+   wire n_39610;
+   wire n_39611;
+   wire n_39612;
+   wire n_39613;
+   wire n_39614;
+   wire n_39615;
+   wire n_39616;
+   wire n_39617;
+   wire n_39618;
+   wire n_39619;
+   wire n_39620;
+   wire n_39621;
+   wire n_39622;
+   wire n_39623;
+   wire n_39624;
+   wire n_39625;
+   wire n_57463_BAR;
+   wire n_57476_BAR;
+   wire n_57489_BAR;
+   wire n_57502_BAR;
+   wire n_58974_BAR;
+   wire n_59069_BAR;
+   wire n_59146_BAR;
+   wire n_59222_BAR;
+   wire n_59464_BAR;
+   wire n_59540_BAR;
+   wire n_261110_BAR;
+   wire n_261167_BAR;
+   wire n_261605_BAR;
+   wire n_262472_BAR;
+   wire n_262607_BAR;
+   wire n_264676_BAR;
+   wire n_264870_BAR;
+   wire n_264876_BAR;
+   wire n_264878_BAR;
+   wire n_264882_BAR;
+   wire n_264886_BAR;
+   wire n_264892_BAR;
+   wire n_264899_BAR;
+   wire n_264987_BAR;
+   wire n_265185_BAR;
+   wire n_265364_BAR;
+   wire n_268781_BAR;
+   wire n_271733_BAR;
+   wire n_271734_BAR;
+   wire n_273263_BAR;
+   wire n_273278_BAR;
+   wire n_273293_BAR;
+   wire n_273308_BAR;
+   wire n_273323_BAR;
+   wire n_273338_BAR;
+   wire n_273353_BAR;
+   wire n_273368_BAR;
+   wire n_273383_BAR;
+   wire n_273398_BAR;
+   wire n_273413_BAR;
+   wire n_273428_BAR;
+   wire n_273443_BAR;
+   wire n_276345_BAR;
+   wire n_276403_BAR;
+   wire n_276407_BAR;
+   wire n_276409_BAR;
+   wire n_276416_BAR;
+   wire n_296988_BAR;
+   wire n_297024_BAR;
+   wire n_297042_BAR;
+   wire n_297270_BAR;
+   wire n_297288_BAR;
+   wire n_297306_BAR;
+   wire n_298112_BAR;
+   wire n_300427_BAR;
+   wire n_305853_BAR;
+   wire n_305877_BAR;
+   wire n_305901_BAR;
+   wire n_305972_BAR;
+   wire n_305973_BAR;
+   wire n_306135_BAR;
+   wire n_306236_BAR;
+   wire n_314068_BAR;
+   wire n_315253_BAR;
+   wire n_315254_BAR;
+   wire n_315301_BAR;
+   wire n_315302_BAR;
+   wire n_315349_BAR;
+   wire n_315350_BAR;
+   wire n_315421_BAR;
+   wire n_315422_BAR;
+   wire n_320928_BAR;
+   wire n_321197_BAR;
+   wire n_321215_BAR;
+   wire n_321270_BAR;
+   wire n_321287_BAR;
+   wire n_321305_BAR;
+   wire n_325423_BAR;
+   wire n_325459_BAR;
+   wire n_325463_BAR;
+   wire n_326111_BAR;
+   wire n_326183_BAR;
+   wire n_326201_BAR;
+   wire n_326218_BAR;
+   wire n_326236_BAR;
+   wire n_326254_BAR;
+   wire n_326272_BAR;
+   wire n_326290_BAR;
+   wire n_326308_BAR;
+   wire n_327053_BAR;
+   wire n_327822_BAR;
+   wire n_327823_BAR;
+   wire n_327846_BAR;
+   wire n_327847_BAR;
+   wire n_327882_BAR;
+   wire n_327883_BAR;
+   wire n_327930_BAR;
+   wire n_327931_BAR;
+   wire n_327942_BAR;
+   wire n_327943_BAR;
+   wire n_327990_BAR;
+   wire n_327991_BAR;
+   wire n_328267_BAR;
+   wire n_328268_BAR;
+   wire n_328279_BAR;
+   wire n_328280_BAR;
+   wire n_328291_BAR;
+   wire n_328292_BAR;
+   wire n_328303_BAR;
+   wire n_328304_BAR;
+   wire n_328315_BAR;
+   wire n_328316_BAR;
+   wire n_328327_BAR;
+   wire n_328328_BAR;
+   wire n_328339_BAR;
+   wire n_328340_BAR;
+   wire n_328351_BAR;
+   wire n_328352_BAR;
+   wire n_342675_BAR;
+   wire n_342677_BAR;
+   wire n_349530_BAR;
+   wire n_349536_BAR;
+   wire n_349542_BAR;
+   wire n_349548_BAR;
+   wire n_349554_BAR;
+   wire n_349566_BAR;
+   wire n_349572_BAR;
+   wire n_349578_BAR;
+   wire n_349584_BAR;
+   wire n_349590_BAR;
+   wire n_349610_BAR;
+   wire n_349616_BAR;
+   wire n_349634_BAR;
+   wire n_349640_BAR;
+   wire n_351520_BAR;
+   wire n_352552_BAR;
+   wire n_352910_BAR;
+   wire n_353690_BAR;
+   wire n_354404_BAR;
+   wire n_354405_BAR;
+   wire n_355334_BAR;
+   wire n_355339_BAR;
+   wire n_356182_BAR;
+   wire n_356189_BAR;
+   wire n_356190_BAR;
+   wire n_357119_BAR;
+   wire n_357120_BAR;
+   wire n_359282_BAR;
+   wire n_359320_BAR;
+   wire n_360198_BAR;
+   wire n_360208_BAR;
+   wire n_360218_BAR;
+   wire n_361248_BAR;
+   wire n_361249_BAR;
+   wire n_361852_BAR;
+   wire n_361856_BAR;
+   wire n_361860_BAR;
+   wire n_361864_BAR;
+   wire n_361868_BAR;
+   wire n_361872_BAR;
+   wire n_361880_BAR;
+   wire n_361884_BAR;
+   wire n_361888_BAR;
+   wire n_361896_BAR;
+   wire n_361900_BAR;
+   wire n_361904_BAR;
+   wire n_361908_BAR;
+   wire n_361916_BAR;
+   wire n_361920_BAR;
+   wire n_361924_BAR;
+   wire n_361928_BAR;
+   wire n_361932_BAR;
+   wire n_361934_BAR;
+   wire n_361943_BAR;
+   wire n_361949_BAR;
+   wire n_362397_BAR;
+   wire n_362399_BAR;
+   wire n_362404_BAR;
+   wire n_362406_BAR;
+   wire n_363331_BAR;
+   wire n_363337_BAR;
+   wire n_363339_BAR;
+   wire n_363368_BAR;
+   wire n_363375_BAR;
+   wire n_364286_BAR;
+   wire n_364288_BAR;
+   wire n_364293_BAR;
+   wire n_364298_BAR;
+   wire n_364823_BAR;
+   wire n_366756_BAR;
+   wire n_368752_BAR;
+   wire n_368911_BAR;
+   wire n_368917_BAR;
+   wire n_369086_BAR;
+   wire n_369092_BAR;
+   wire n_369100_BAR;
+   wire n_369109_BAR;
+   wire n_369305_BAR;
+   wire n_369306_BAR;
+   wire n_369309_BAR;
+   wire n_369310_BAR;
+   wire n_369314_BAR;
+   wire n_369316_BAR;
+   wire n_377915_BAR;
+   wire n_399607_BAR;
+   wire n_399608_BAR;
+   wire n_405933_BAR;
+   wire n_405937_BAR;
+   wire rst;
+   wire rx_i;
+
+   assign la_data_out[27] = io_out[35];
+   assign la_data_out[26] = io_out[34];
+   assign la_data_out[25] = io_out[33];
+   assign la_data_out[24] = io_out[32];
+   assign la_data_out[23] = io_out[31];
+   assign la_data_out[22] = io_out[30];
+   assign la_data_out[21] = io_out[29];
+   assign la_data_out[20] = io_out[28];
+   assign la_data_out[19] = io_out[27];
+   assign la_data_out[18] = io_out[26];
+   assign la_data_out[17] = io_out[25];
+   assign la_data_out[16] = io_out[24];
+   assign la_data_out[15] = io_out[23];
+   assign la_data_out[14] = io_out[22];
+   assign la_data_out[13] = io_out[21];
+   assign la_data_out[12] = io_out[20];
+   assign la_data_out[11] = io_out[19];
+   assign la_data_out[10] = io_out[18];
+   assign la_data_out[9] = io_out[17];
+   assign la_data_out[8] = io_out[16];
+   assign la_data_out[7] = io_out[15];
+   assign la_data_out[6] = io_out[14];
+   assign la_data_out[5] = io_out[13];
+   assign la_data_out[4] = io_out[12];
+   assign la_data_out[3] = io_out[11];
+   assign la_data_out[2] = io_out[10];
+   assign la_data_out[1] = io_out[9];
+   assign la_data_out[0] = io_out[8];
+   assign io_oeb[35] = io_oeb[10];
+   assign io_oeb[34] = io_oeb[10];
+   assign io_oeb[33] = io_oeb[10];
+   assign io_oeb[32] = io_oeb[10];
+   assign io_oeb[31] = io_oeb[10];
+   assign io_oeb[30] = io_oeb[10];
+   assign io_oeb[29] = io_oeb[10];
+   assign io_oeb[28] = io_oeb[10];
+   assign io_oeb[27] = io_oeb[10];
+   assign io_oeb[26] = io_oeb[10];
+   assign io_oeb[25] = io_oeb[10];
+   assign io_oeb[24] = io_oeb[10];
+   assign io_oeb[23] = io_oeb[10];
+   assign io_oeb[22] = io_oeb[10];
+   assign io_oeb[21] = io_oeb[10];
+   assign io_oeb[20] = io_oeb[10];
+   assign io_oeb[19] = io_oeb[10];
+   assign io_oeb[18] = io_oeb[10];
+   assign io_oeb[17] = io_oeb[10];
+   assign io_oeb[16] = io_oeb[10];
+   assign io_oeb[15] = io_oeb[10];
+   assign io_oeb[14] = io_oeb[10];
+   assign io_oeb[13] = io_oeb[10];
+   assign io_oeb[12] = io_oeb[10];
+   assign io_oeb[11] = io_oeb[10];
+   assign io_oeb[9] = io_oeb[10];
+   assign io_oeb[8] = io_oeb[10];
+
+   // Module instantiations
+   sky130_fd_sc_hd__clkbuf_4 HJ_flex_HTREE_14 (
+	.A(CTS_5),
+	.X(CTS_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 HJ_flex_HTREE_10 (
+	.A(CTS_5),
+	.X(CTS_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_cfh_buf_00011 (
+	.A(CTS_6),
+	.X(CTS_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 HJ_flex_HTREE_6 (
+	.A(CTS_4),
+	.X(CTS_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 HJ_flex_HTREE_2 (
+	.A(CTS_4),
+	.X(CTS_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_cfh_buf_00008 (
+	.A(CTS_6),
+	.X(CTS_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 HJ_flex_HTREE_13 (
+	.A(CTS_3),
+	.X(CTS_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 HJ_flex_HTREE_9 (
+	.A(CTS_3),
+	.X(CTS_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_cfh_buf_00005 (
+	.A(CTS_6),
+	.X(CTS_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 HJ_flex_HTREE_5 (
+	.A(CTS_2),
+	.X(CTS_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 HJ_flex_HTREE_1 (
+	.A(CTS_2),
+	.X(CTS_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_cfh_buf_00002 (
+	.A(CTS_6),
+	.X(CTS_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_cfh_buf_00001 (
+	.A(wb_clk_i),
+	.X(CTS_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_DBTC6_brqrv_top_brqrv_trigger_pkt_any_117 (
+	.A(brqrv_top_brqrv_trigger_pkt_any[117]),
+	.Y(FE_DBTN6_brqrv_top_brqrv_trigger_pkt_any_117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_DBTC5_brqrv_top_brqrv_trigger_pkt_any_79 (
+	.A(brqrv_top_brqrv_trigger_pkt_any[79]),
+	.Y(FE_DBTN5_brqrv_top_brqrv_trigger_pkt_any_79), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_DBTC4_brqrv_top_brqrv_trigger_pkt_any_41 (
+	.A(brqrv_top_brqrv_trigger_pkt_any[41]),
+	.Y(FE_DBTN4_brqrv_top_brqrv_trigger_pkt_any_41), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_DBTC3_brqrv_top_brqrv_trigger_pkt_any_3 (
+	.A(brqrv_top_brqrv_trigger_pkt_any[3]),
+	.Y(FE_DBTN3_brqrv_top_brqrv_trigger_pkt_any_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_DBTC2_n_29875 (
+	.A(n_29875),
+	.Y(FE_DBTN2_n_29875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_DBTC1_n_29873 (
+	.A(n_29873),
+	.Y(FE_DBTN1_n_29873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_DBTC0_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.Y(FE_DBTN0_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_sram_1kbyte_1rw1r_32x256_8 \brqrv_top_mem_Gen_dccm_enable.dccm_mem_bank[0].dccm.dccm  (
+	.wmask0({ n_39584,
+		n_39585,
+		n_39586,
+		n_39587 }),
+	.web0(\brqrv_top_mem_Gen_dccm_enable.dccm_n_271 ),
+	.dout0({ \brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [31],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [30],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [29],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [28],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [27],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [26],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [25],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [24],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [23],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [22],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [21],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [20],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [19],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [18],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [17],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [16],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [15],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [14],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [13],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [12],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [11],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [10],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [9],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [8],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [7],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [6],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [5],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [4],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [3],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [2],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [1],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [0] }),
+	.din0({ \brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [31],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [30],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [29],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [28],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [27],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [26],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [25],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [24],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [23],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [22],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [21],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [20],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [19],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [18],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [17],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [16],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [15],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [14],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [13],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [12],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [11],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [10],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [9],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [8],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [7],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [6],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [5],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [4],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [3],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [2],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [1],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [0] }),
+	.csb1(n_39583),
+	.csb0(\brqrv_top_mem_Gen_dccm_enable.dccm_n_270 ),
+	.clk1(brqrv_top_active_l2clk),
+	.clk0(brqrv_top_active_l2clk),
+	.addr1({ logic_0_1_net,
+		logic_0_2_net,
+		logic_0_3_net,
+		logic_0_4_net,
+		logic_0_5_net,
+		logic_0_6_net,
+		logic_0_7_net,
+		logic_0_8_net }),
+	.addr0({ \brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [11],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [10],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [9],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [8],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [7],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [6],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [5],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [4] }), 
+	.vssd1(vssd1), 
+	.vccd1(vccd1));
+   sky130_sram_1kbyte_1rw1r_32x256_8 \brqrv_top_mem_Gen_dccm_enable.dccm_mem_bank[1].dccm.dccm  (
+	.wmask0({ n_39589,
+		n_39590,
+		n_39591,
+		n_39592 }),
+	.web0(\brqrv_top_mem_Gen_dccm_enable.dccm_n_314 ),
+	.dout0({ \brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [70],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [69],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [68],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [67],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [66],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [65],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [64],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [63],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [62],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [61],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [60],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [59],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [58],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [57],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [56],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [55],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [54],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [53],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [52],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [51],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [50],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [49],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [48],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [47],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [46],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [45],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [44],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [43],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [42],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [41],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [40],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [39] }),
+	.din0({ \brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [70],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [69],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [68],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [67],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [66],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [65],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [64],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [63],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [62],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [61],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [60],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [59],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [58],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [57],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [56],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [55],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [54],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [53],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [52],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [51],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [50],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [49],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [48],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [47],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [46],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [45],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [44],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [43],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [42],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [41],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [40],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [39] }),
+	.csb1(n_39588),
+	.csb0(\brqrv_top_mem_Gen_dccm_enable.dccm_n_313 ),
+	.clk1(brqrv_top_active_l2clk),
+	.clk0(brqrv_top_active_l2clk),
+	.addr1({ logic_0_9_net,
+		logic_0_10_net,
+		logic_0_11_net,
+		logic_0_12_net,
+		logic_0_13_net,
+		logic_0_14_net,
+		logic_0_15_net,
+		logic_0_16_net }),
+	.addr0({ \brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [19],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [18],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [17],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [16],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [15],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [14],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [13],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [12] }), 
+	.vssd1(vssd1), 
+	.vccd1(vccd1));
+   sky130_sram_1kbyte_1rw1r_32x256_8 \brqrv_top_mem_Gen_dccm_enable.dccm_mem_bank[2].dccm.dccm  (
+	.wmask0({ n_39594,
+		n_39595,
+		n_39596,
+		n_39597 }),
+	.web0(\brqrv_top_mem_Gen_dccm_enable.dccm_n_356 ),
+	.dout0({ \brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [109],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [108],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [107],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [106],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [105],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [104],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [103],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [102],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [101],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [100],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [99],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [98],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [97],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [96],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [95],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [94],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [93],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [92],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [91],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [90],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [89],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [88],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [87],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [86],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [85],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [84],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [83],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [82],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [81],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [80],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [79],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [78] }),
+	.din0({ \brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [109],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [108],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [107],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [106],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [105],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [104],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [103],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [102],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [101],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [100],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [99],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [98],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [97],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [96],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [95],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [94],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [93],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [92],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [91],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [90],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [89],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [88],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [87],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [86],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [85],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [84],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [83],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [82],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [81],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [80],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [79],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [78] }),
+	.csb1(n_39593),
+	.csb0(\brqrv_top_mem_Gen_dccm_enable.dccm_n_355 ),
+	.clk1(brqrv_top_active_l2clk),
+	.clk0(brqrv_top_active_l2clk),
+	.addr1({ logic_0_17_net,
+		logic_0_18_net,
+		logic_0_19_net,
+		logic_0_20_net,
+		logic_0_21_net,
+		logic_0_22_net,
+		logic_0_23_net,
+		logic_0_24_net }),
+	.addr0({ \brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [27],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [26],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [25],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [24],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [23],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [22],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [21],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [20] }), 
+	.vssd1(vssd1), 
+	.vccd1(vccd1));
+   sky130_sram_1kbyte_1rw1r_32x256_8 \brqrv_top_mem_Gen_dccm_enable.dccm_mem_bank[3].dccm.dccm  (
+	.wmask0({ n_39599,
+		n_39600,
+		n_39601,
+		n_39602 }),
+	.web0(\brqrv_top_mem_Gen_dccm_enable.dccm_n_398 ),
+	.dout0({ \brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [148],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [147],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [146],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [145],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [144],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [143],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [142],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [141],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [140],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [139],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [138],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [137],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [136],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [135],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [134],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [133],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [132],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [131],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [130],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [129],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [128],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [127],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [126],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [125],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [124],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [123],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [122],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [121],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [120],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [119],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [118],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [117] }),
+	.din0({ \brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [148],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [147],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [146],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [145],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [144],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [143],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [142],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [141],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [140],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [139],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [138],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [137],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [136],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [135],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [134],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [133],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [132],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [131],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [130],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [129],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [128],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [127],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [126],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [125],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [124],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [123],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [122],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [121],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [120],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [119],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [118],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [117] }),
+	.csb1(n_39598),
+	.csb0(\brqrv_top_mem_Gen_dccm_enable.dccm_n_397 ),
+	.clk1(brqrv_top_active_l2clk),
+	.clk0(brqrv_top_active_l2clk),
+	.addr1({ logic_0_25_net,
+		logic_0_26_net,
+		logic_0_27_net,
+		logic_0_28_net,
+		logic_0_29_net,
+		logic_0_30_net,
+		logic_0_31_net,
+		logic_0_32_net }),
+	.addr0({ \brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [35],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [34],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [33],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [32],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [31],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [30],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [29],
+		\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [28] }), 
+	.vssd1(vssd1), 
+	.vccd1(vccd1));
+   sky130_sram_1kbyte_1rw1r_32x256_8 \brqrv_top_mem_iccm.iccm_mem_bank[0].iccm.iccm  (
+	.wmask0({ n_39604,
+		n_39605,
+		n_39606,
+		n_39607 }),
+	.web0(\brqrv_top_mem_iccm.iccm_n_373 ),
+	.dout0({ \brqrv_top_mem_iccm.iccm_iccm_bank_dout [31],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [30],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [29],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [28],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [27],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [26],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [25],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [24],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [23],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [22],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [21],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [20],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [19],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [18],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [17],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [16],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [15],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [14],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [13],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [12],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [11],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [10],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [9],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [8],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [7],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [6],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [5],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [4],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [3],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [2],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [1],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [0] }),
+	.din0({ brqrv_top_n_1019,
+		brqrv_top_n_1018,
+		brqrv_top_n_1017,
+		brqrv_top_n_1016,
+		brqrv_top_n_1015,
+		brqrv_top_n_1014,
+		brqrv_top_n_1013,
+		brqrv_top_n_1012,
+		brqrv_top_n_1011,
+		brqrv_top_n_1010,
+		brqrv_top_n_1009,
+		brqrv_top_n_1008,
+		brqrv_top_n_1007,
+		brqrv_top_n_1006,
+		brqrv_top_n_1005,
+		brqrv_top_n_1004,
+		brqrv_top_n_1003,
+		brqrv_top_n_1002,
+		brqrv_top_n_1001,
+		brqrv_top_n_1000,
+		brqrv_top_n_999,
+		brqrv_top_n_998,
+		brqrv_top_n_997,
+		brqrv_top_n_996,
+		brqrv_top_n_995,
+		brqrv_top_n_994,
+		brqrv_top_n_993,
+		brqrv_top_n_992,
+		brqrv_top_n_991,
+		brqrv_top_n_990,
+		brqrv_top_n_989,
+		brqrv_top_n_988 }),
+	.csb1(n_39603),
+	.csb0(\brqrv_top_mem_iccm.iccm_n_372 ),
+	.clk1(brqrv_top_active_l2clk),
+	.clk0(brqrv_top_active_l2clk),
+	.addr1({ logic_0_33_net,
+		logic_0_34_net,
+		logic_0_35_net,
+		logic_0_36_net,
+		logic_0_37_net,
+		logic_0_38_net,
+		logic_0_39_net,
+		logic_0_40_net }),
+	.addr0({ \brqrv_top_mem_iccm.iccm_addr_bank [11],
+		\brqrv_top_mem_iccm.iccm_addr_bank [10],
+		\brqrv_top_mem_iccm.iccm_addr_bank [9],
+		\brqrv_top_mem_iccm.iccm_addr_bank [8],
+		\brqrv_top_mem_iccm.iccm_addr_bank [7],
+		\brqrv_top_mem_iccm.iccm_addr_bank [6],
+		\brqrv_top_mem_iccm.iccm_addr_bank [5],
+		\brqrv_top_mem_iccm.iccm_addr_bank [4] }), 
+	.vssd1(vssd1), 
+	.vccd1(vccd1));
+   sky130_sram_1kbyte_1rw1r_32x256_8 \brqrv_top_mem_iccm.iccm_mem_bank[1].iccm.iccm  (
+	.wmask0({ n_39609,
+		n_39610,
+		n_39611,
+		n_39612 }),
+	.web0(\brqrv_top_mem_iccm.iccm_n_386 ),
+	.dout0({ \brqrv_top_mem_iccm.iccm_iccm_bank_dout [70],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [69],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [68],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [67],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [66],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [65],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [64],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [63],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [62],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [61],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [60],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [59],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [58],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [57],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [56],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [55],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [54],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [53],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [52],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [51],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [50],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [49],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [48],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [47],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [46],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [45],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [44],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [43],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [42],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [41],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [40],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [39] }),
+	.din0({ brqrv_top_n_1058,
+		brqrv_top_n_1057,
+		brqrv_top_n_1056,
+		brqrv_top_n_1055,
+		brqrv_top_n_1054,
+		brqrv_top_n_1053,
+		brqrv_top_n_1052,
+		brqrv_top_n_1051,
+		brqrv_top_n_1050,
+		brqrv_top_n_1049,
+		brqrv_top_n_1048,
+		brqrv_top_n_1047,
+		brqrv_top_n_1046,
+		brqrv_top_n_1045,
+		brqrv_top_n_1044,
+		brqrv_top_n_1043,
+		brqrv_top_n_1042,
+		brqrv_top_n_1041,
+		brqrv_top_n_1040,
+		brqrv_top_n_1039,
+		brqrv_top_n_1038,
+		brqrv_top_n_1037,
+		brqrv_top_n_1036,
+		brqrv_top_n_1035,
+		brqrv_top_n_1034,
+		brqrv_top_n_1033,
+		brqrv_top_n_1032,
+		brqrv_top_n_1031,
+		brqrv_top_n_1030,
+		brqrv_top_n_1029,
+		brqrv_top_n_1028,
+		brqrv_top_n_1027 }),
+	.csb1(n_39608),
+	.csb0(\brqrv_top_mem_iccm.iccm_n_385 ),
+	.clk1(brqrv_top_active_l2clk),
+	.clk0(brqrv_top_active_l2clk),
+	.addr1({ logic_0_41_net,
+		logic_0_42_net,
+		logic_0_43_net,
+		logic_0_44_net,
+		logic_0_45_net,
+		logic_0_46_net,
+		logic_0_47_net,
+		logic_0_48_net }),
+	.addr0({ \brqrv_top_mem_iccm.iccm_addr_bank [19],
+		\brqrv_top_mem_iccm.iccm_addr_bank [18],
+		\brqrv_top_mem_iccm.iccm_addr_bank [17],
+		\brqrv_top_mem_iccm.iccm_addr_bank [16],
+		\brqrv_top_mem_iccm.iccm_addr_bank [15],
+		\brqrv_top_mem_iccm.iccm_addr_bank [14],
+		\brqrv_top_mem_iccm.iccm_addr_bank [13],
+		\brqrv_top_mem_iccm.iccm_addr_bank [12] }), 
+	.vssd1(vssd1), 
+	.vccd1(vccd1));
+   sky130_sram_1kbyte_1rw1r_32x256_8 \brqrv_top_mem_iccm.iccm_mem_bank[2].iccm.iccm  (
+	.wmask0({ n_39614,
+		n_39615,
+		n_39616,
+		n_39617 }),
+	.web0(\brqrv_top_mem_iccm.iccm_n_396 ),
+	.dout0({ \brqrv_top_mem_iccm.iccm_iccm_bank_dout [109],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [108],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [107],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [106],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [105],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [104],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [103],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [102],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [101],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [100],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [99],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [98],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [97],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [96],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [95],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [94],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [93],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [92],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [91],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [90],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [89],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [88],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [87],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [86],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [85],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [84],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [83],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [82],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [81],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [80],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [79],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [78] }),
+	.din0({ brqrv_top_n_1019,
+		brqrv_top_n_1018,
+		brqrv_top_n_1017,
+		brqrv_top_n_1016,
+		brqrv_top_n_1015,
+		brqrv_top_n_1014,
+		brqrv_top_n_1013,
+		brqrv_top_n_1012,
+		brqrv_top_n_1011,
+		brqrv_top_n_1010,
+		brqrv_top_n_1009,
+		brqrv_top_n_1008,
+		brqrv_top_n_1007,
+		brqrv_top_n_1006,
+		brqrv_top_n_1005,
+		brqrv_top_n_1004,
+		brqrv_top_n_1003,
+		brqrv_top_n_1002,
+		brqrv_top_n_1001,
+		brqrv_top_n_1000,
+		brqrv_top_n_999,
+		brqrv_top_n_998,
+		brqrv_top_n_997,
+		brqrv_top_n_996,
+		brqrv_top_n_995,
+		brqrv_top_n_994,
+		brqrv_top_n_993,
+		brqrv_top_n_992,
+		brqrv_top_n_991,
+		brqrv_top_n_990,
+		brqrv_top_n_989,
+		brqrv_top_n_988 }),
+	.csb1(n_39613),
+	.csb0(\brqrv_top_mem_iccm.iccm_n_395 ),
+	.clk1(brqrv_top_active_l2clk),
+	.clk0(brqrv_top_active_l2clk),
+	.addr1({ logic_0_49_net,
+		logic_0_50_net,
+		logic_0_51_net,
+		logic_0_52_net,
+		logic_0_53_net,
+		logic_0_54_net,
+		logic_0_55_net,
+		logic_0_56_net }),
+	.addr0({ \brqrv_top_mem_iccm.iccm_addr_bank [19],
+		\brqrv_top_mem_iccm.iccm_addr_bank [18],
+		\brqrv_top_mem_iccm.iccm_addr_bank [17],
+		\brqrv_top_mem_iccm.iccm_addr_bank [16],
+		\brqrv_top_mem_iccm.iccm_addr_bank [15],
+		\brqrv_top_mem_iccm.iccm_addr_bank [14],
+		\brqrv_top_mem_iccm.iccm_addr_bank [13],
+		\brqrv_top_mem_iccm.iccm_addr_bank [12] }), 
+	.vssd1(vssd1), 
+	.vccd1(vccd1));
+   sky130_sram_1kbyte_1rw1r_32x256_8 \brqrv_top_mem_iccm.iccm_mem_bank[3].iccm.iccm  (
+	.wmask0({ n_39619,
+		n_39620,
+		n_39621,
+		n_39622 }),
+	.web0(\brqrv_top_mem_iccm.iccm_n_406 ),
+	.dout0({ \brqrv_top_mem_iccm.iccm_iccm_bank_dout [148],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [147],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [146],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [145],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [144],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [143],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [142],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [141],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [140],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [139],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [138],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [137],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [136],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [135],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [134],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [133],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [132],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [131],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [130],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [129],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [128],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [127],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [126],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [125],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [124],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [123],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [122],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [121],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [120],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [119],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [118],
+		\brqrv_top_mem_iccm.iccm_iccm_bank_dout [117] }),
+	.din0({ brqrv_top_n_1058,
+		brqrv_top_n_1057,
+		brqrv_top_n_1056,
+		brqrv_top_n_1055,
+		brqrv_top_n_1054,
+		brqrv_top_n_1053,
+		brqrv_top_n_1052,
+		brqrv_top_n_1051,
+		brqrv_top_n_1050,
+		brqrv_top_n_1049,
+		brqrv_top_n_1048,
+		brqrv_top_n_1047,
+		brqrv_top_n_1046,
+		brqrv_top_n_1045,
+		brqrv_top_n_1044,
+		brqrv_top_n_1043,
+		brqrv_top_n_1042,
+		brqrv_top_n_1041,
+		brqrv_top_n_1040,
+		brqrv_top_n_1039,
+		brqrv_top_n_1038,
+		brqrv_top_n_1037,
+		brqrv_top_n_1036,
+		brqrv_top_n_1035,
+		brqrv_top_n_1034,
+		brqrv_top_n_1033,
+		brqrv_top_n_1032,
+		brqrv_top_n_1031,
+		brqrv_top_n_1030,
+		brqrv_top_n_1029,
+		brqrv_top_n_1028,
+		brqrv_top_n_1027 }),
+	.csb1(n_39618),
+	.csb0(\brqrv_top_mem_iccm.iccm_n_405 ),
+	.clk1(brqrv_top_active_l2clk),
+	.clk0(brqrv_top_active_l2clk),
+	.addr1({ logic_0_57_net,
+		logic_0_58_net,
+		logic_0_59_net,
+		logic_0_60_net,
+		logic_0_61_net,
+		logic_0_62_net,
+		logic_0_63_net,
+		logic_0_64_net }),
+	.addr0({ \brqrv_top_mem_iccm.iccm_addr_bank [19],
+		\brqrv_top_mem_iccm.iccm_addr_bank [18],
+		\brqrv_top_mem_iccm.iccm_addr_bank [17],
+		\brqrv_top_mem_iccm.iccm_addr_bank [16],
+		\brqrv_top_mem_iccm.iccm_addr_bank [15],
+		\brqrv_top_mem_iccm.iccm_addr_bank [14],
+		\brqrv_top_mem_iccm.iccm_addr_bank [13],
+		\brqrv_top_mem_iccm.iccm_addr_bank [12] }), 
+	.vssd1(vssd1), 
+	.vccd1(vccd1));
+   sky130_fd_sc_hd__inv_1 g604266 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.Y(n_33494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g604270 (
+	.A(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_sideeffectff_genblock.dffs_n_4_BAR ),
+	.Y(n_33493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g604289 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_misaligned_fault_m),
+	.Y(n_33492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g604513 (
+	.A(brqrv_top_brqrv_ifu_ifc_n_451),
+	.Y(brqrv_top_brqrv_ifu_ifc_fetch_uncacheable_bf), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g604489 (
+	.A(n_31106),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc_inc_r[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g604487 (
+	.A(lsu_axi_wvalid),
+	.Y(io_oeb[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g604520 (
+	.A(n_31087),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_nosend_in), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g604519 (
+	.A(n_31461),
+	.Y(n_29893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g604508 (
+	.A(n_31513),
+	.Y(n_29892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g604492 (
+	.A(n_31515),
+	.Y(n_29891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g604525 (
+	.A(brqrv_top_brqrv_dbg_n_4412),
+	.Y(brqrv_top_brqrv_dbg_sbaddress0_incr[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g604526 (
+	.A(brqrv_top_brqrv_dbg_n_4428),
+	.Y(brqrv_top_brqrv_dbg_sbaddress0_incr[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g604527 (
+	.A(brqrv_top_brqrv_dbg_n_4432),
+	.Y(brqrv_top_brqrv_dbg_sbaddress0_incr[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g604517 (
+	.A(n_31289),
+	.Y(brqrv_top_brqrv_dec_dec_tlu_wr_pause_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g604516 (
+	.A(n_32350),
+	.Y(brqrv_top_brqrv_dec_decode_csr_ren_qual_d), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g604512 (
+	.A(n_32351),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_ifu_pmu_bus_busy_in), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g604511 (
+	.A(n_31225),
+	.Y(brqrv_top_brqrv_exu_i0_taken_d), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g604514 (
+	.A(n_32352),
+	.Y(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rden ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g604495 (
+	.A(n_32345),
+	.Y(n_29890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g604518 (
+	.A(brqrv_top_brqrv_dec_tlu_dicad0[30]),
+	.Y(n_29889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g604506 (
+	.A(n_33460),
+	.Y(n_29884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g604507 (
+	.A(n_33466),
+	.Y(n_29882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g604528 (
+	.A(n_31596),
+	.Y(brqrv_top_brqrv_dec_decode_i0_br_unpred), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g604494 (
+	.A(n_33458),
+	.Y(n_29879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g604501 (
+	.A(n_33457),
+	.Y(n_29878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g604530 (
+	.A(n_32628),
+	.Y(n_29877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g604529 (
+	.A(n_32281),
+	.Y(n_29876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g604510 (
+	.A(n_39170),
+	.Y(brqrv_top_brqrv_div_p[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g604524 (
+	.A(n_32777),
+	.Y(brqrv_top_brqrv_mul_p[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 g604522 (
+	.A(n_31288),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g604500 (
+	.A(n_30451),
+	.Y(brqrv_top_brqrv_dec_tlu_force_halt_4648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g604497 (
+	.A(n_30454),
+	.Y(brqrv_top_brqrv_dec_tlu_pmu_fw_tlu_halted), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g604498 (
+	.A(n_30457),
+	.Y(brqrv_top_brqrv_dec_tlu_pmu_fw_halt_req_ns), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g604496 (
+	.A(n_33468),
+	.Y(n_29871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g604499 (
+	.A(n_30448),
+	.Y(brqrv_top_brqrv_lsu_idle_any), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g604544 (
+	.A(n_31750),
+	.Y(n_29896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g604550 (
+	.A(n_31837),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g604566 (
+	.A(n_31867),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g604509 (
+	.A(n_32770),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g604567 (
+	.A(n_31849),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g604557 (
+	.A(n_31848),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g604548 (
+	.A(n_32667),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g604558 (
+	.A(n_31844),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g604563 (
+	.A(n_31843),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g604543 (
+	.A(n_31842),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g604549 (
+	.A(n_31841),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g604561 (
+	.A(n_31840),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g604560 (
+	.A(n_31839),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g604546 (
+	.A(n_31838),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g604556 (
+	.A(n_31850),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g604553 (
+	.A(n_31836),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g604551 (
+	.A(n_31860),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g604547 (
+	.A(n_31859),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g604562 (
+	.A(n_31858),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g604559 (
+	.A(n_31857),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g604564 (
+	.A(n_31856),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g604554 (
+	.A(n_31855),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g604555 (
+	.A(n_32672),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g604552 (
+	.A(n_31854),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g604565 (
+	.A(n_31851),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g604568 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[13]),
+	.Y(n_555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g604570 (
+	.A(n_32456),
+	.Y(brqrv_top_brqrv_dbg_dmcontrol_wren), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g604521 (
+	.A(n_31394),
+	.Y(n_29869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_8 g604571 (
+	.A(la_oenb[65]),
+	.Y(n_29867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g604290 (
+	.A1(n_29866),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_valid_ff ),
+	.B1(n_31386),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g604291 (
+	.A1(n_29865),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_valid_ff ),
+	.B1(n_31386),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g604292 (
+	.A1(n_29864),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_valid_ff ),
+	.B1(n_31386),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g604293 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [22]),
+	.Y(n_29866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g604294 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [20]),
+	.Y(n_29865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g604295 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [21]),
+	.Y(n_29864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g604572 (
+	.A1(n_29863),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_valid_ff ),
+	.B1(n_31386),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g604573 (
+	.A1(n_29862),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_valid_ff ),
+	.B1(n_31386),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g604574 (
+	.A1(n_29861),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_valid_ff ),
+	.B1(n_31386),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g604575 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [25]),
+	.Y(n_29863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g604576 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [23]),
+	.Y(n_29862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g604296 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [24]),
+	.Y(n_29861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 g604249 (
+	.A(n_29867),
+	.B(la_data_in[65]),
+	.Y(n_33518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g604252 (
+	.A(wb_rst_i),
+	.B_N(brqrv_top_brqrv_dbg_dmcontrol_reg[0]),
+	.Y(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g604261 (
+	.A(n_29860),
+	.B(n_33518),
+	.Y(clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g604262 (
+	.A(la_oenb[65]),
+	.B(CTS_1),
+	.Y(n_29860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g604253 (
+	.A(n_31517),
+	.B(n_32457),
+	.X(n_32456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g604254 (
+	.A(brqrv_top_dmi_reg_en),
+	.B(brqrv_top_dmi_reg_wr_en),
+	.Y(n_31517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g604297 (
+	.A(n_31518),
+	.B(n_31459),
+	.X(n_32457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g604298 (
+	.A(brqrv_top_dmi_reg_addr[3]),
+	.B(n_31460),
+	.X(n_31459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g604299 (
+	.A(brqrv_top_dmi_reg_addr[0]),
+	.B(n_31519),
+	.X(n_31518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g604300 (
+	.A(n_29859),
+	.B(brqrv_top_dmi_reg_addr[4]),
+	.Y(n_31460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g604301 (
+	.A(brqrv_top_dmi_reg_addr[1]),
+	.B(brqrv_top_dmi_reg_addr[2]),
+	.X(n_31519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g604302 (
+	.A(brqrv_top_dmi_reg_addr[5]),
+	.B(brqrv_top_dmi_reg_addr[6]),
+	.Y(n_29859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g604303 (
+	.A(wb_rst_i),
+	.Y(n_35010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4b_1 g604306 (
+	.A(n_32627),
+	.B(n_33353),
+	.C(n_32779),
+	.D_N(brqrv_top_brqrv_dec_dec_ib0_valid_d),
+	.X(n_32778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g604307 (
+	.A1(n_264987_BAR),
+	.A2(n_32557),
+	.B1(n_32630),
+	.C1(n_262472_BAR),
+	.Y(n_33353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g604308 (
+	.A(n_32558),
+	.B(n_265185_BAR),
+	.Y(n_32557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 g605023 (
+	.A1(n_33907),
+	.A2(n_33908),
+	.A3(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder2_out [34]),
+	.A4(n_29858),
+	.B1(n_29852),
+	.Y(n_32295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g605024 (
+	.A(n_29857),
+	.B(n_29849),
+	.C(n_29845),
+	.Y(n_29858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g605025 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [30]),
+	.B(n_32301),
+	.C(n_29856),
+	.Y(n_29857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g605026 (
+	.A(n_29855),
+	.B(n_29848),
+	.C(n_29847),
+	.D(n_29846),
+	.Y(n_29856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g605027 (
+	.A(n_33921),
+	.B(n_33926),
+	.C(n_29854),
+	.Y(n_29855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g605028 (
+	.A(n_29853),
+	.B(n_29851),
+	.C(n_29850),
+	.D(n_29844),
+	.Y(n_29854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g605029 (
+	.A(n_33933),
+	.B(n_33938),
+	.C(n_33939),
+	.Y(n_29853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g605030 (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.A2_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder2_out [34]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder2_out [34]),
+	.Y(n_29852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g605031 (
+	.A(n_33934),
+	.B(n_33935),
+	.C(n_33936),
+	.D(n_33937),
+	.Y(n_29851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g605032 (
+	.A(n_33928),
+	.B(n_33929),
+	.C(n_33930),
+	.D(n_33931),
+	.Y(n_29850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g605033 (
+	.A(n_33909),
+	.B(n_33910),
+	.C(n_33911),
+	.D(n_33914),
+	.Y(n_29849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g605034 (
+	.A(n_33922),
+	.B(n_33923),
+	.C(n_33924),
+	.D(n_33925),
+	.Y(n_29848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g605035 (
+	.A(n_33916),
+	.B(n_33917),
+	.C(n_33918),
+	.D(n_33919),
+	.Y(n_29847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g605036 (
+	.A(n_33915),
+	.B(n_33920),
+	.Y(n_29846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g605037 (
+	.A(n_33912),
+	.B(n_33913),
+	.Y(n_29845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g605038 (
+	.A(n_33927),
+	.B(n_33932),
+	.Y(n_29844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g604265 (
+	.A_N(brqrv_top_brqrv_dec_extint_stall),
+	.B(n_32542),
+	.Y(brqrv_top_brqrv_dec_lsu_valid_raw_d), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g604304 (
+	.A(n_32526),
+	.B(n_33353),
+	.X(n_32542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g634871 (
+	.A1(n_33205),
+	.A2(n_2695),
+	.B1(n_33174),
+	.B2(n_31484),
+	.X(brqrv_top_brqrv_dec_decode_i0_result_x[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g634872 (
+	.A1_N(n_2695),
+	.A2_N(n_33197),
+	.B1(n_2695),
+	.B2(n_29407),
+	.Y(brqrv_top_brqrv_dec_decode_i0_result_x[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g634873 (
+	.A1_N(n_2695),
+	.A2_N(n_33184),
+	.B1(n_2695),
+	.B2(n_29405),
+	.Y(brqrv_top_brqrv_dec_decode_i0_result_x[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g634874 (
+	.A1_N(n_2695),
+	.A2_N(n_33186),
+	.B1(n_2695),
+	.B2(n_29406),
+	.Y(brqrv_top_brqrv_dec_decode_i0_result_x[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g634875 (
+	.A1_N(n_2695),
+	.A2_N(n_33183),
+	.B1(n_2695),
+	.B2(n_29414),
+	.Y(brqrv_top_brqrv_dec_decode_i0_result_x[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g634876 (
+	.A1_N(n_2695),
+	.A2_N(n_33182),
+	.B1(n_2695),
+	.B2(n_29410),
+	.Y(brqrv_top_brqrv_dec_decode_i0_result_x[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g634877 (
+	.A(n_29825),
+	.B(n_30570),
+	.X(n_33197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g634878 (
+	.A(n_29826),
+	.B(n_30570),
+	.X(n_33205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g634879 (
+	.A1(n_30661),
+	.A2(n_29819),
+	.B1(n_30662),
+	.B2(n_29626),
+	.C1(n_30658),
+	.Y(n_33186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g634880 (
+	.A1(n_30661),
+	.A2(n_29820),
+	.B1(n_30662),
+	.B2(n_29627),
+	.C1(n_30658),
+	.Y(n_33182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634881 (
+	.A(n_30658),
+	.B(n_29824),
+	.Y(n_33183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g634882 (
+	.A1(n_31484),
+	.A2(n_32620),
+	.B1(n_2695),
+	.B2(n_29403),
+	.Y(brqrv_top_brqrv_dec_decode_i0_result_x[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634883 (
+	.A(n_30658),
+	.B(n_29823),
+	.Y(n_33184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634884 (
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_r_in[5]),
+	.A2(n_29828),
+	.B1(n_30658),
+	.Y(n_30570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g634885 (
+	.A_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_r_in[5]),
+	.B(n_29827),
+	.C(brqrv_top_brqrv_lsu_lsu_pkt_m[11]),
+	.Y(n_30658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634886 (
+	.A(n_30571),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_m[10]),
+	.Y(n_29828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g634887 (
+	.A(n_29827),
+	.B(n_30853),
+	.Y(n_32620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g634888 (
+	.A1(n_30590),
+	.A2(n_29822),
+	.B1(n_30591),
+	.B2(n_29718),
+	.Y(n_29826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g634889 (
+	.A1(brqrv_top_brqrv_lsu_lsu_ld_data_m[7]),
+	.A2(n_28820),
+	.B1(brqrv_top_brqrv_lsu_bus_read_data_m[7]),
+	.B2(brqrv_top_brqrv_lsu_addr_external_m),
+	.X(n_29827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g634890 (
+	.A1(brqrv_top_brqrv_lsu_lsu_ld_data_m[15]),
+	.A2(n_28820),
+	.B1(brqrv_top_brqrv_lsu_bus_read_data_m[15]),
+	.B2(brqrv_top_brqrv_lsu_addr_external_m),
+	.X(n_30571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g634891 (
+	.A1(n_30590),
+	.A2(n_29821),
+	.B1(n_30591),
+	.B2(n_29633),
+	.Y(n_29825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g634892 (
+	.A1(brqrv_top_brqrv_lsu_lsu_ld_data_m[9]),
+	.A2(n_29142),
+	.B1(n_29617),
+	.Y(n_29824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g634893 (
+	.A1(brqrv_top_brqrv_lsu_lsu_ld_data_m[10]),
+	.A2(n_29142),
+	.B1(n_29634),
+	.Y(n_29823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g634894 (
+	.A(n_29822),
+	.Y(brqrv_top_brqrv_lsu_lsu_ld_data_m[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g634895 (
+	.A(n_29821),
+	.Y(brqrv_top_brqrv_lsu_lsu_ld_data_m[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g634896 (
+	.A1(n_33308),
+	.A2(n_490),
+	.B1(n_33290),
+	.B2(n_489),
+	.C1(n_29810),
+	.X(brqrv_top_brqrv_lsu_lsu_ld_data_m[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g634897 (
+	.A1(n_33316),
+	.A2(n_489),
+	.B1(n_33314),
+	.B2(n_490),
+	.C1(n_29812),
+	.Y(n_29822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g634898 (
+	.A1(n_33316),
+	.A2(n_490),
+	.B1(n_33291),
+	.B2(n_489),
+	.C1(n_29811),
+	.Y(n_29821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g634899 (
+	.A1(n_33286),
+	.A2(n_490),
+	.B1(n_33278),
+	.B2(n_489),
+	.C1(n_29818),
+	.X(brqrv_top_brqrv_lsu_lsu_ld_data_m[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g634900 (
+	.A(n_29820),
+	.Y(brqrv_top_brqrv_lsu_lsu_ld_data_m[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g634901 (
+	.A(n_29819),
+	.Y(brqrv_top_brqrv_lsu_lsu_ld_data_m[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g634902 (
+	.A1(n_33275),
+	.A2(n_489),
+	.B1(n_33283),
+	.B2(n_490),
+	.C1(n_29814),
+	.X(brqrv_top_brqrv_lsu_lsu_ld_data_m[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g634903 (
+	.A1(n_33284),
+	.A2(n_490),
+	.B1(n_33276),
+	.B2(n_489),
+	.C1(n_29815),
+	.Y(n_29820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g634904 (
+	.A1(n_33285),
+	.A2(n_490),
+	.B1(n_33277),
+	.B2(n_489),
+	.C1(n_29817),
+	.X(brqrv_top_brqrv_lsu_lsu_ld_data_m[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g634905 (
+	.A1(n_33291),
+	.A2(n_490),
+	.B1(n_33283),
+	.B2(n_489),
+	.C1(n_29813),
+	.X(brqrv_top_brqrv_lsu_lsu_ld_data_m[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g634906 (
+	.A1(n_33288),
+	.A2(n_490),
+	.B1(n_33280),
+	.B2(n_489),
+	.C1(n_29816),
+	.Y(n_29819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g634907 (
+	.A1(n_33301),
+	.A2(n_346),
+	.B1(n_33300),
+	.B2(n_491),
+	.X(n_29818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g634908 (
+	.A1(n_33299),
+	.A2(n_346),
+	.B1(n_33298),
+	.B2(n_491),
+	.X(n_29817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g634909 (
+	.A1(n_33304),
+	.A2(n_346),
+	.B1(n_33303),
+	.B2(n_491),
+	.X(n_29816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g634910 (
+	.A1(n_33295),
+	.A2(n_346),
+	.B1(n_33294),
+	.B2(n_491),
+	.X(n_29815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g634911 (
+	.A1(n_33316),
+	.A2(n_491),
+	.B1(n_33291),
+	.B2(n_346),
+	.X(n_29814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g634912 (
+	.A1(n_33316),
+	.A2(n_346),
+	.B1(n_33314),
+	.B2(n_491),
+	.X(n_29813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g634913 (
+	.A1(n_33309),
+	.A2(n_491),
+	.B1(n_33312),
+	.B2(n_346),
+	.X(n_29812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g634914 (
+	.A1(n_33314),
+	.A2(n_346),
+	.B1(n_33312),
+	.B2(n_491),
+	.X(n_29811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g634915 (
+	.A1(n_33307),
+	.A2(n_346),
+	.B1(n_33306),
+	.B2(n_491),
+	.X(n_29810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g634916 (
+	.A(n_29809),
+	.B(n_29755),
+	.C(n_29724),
+	.Y(n_33304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g634917 (
+	.A(n_29808),
+	.B(n_29752),
+	.C(n_29719),
+	.Y(n_33301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g634918 (
+	.A(n_29807),
+	.B(n_29749),
+	.C(n_29723),
+	.Y(n_33299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g634919 (
+	.A(n_29806),
+	.B(n_29750),
+	.C(n_29722),
+	.Y(n_33295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g634920 (
+	.A(n_29804),
+	.B(n_29740),
+	.C(n_29720),
+	.Y(n_33308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g634921 (
+	.A(n_29805),
+	.B(n_29747),
+	.C(n_29721),
+	.Y(n_33316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g634922 (
+	.A(n_29789),
+	.B(n_29797),
+	.C(n_29656),
+	.D(n_29710),
+	.Y(n_33303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g634923 (
+	.A(n_29780),
+	.B(n_29781),
+	.C(n_29651),
+	.D(n_29704),
+	.Y(n_33277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g634924 (
+	.A(n_29775),
+	.B(n_29774),
+	.C(n_29647),
+	.D(n_29703),
+	.Y(n_33276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g634925 (
+	.A(n_29787),
+	.B(n_29786),
+	.C(n_29657),
+	.D(n_29716),
+	.Y(n_33278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g634926 (
+	.A(n_29791),
+	.B(n_29790),
+	.C(n_29654),
+	.D(n_29705),
+	.Y(n_33280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g634927 (
+	.A(n_29769),
+	.B(n_29768),
+	.C(n_29643),
+	.D(n_29706),
+	.Y(n_33275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g634928 (
+	.A(n_29763),
+	.B(n_29762),
+	.C(n_29652),
+	.D(n_29702),
+	.Y(n_33283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g634929 (
+	.A(n_29770),
+	.B(n_29778),
+	.C(n_29650),
+	.D(n_29713),
+	.Y(n_33309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g634930 (
+	.A(n_29765),
+	.B(n_29764),
+	.C(n_29639),
+	.D(n_29712),
+	.Y(n_33307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g634931 (
+	.A(n_29767),
+	.B(n_29766),
+	.C(n_29641),
+	.D(n_29714),
+	.Y(n_33306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g634932 (
+	.A(n_29779),
+	.B(n_29771),
+	.C(n_29644),
+	.D(n_29707),
+	.Y(n_33294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g634933 (
+	.A(n_29777),
+	.B(n_29776),
+	.C(n_29648),
+	.D(n_29708),
+	.Y(n_33298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g634934 (
+	.A(n_29783),
+	.B(n_29782),
+	.C(n_29637),
+	.D(n_29709),
+	.Y(n_33300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g634935 (
+	.A(n_29785),
+	.B(n_29784),
+	.C(n_29658),
+	.D(n_29715),
+	.Y(n_33312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g634936 (
+	.A(n_29773),
+	.B(n_29772),
+	.C(n_29646),
+	.D(n_29711),
+	.Y(n_33314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g634937 (
+	.A(n_29757),
+	.B(n_29761),
+	.C(n_29655),
+	.D(n_29685),
+	.Y(n_33288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g634938 (
+	.A(n_29754),
+	.B(n_29753),
+	.C(n_29659),
+	.D(n_29680),
+	.Y(n_33286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g634939 (
+	.A(n_29751),
+	.B(n_29745),
+	.C(n_29649),
+	.D(n_29681),
+	.Y(n_33285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g634940 (
+	.A(n_29748),
+	.B(n_29746),
+	.C(n_29645),
+	.D(n_29682),
+	.Y(n_33284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g634941 (
+	.A(n_29742),
+	.B(n_29741),
+	.C(n_29638),
+	.D(n_29684),
+	.Y(n_33290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g634942 (
+	.A(n_29744),
+	.B(n_29743),
+	.C(n_29642),
+	.D(n_29683),
+	.Y(n_33291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g634943 (
+	.A1(n_2730),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[60]),
+	.B1(n_2626),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[28]),
+	.C1(n_29803),
+	.Y(n_29809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g634944 (
+	.A1(n_2730),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[58]),
+	.B1(n_2626),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[26]),
+	.C1(n_29802),
+	.Y(n_29808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g634945 (
+	.A1(n_2730),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[57]),
+	.B1(n_2626),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[25]),
+	.C1(n_29801),
+	.Y(n_29807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g634946 (
+	.A1(n_2730),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[56]),
+	.B1(n_2626),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[24]),
+	.C1(n_29800),
+	.Y(n_29806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g634947 (
+	.A1(n_2730),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[63]),
+	.B1(n_2626),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[31]),
+	.C1(n_29799),
+	.Y(n_29805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g634948 (
+	.A1(n_2730),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[62]),
+	.B1(n_2626),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[30]),
+	.C1(n_29798),
+	.Y(n_29804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634949 (
+	.A1(n_29432),
+	.A2(n_30719),
+	.B1(n_29788),
+	.Y(n_29803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634950 (
+	.A1(n_29431),
+	.A2(n_30719),
+	.B1(n_29796),
+	.Y(n_29802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634951 (
+	.A1(n_29428),
+	.A2(n_30719),
+	.B1(n_29795),
+	.Y(n_29801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634952 (
+	.A1(n_29429),
+	.A2(n_30719),
+	.B1(n_29794),
+	.Y(n_29800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634953 (
+	.A1(n_29408),
+	.A2(n_30719),
+	.B1(n_29793),
+	.Y(n_29799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g634954 (
+	.A1(n_29413),
+	.A2(n_30719),
+	.B1(n_29792),
+	.Y(n_29798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634955 (
+	.A1(n_29726),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[68]),
+	.B1(n_29727),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[36]),
+	.Y(n_29797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g634956 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[26]),
+	.A2(n_2737),
+	.B1(n_29699),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[90]),
+	.C1(n_29598),
+	.C2(brqrv_top_brqrv_lsu_store_data_lo_r[26]),
+	.Y(n_29796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g634957 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[25]),
+	.A2(n_29669),
+	.B1(n_29699),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[89]),
+	.C1(n_29598),
+	.C2(brqrv_top_brqrv_lsu_store_data_lo_r[25]),
+	.Y(n_29795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g634958 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[24]),
+	.A2(n_2737),
+	.B1(n_29699),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[88]),
+	.C1(n_29598),
+	.C2(brqrv_top_brqrv_lsu_store_data_lo_r[24]),
+	.Y(n_29794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g634959 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[31]),
+	.A2(n_29669),
+	.B1(n_29699),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[95]),
+	.C1(n_29598),
+	.C2(brqrv_top_brqrv_lsu_store_data_lo_r[31]),
+	.Y(n_29793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g634960 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[30]),
+	.A2(n_2737),
+	.B1(n_29699),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[94]),
+	.C1(n_29598),
+	.C2(brqrv_top_brqrv_lsu_store_data_lo_r[30]),
+	.Y(n_29792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634961 (
+	.A1(n_29730),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[44]),
+	.B1(n_29729),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[76]),
+	.Y(n_29791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634962 (
+	.A1(n_29717),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[108]),
+	.B1(n_29731),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[12]),
+	.Y(n_29790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634963 (
+	.A1(n_29728),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[100]),
+	.B1(n_29725),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[4]),
+	.Y(n_29789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g634964 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[28]),
+	.A2(n_29669),
+	.B1(n_29699),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[92]),
+	.C1(n_29598),
+	.C2(brqrv_top_brqrv_lsu_store_data_lo_r[28]),
+	.Y(n_29788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634965 (
+	.A1(n_29730),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[42]),
+	.B1(n_29729),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[74]),
+	.Y(n_29787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634966 (
+	.A1(n_29717),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[106]),
+	.B1(n_29731),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[10]),
+	.Y(n_29786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634967 (
+	.A1(n_29734),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[47]),
+	.B1(n_29735),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[15]),
+	.Y(n_29785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634968 (
+	.A1(n_29732),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[79]),
+	.B1(n_29733),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[111]),
+	.Y(n_29784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634969 (
+	.A1(n_29728),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[98]),
+	.B1(n_29725),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[2]),
+	.Y(n_29783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634970 (
+	.A1(n_29726),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[66]),
+	.B1(n_29727),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[34]),
+	.Y(n_29782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634971 (
+	.A1(n_29717),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[105]),
+	.B1(n_29731),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[9]),
+	.Y(n_29781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634972 (
+	.A1(n_29730),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[41]),
+	.B1(n_29729),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[73]),
+	.Y(n_29780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634973 (
+	.A1(n_29728),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[96]),
+	.B1(n_29725),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[0]),
+	.Y(n_29779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634974 (
+	.A1(n_389),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[87]),
+	.B1(n_414),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[119]),
+	.Y(n_29778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634975 (
+	.A1(n_29728),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[97]),
+	.B1(n_29725),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[1]),
+	.Y(n_29777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634976 (
+	.A1(n_29726),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[65]),
+	.B1(n_29727),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[33]),
+	.Y(n_29776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634977 (
+	.A1(n_29730),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[40]),
+	.B1(n_29729),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[72]),
+	.Y(n_29775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634978 (
+	.A1(n_29717),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[104]),
+	.B1(n_29731),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[8]),
+	.Y(n_29774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634979 (
+	.A1(n_29728),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[103]),
+	.B1(n_29725),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[7]),
+	.Y(n_29773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634980 (
+	.A1(n_29726),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[71]),
+	.B1(n_29727),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[39]),
+	.Y(n_29772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634981 (
+	.A1(n_29726),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[64]),
+	.B1(n_29727),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[32]),
+	.Y(n_29771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634982 (
+	.A1(n_558),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[55]),
+	.B1(n_399),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[23]),
+	.Y(n_29770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g634983 (
+	.A(n_29760),
+	.B(n_29756),
+	.X(n_29769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g634984 (
+	.A(n_29758),
+	.B(n_29759),
+	.X(n_29768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634985 (
+	.A1(n_29734),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[46]),
+	.B1(n_29735),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[14]),
+	.Y(n_29767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634986 (
+	.A1(n_29732),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[78]),
+	.B1(n_29733),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[110]),
+	.Y(n_29766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634987 (
+	.A1(n_29728),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[102]),
+	.B1(n_29725),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[6]),
+	.Y(n_29765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634988 (
+	.A1(n_29726),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[70]),
+	.B1(n_29727),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[38]),
+	.Y(n_29764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634989 (
+	.A1(n_29730),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[47]),
+	.B1(n_29729),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[79]),
+	.Y(n_29763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634990 (
+	.A1(n_29717),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[111]),
+	.B1(n_29731),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[15]),
+	.Y(n_29762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634991 (
+	.A1(n_29695),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[116]),
+	.B1(n_29696),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[20]),
+	.Y(n_29761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g634992 (
+	.A_N(n_30761),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[39]),
+	.Y(n_29760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g634993 (
+	.A_N(n_30759),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[7]),
+	.Y(n_29759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g634994 (
+	.A_N(n_30758),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[103]),
+	.Y(n_29758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634995 (
+	.A1(n_29697),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[52]),
+	.B1(n_29698),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[84]),
+	.Y(n_29757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g634996 (
+	.A_N(n_30760),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[71]),
+	.Y(n_29756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634997 (
+	.A1(n_2729),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[28]),
+	.B1(n_2737),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[28]),
+	.Y(n_29755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634998 (
+	.A1(n_29697),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[50]),
+	.B1(n_29698),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[82]),
+	.Y(n_29754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g634999 (
+	.A1(n_29695),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[114]),
+	.B1(n_29696),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[18]),
+	.Y(n_29753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635000 (
+	.A1(n_2729),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[26]),
+	.B1(n_29669),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[26]),
+	.Y(n_29752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635001 (
+	.A1(n_29697),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[49]),
+	.B1(n_29698),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[81]),
+	.Y(n_29751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635002 (
+	.A1(n_29701),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[120]),
+	.B1(n_29669),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[24]),
+	.Y(n_29750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635003 (
+	.A1(n_2729),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[25]),
+	.B1(n_2737),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[25]),
+	.Y(n_29749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635004 (
+	.A1(n_29697),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[48]),
+	.B1(n_29698),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[80]),
+	.Y(n_29748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635005 (
+	.A1(n_2729),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[31]),
+	.B1(n_2737),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[31]),
+	.Y(n_29747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635006 (
+	.A1(n_29695),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[112]),
+	.B1(n_29696),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[16]),
+	.Y(n_29746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635007 (
+	.A1(n_29695),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[113]),
+	.B1(n_29696),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[17]),
+	.Y(n_29745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635008 (
+	.A1(n_29697),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[55]),
+	.B1(n_29698),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[87]),
+	.Y(n_29744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635009 (
+	.A1(n_29695),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[119]),
+	.B1(n_29696),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[23]),
+	.Y(n_29743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635010 (
+	.A1(n_29697),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[54]),
+	.B1(n_29698),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[86]),
+	.Y(n_29742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635011 (
+	.A1(n_29695),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[118]),
+	.B1(n_29696),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[22]),
+	.Y(n_29741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635012 (
+	.A1(n_29701),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[126]),
+	.B1(n_29669),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[30]),
+	.Y(n_29740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g635013 (
+	.A(n_414),
+	.Y(n_30563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g635014 (
+	.A(n_389),
+	.Y(n_30564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g635015 (
+	.A(n_399),
+	.Y(n_30566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g635016 (
+	.A(n_558),
+	.Y(n_30565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635017 (
+	.A(n_29735),
+	.Y(n_30557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635018 (
+	.A(n_29734),
+	.Y(n_30556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635019 (
+	.A(n_29733),
+	.Y(n_30554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635020 (
+	.A(n_29732),
+	.Y(n_30555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g635021 (
+	.A(n_29731),
+	.Y(n_30767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g635022 (
+	.A(n_29730),
+	.Y(n_30769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g635023 (
+	.A(n_29729),
+	.Y(n_30768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g635024 (
+	.A(n_29728),
+	.Y(n_30640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g635025 (
+	.A(n_29727),
+	.Y(n_30638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g635026 (
+	.A(n_29726),
+	.Y(n_30637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g635027 (
+	.A(n_29725),
+	.Y(n_30639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635028 (
+	.A(n_29701),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[124]),
+	.Y(n_29724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635029 (
+	.A(n_29701),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[121]),
+	.Y(n_29723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635030 (
+	.A(n_2729),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[24]),
+	.Y(n_29722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635031 (
+	.A(n_29701),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[127]),
+	.Y(n_29721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635032 (
+	.A(n_2729),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[30]),
+	.Y(n_29720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635033 (
+	.A(n_29701),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[122]),
+	.Y(n_29719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635034 (
+	.A(n_30643),
+	.B(n_29687),
+	.Y(n_414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635035 (
+	.A(n_30645),
+	.B(n_29687),
+	.Y(n_389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635036 (
+	.A(n_30642),
+	.B(n_29687),
+	.Y(n_399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635037 (
+	.A(n_30644),
+	.B(n_29687),
+	.Y(n_558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635038 (
+	.A(n_30642),
+	.B(n_29686),
+	.Y(n_29735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635039 (
+	.A(n_30644),
+	.B(n_29686),
+	.Y(n_29734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635040 (
+	.A(n_30643),
+	.B(n_29686),
+	.Y(n_29733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635041 (
+	.A(n_30645),
+	.B(n_29686),
+	.Y(n_29732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635042 (
+	.A(n_30791),
+	.B(n_29688),
+	.Y(n_29731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635043 (
+	.A(n_30793),
+	.B(n_29688),
+	.Y(n_29730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635044 (
+	.A(n_30792),
+	.B(n_29688),
+	.Y(n_29729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635045 (
+	.A(n_30643),
+	.B(n_29690),
+	.Y(n_29728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635046 (
+	.A(n_30644),
+	.B(n_29690),
+	.Y(n_29727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635047 (
+	.A(n_30645),
+	.B(n_29690),
+	.Y(n_29726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635048 (
+	.A(n_30642),
+	.B(n_29690),
+	.Y(n_29725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g635049 (
+	.A(brqrv_top_brqrv_lsu_bus_read_data_m[31]),
+	.Y(n_29718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g635050 (
+	.A(n_29717),
+	.Y(n_30766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635051 (
+	.A1(n_29676),
+	.A2(n_32884),
+	.B1(n_29670),
+	.B2(n_33327),
+	.Y(n_29716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g635052 (
+	.A1(n_29677),
+	.A2(n_32865),
+	.B1(n_29679),
+	.Y(n_29715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g635053 (
+	.A1(n_29677),
+	.A2(n_32864),
+	.B1(n_29691),
+	.Y(n_29714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g635054 (
+	.A1(n_408),
+	.A2(n_32873),
+	.B1(n_29693),
+	.Y(n_29713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635055 (
+	.A1(n_29675),
+	.A2(n_32856),
+	.B1(n_29674),
+	.B2(n_33323),
+	.Y(n_29712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635056 (
+	.A1(n_29675),
+	.A2(n_32857),
+	.B1(n_29674),
+	.B2(n_33324),
+	.Y(n_29711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635057 (
+	.A1(n_29675),
+	.A2(n_32854),
+	.B1(n_29674),
+	.B2(n_33321),
+	.Y(n_29710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635058 (
+	.A1(n_29675),
+	.A2(n_32852),
+	.B1(n_29674),
+	.B2(n_33319),
+	.Y(n_29709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635059 (
+	.A1(n_29675),
+	.A2(n_32851),
+	.B1(n_29674),
+	.B2(n_33318),
+	.Y(n_29708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635060 (
+	.A1(n_29675),
+	.A2(n_32850),
+	.B1(n_29674),
+	.B2(n_33317),
+	.Y(n_29707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g635061 (
+	.A1(n_2699),
+	.A2(n_32881),
+	.B1(n_29692),
+	.Y(n_29706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635062 (
+	.A1(n_29676),
+	.A2(n_32886),
+	.B1(n_29670),
+	.B2(n_33329),
+	.Y(n_29705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635063 (
+	.A1(n_29676),
+	.A2(n_32883),
+	.B1(n_29670),
+	.B2(n_33326),
+	.Y(n_29704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635064 (
+	.A1(n_29676),
+	.A2(n_32882),
+	.B1(n_29670),
+	.B2(n_33325),
+	.Y(n_29703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635065 (
+	.A1(n_29676),
+	.A2(n_32889),
+	.B1(n_29670),
+	.B2(n_33332),
+	.Y(n_29702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635066 (
+	.A(n_29689),
+	.B(n_29512),
+	.Y(n_30760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g635067 (
+	.A(n_29640),
+	.B(n_29615),
+	.C(n_29508),
+	.D(n_29440),
+	.Y(brqrv_top_brqrv_lsu_bus_read_data_m[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g635068 (
+	.A1(n_29339),
+	.A2(n_38480),
+	.B1(n_29526),
+	.C1(n_29445),
+	.D1(n_29653),
+	.Y(brqrv_top_brqrv_lsu_bus_read_data_m[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635069 (
+	.A(n_29689),
+	.B(n_29548),
+	.Y(n_30758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635070 (
+	.A(n_29689),
+	.B(n_29511),
+	.Y(n_30759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635071 (
+	.A(n_29689),
+	.B(n_29513),
+	.Y(n_30761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635072 (
+	.A(n_30794),
+	.B(n_29688),
+	.Y(n_29717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g635073 (
+	.A(n_29701),
+	.Y(n_30717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g635075 (
+	.A(n_29699),
+	.Y(n_30715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g635076 (
+	.A(n_29698),
+	.Y(n_30778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g635077 (
+	.A(n_29697),
+	.Y(n_30779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g635078 (
+	.A(n_29696),
+	.Y(n_30777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g635079 (
+	.A(n_29695),
+	.Y(n_30776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g635081 (
+	.A(n_30567),
+	.B_N(n_33340),
+	.Y(n_29693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g635082 (
+	.A(n_30762),
+	.B_N(n_33324),
+	.Y(n_29692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g635083 (
+	.A(n_30559),
+	.B_N(n_33331),
+	.Y(n_29691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635084 (
+	.A(n_30794),
+	.B(n_29671),
+	.Y(n_29701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635085 (
+	.A(n_30793),
+	.B(n_29671),
+	.Y(n_2730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635086 (
+	.A(n_30792),
+	.B(n_29671),
+	.Y(n_29699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635087 (
+	.A(n_30792),
+	.B(n_29672),
+	.Y(n_29698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635088 (
+	.A(n_30793),
+	.B(n_29672),
+	.Y(n_29697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635089 (
+	.A(n_30791),
+	.B(n_29672),
+	.Y(n_29696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635090 (
+	.A(n_30794),
+	.B(n_29672),
+	.Y(n_29695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635091 (
+	.A(n_30791),
+	.B(n_29671),
+	.Y(n_2729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635092 (
+	.A1(n_29661),
+	.A2(n_32894),
+	.B1(n_29660),
+	.B2(n_33337),
+	.Y(n_29685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635093 (
+	.A1(n_29661),
+	.A2(n_32896),
+	.B1(n_29660),
+	.B2(n_33339),
+	.Y(n_29684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635094 (
+	.A1(n_29661),
+	.A2(n_32897),
+	.B1(n_29660),
+	.B2(n_33340),
+	.Y(n_29683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635095 (
+	.A1(n_29661),
+	.A2(n_32890),
+	.B1(n_29660),
+	.B2(n_33333),
+	.Y(n_29682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635096 (
+	.A1(n_29661),
+	.A2(n_32891),
+	.B1(n_29660),
+	.B2(n_33334),
+	.Y(n_29681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635097 (
+	.A1(n_29661),
+	.A2(n_32892),
+	.B1(n_29660),
+	.B2(n_33335),
+	.Y(n_29680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g635098 (
+	.A(n_30559),
+	.B_N(n_33332),
+	.Y(n_29679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g635099 (
+	.A(n_29665),
+	.B(n_30646),
+	.C(n_30648),
+	.Y(n_29690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g635100 (
+	.A(n_29662),
+	.B(n_30763),
+	.C(n_30764),
+	.X(n_29689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g635101 (
+	.A(n_29663),
+	.B(n_30774),
+	.C(n_30772),
+	.Y(n_29688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g635102 (
+	.A(n_29664),
+	.B(n_30568),
+	.C(n_30569),
+	.Y(n_29687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g635103 (
+	.A(n_29666),
+	.B(n_30561),
+	.C(n_30560),
+	.Y(n_29686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g635104 (
+	.A(n_408),
+	.Y(n_30562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635105 (
+	.A(n_29677),
+	.Y(n_30558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g635106 (
+	.A(n_29676),
+	.Y(n_30770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g635107 (
+	.A(n_29675),
+	.Y(n_30636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g635108 (
+	.A(n_29674),
+	.Y(n_30641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635109 (
+	.A(n_28874),
+	.B(n_29664),
+	.Y(n_408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g635110 (
+	.A_N(n_29664),
+	.B(brqrv_top_brqrv_lsu_addr_in_pic_m),
+	.Y(n_30567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635111 (
+	.A(n_28874),
+	.B(n_29666),
+	.Y(n_29677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g635112 (
+	.A_N(n_29666),
+	.B(brqrv_top_brqrv_lsu_addr_in_pic_m),
+	.Y(n_30559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635113 (
+	.A(n_28874),
+	.B(n_29663),
+	.Y(n_29676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635114 (
+	.A(n_28874),
+	.B(n_29665),
+	.Y(n_29675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635115 (
+	.A(n_24301),
+	.B(n_29665),
+	.Y(n_29674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g635116 (
+	.A(n_2699),
+	.Y(n_30757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g635117 (
+	.A(n_29670),
+	.Y(n_30771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635118 (
+	.A(n_30714),
+	.Y(n_29669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635119 (
+	.A(n_30713),
+	.Y(n_2737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635120 (
+	.A(n_28874),
+	.B(n_29662),
+	.Y(n_2699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g635121 (
+	.A(n_24301),
+	.B(n_29662),
+	.X(n_30762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g635122 (
+	.A(n_29636),
+	.B(n_30795),
+	.C(n_30799),
+	.Y(n_29672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g635123 (
+	.A(n_29635),
+	.B(n_30721),
+	.C(n_30720),
+	.Y(n_29671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635124 (
+	.A(n_24301),
+	.B(n_29663),
+	.Y(n_29670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635125 (
+	.A(n_29667),
+	.B(n_534),
+	.Y(n_30714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635126 (
+	.A(n_29667),
+	.B(n_544),
+	.Y(n_30713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g635127 (
+	.A(n_29661),
+	.Y(n_30775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g635128 (
+	.A(n_29660),
+	.Y(n_30790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635129 (
+	.A1(n_29625),
+	.A2(brqrv_top_brqrv_lsu_store_data_hi_r[18]),
+	.B1(n_29597),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[18]),
+	.Y(n_29659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635130 (
+	.A1(n_29631),
+	.A2(brqrv_top_brqrv_lsu_store_data_hi_r[15]),
+	.B1(n_29619),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[15]),
+	.Y(n_29658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635131 (
+	.A1(n_29630),
+	.A2(brqrv_top_brqrv_lsu_store_data_hi_r[10]),
+	.B1(n_29599),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[10]),
+	.Y(n_29657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635132 (
+	.A1(n_29628),
+	.A2(brqrv_top_brqrv_lsu_store_data_hi_r[4]),
+	.B1(n_29618),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[4]),
+	.Y(n_29656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635133 (
+	.A1(n_29625),
+	.A2(brqrv_top_brqrv_lsu_store_data_hi_r[20]),
+	.B1(n_29597),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[20]),
+	.Y(n_29655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635134 (
+	.A1(n_29630),
+	.A2(brqrv_top_brqrv_lsu_store_data_hi_r[12]),
+	.B1(n_29599),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[12]),
+	.Y(n_29654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635135 (
+	.A1(n_416),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[23]),
+	.B1(n_417),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[119]),
+	.C1(n_29624),
+	.Y(n_29653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635136 (
+	.A(n_24301),
+	.B(n_29635),
+	.Y(n_29667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g635137 (
+	.A(n_30561),
+	.B(n_30560),
+	.C(n_29573),
+	.D(n_29570),
+	.Y(n_29666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g635138 (
+	.A(n_30646),
+	.B(n_30648),
+	.C(n_29578),
+	.D(n_29577),
+	.Y(n_29665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g635139 (
+	.A(n_30568),
+	.B(n_30569),
+	.C(n_29580),
+	.D(n_29579),
+	.Y(n_29664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g635140 (
+	.A(n_30774),
+	.B(n_30772),
+	.C(n_29559),
+	.D(n_29574),
+	.Y(n_29663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g635141 (
+	.A(n_30763),
+	.B(n_30764),
+	.C(n_29554),
+	.D(n_29576),
+	.Y(n_29662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635142 (
+	.A(n_28874),
+	.B(n_29636),
+	.Y(n_29661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g635143 (
+	.A(n_28874),
+	.B(n_29635),
+	.X(n_30719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635144 (
+	.A(n_24301),
+	.B(n_29636),
+	.Y(n_29660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635145 (
+	.A1(n_29630),
+	.A2(brqrv_top_brqrv_lsu_store_data_hi_r[15]),
+	.B1(n_29599),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[15]),
+	.Y(n_29652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635146 (
+	.A1(n_29630),
+	.A2(brqrv_top_brqrv_lsu_store_data_hi_r[9]),
+	.B1(n_29599),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[9]),
+	.Y(n_29651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g635147 (
+	.A1_N(n_28826),
+	.A2_N(n_30569),
+	.B1(brqrv_top_brqrv_lsu_store_data_hi_r[23]),
+	.B2(n_402),
+	.Y(n_29650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635148 (
+	.A1(n_29625),
+	.A2(brqrv_top_brqrv_lsu_store_data_hi_r[17]),
+	.B1(n_29597),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[17]),
+	.Y(n_29649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635149 (
+	.A1(n_29628),
+	.A2(brqrv_top_brqrv_lsu_store_data_hi_r[1]),
+	.B1(n_29618),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[1]),
+	.Y(n_29648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635150 (
+	.A1(n_29630),
+	.A2(brqrv_top_brqrv_lsu_store_data_hi_r[8]),
+	.B1(n_29599),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[8]),
+	.Y(n_29647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635151 (
+	.A1(n_29628),
+	.A2(brqrv_top_brqrv_lsu_store_data_hi_r[7]),
+	.B1(n_29618),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[7]),
+	.Y(n_29646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635152 (
+	.A1(n_29625),
+	.A2(brqrv_top_brqrv_lsu_store_data_hi_r[16]),
+	.B1(n_29597),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[16]),
+	.Y(n_29645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635153 (
+	.A1(n_29628),
+	.A2(brqrv_top_brqrv_lsu_store_data_hi_r[0]),
+	.B1(n_29618),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[0]),
+	.Y(n_29644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g635154 (
+	.A1(n_28827),
+	.A2(n_30763),
+	.B1(n_29611),
+	.X(n_29643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635155 (
+	.A1(n_29625),
+	.A2(brqrv_top_brqrv_lsu_store_data_hi_r[23]),
+	.B1(n_29597),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[23]),
+	.Y(n_29642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635156 (
+	.A1(n_29631),
+	.A2(brqrv_top_brqrv_lsu_store_data_hi_r[14]),
+	.B1(n_29619),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[14]),
+	.Y(n_29641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635157 (
+	.A1(n_441),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[39]),
+	.B1(n_2709),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[7]),
+	.C1(n_29623),
+	.Y(n_29640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635158 (
+	.A1(n_29628),
+	.A2(brqrv_top_brqrv_lsu_store_data_hi_r[6]),
+	.B1(n_29618),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[6]),
+	.Y(n_29639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635159 (
+	.A1(n_29625),
+	.A2(brqrv_top_brqrv_lsu_store_data_hi_r[22]),
+	.B1(n_29597),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[22]),
+	.Y(n_29638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635160 (
+	.A1(n_29628),
+	.A2(brqrv_top_brqrv_lsu_store_data_hi_r[2]),
+	.B1(n_29618),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[2]),
+	.Y(n_29637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g635161 (
+	.A(n_30662),
+	.B_N(brqrv_top_brqrv_lsu_bus_read_data_m[10]),
+	.Y(n_29634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g635162 (
+	.A(n_30795),
+	.B(n_30799),
+	.C(n_29552),
+	.D(n_29572),
+	.Y(n_29636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g635163 (
+	.A(n_30721),
+	.B(n_30720),
+	.C(n_29553),
+	.D(n_29569),
+	.Y(n_29635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g635164 (
+	.A(brqrv_top_brqrv_lsu_bus_read_data_m[23]),
+	.Y(n_29633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g635165 (
+	.A1(n_30604),
+	.A2(n_31863),
+	.B1(n_30541),
+	.B2(n_28876),
+	.C1(n_29606),
+	.X(brqrv_top_brqrv_lsu_bus_read_data_m[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g635166 (
+	.A1(n_30614),
+	.A2(n_31863),
+	.B1(n_30537),
+	.B2(n_28876),
+	.C1(n_29607),
+	.X(brqrv_top_brqrv_lsu_bus_read_data_m[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g635167 (
+	.A1(n_30820),
+	.A2(n_31863),
+	.B1(n_30535),
+	.B2(n_28876),
+	.C1(n_29605),
+	.X(brqrv_top_brqrv_lsu_bus_read_data_m[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g635168 (
+	.A1(n_30589),
+	.A2(n_29593),
+	.B1(n_30585),
+	.B2(n_29591),
+	.C1(n_29622),
+	.Y(brqrv_top_brqrv_lsu_bus_read_data_m[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635170 (
+	.A(n_29631),
+	.Y(n_30561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635171 (
+	.A(n_30774),
+	.Y(n_29630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635172 (
+	.A(n_30721),
+	.Y(n_2626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635173 (
+	.A(n_30646),
+	.Y(n_29628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635174 (
+	.A(n_29621),
+	.B(n_33315),
+	.Y(n_30763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g635175 (
+	.A_N(n_30647),
+	.B(n_33310),
+	.Y(n_30568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635176 (
+	.A(n_29143),
+	.B(n_30647),
+	.Y(n_29631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635177 (
+	.A(n_29621),
+	.B(n_33313),
+	.Y(n_30774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635178 (
+	.A(n_29621),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_r[8]),
+	.Y(n_30721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g635179 (
+	.A_N(n_30647),
+	.B(n_33315),
+	.Y(n_30646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g635180 (
+	.A(n_29627),
+	.Y(brqrv_top_brqrv_lsu_bus_read_data_m[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g635181 (
+	.A(n_29626),
+	.Y(brqrv_top_brqrv_lsu_bus_read_data_m[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635182 (
+	.A(n_30795),
+	.Y(n_29625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635183 (
+	.A1(n_537),
+	.A2(n_29616),
+	.B1(n_30589),
+	.B2(n_29591),
+	.Y(n_29624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635184 (
+	.A1(n_31863),
+	.A2(n_29620),
+	.B1(n_29338),
+	.B2(n_38479),
+	.Y(n_29623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g635185 (
+	.A1(n_30620),
+	.A2(n_537),
+	.B1(n_29614),
+	.Y(n_29627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g635186 (
+	.A1(n_30624),
+	.A2(n_537),
+	.B1(n_29613),
+	.X(brqrv_top_brqrv_lsu_bus_read_data_m[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g635187 (
+	.A1(n_31863),
+	.A2(n_29616),
+	.B1(n_30818),
+	.B2(n_29590),
+	.C1(n_29603),
+	.Y(brqrv_top_brqrv_lsu_bus_read_data_m[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g635188 (
+	.A1(n_30586),
+	.A2(n_537),
+	.B1(n_29612),
+	.Y(n_29626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635189 (
+	.A(n_29621),
+	.B(n_33310),
+	.Y(n_30795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g635190 (
+	.A(n_537),
+	.B(n_29620),
+	.X(n_29622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g635191 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_r[4]),
+	.B(n_29402),
+	.C(n_29595),
+	.D(n_30796),
+	.X(n_30647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g635192 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_r[4]),
+	.B(n_29481),
+	.C(n_29596),
+	.D(n_30796),
+	.Y(n_29621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635193 (
+	.A(n_29619),
+	.Y(n_30560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635194 (
+	.A(n_29618),
+	.Y(n_30648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g635195 (
+	.A1(n_31874),
+	.A2(n_31872),
+	.B1_N(n_32817),
+	.Y(brqrv_top_brqrv_dma_mem_addr[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g635196 (
+	.A1(n_30682),
+	.A2(n_31863),
+	.B1(n_30534),
+	.B2(n_28876),
+	.C1(n_29560),
+	.X(brqrv_top_brqrv_lsu_bus_read_data_m[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g635197 (
+	.A(n_30662),
+	.B_N(brqrv_top_brqrv_lsu_bus_read_data_m[9]),
+	.Y(n_29617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g635198 (
+	.A1(n_30605),
+	.A2(n_31862),
+	.B1(n_2611),
+	.B2(n_33265),
+	.C1(n_29461),
+	.X(n_30604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g635199 (
+	.A1(n_30615),
+	.A2(n_31862),
+	.B1(n_2611),
+	.B2(n_33266),
+	.C1(n_29462),
+	.X(n_30614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g635200 (
+	.A1(n_33267),
+	.A2(n_2611),
+	.B1(n_29435),
+	.B2(n_28885),
+	.C1(n_29600),
+	.C2(n_31862),
+	.Y(n_29620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g635201 (
+	.A_N(n_30649),
+	.B(n_33311),
+	.Y(n_30569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635202 (
+	.A(n_30773),
+	.B(n_30649),
+	.Y(n_29619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635203 (
+	.A(n_30765),
+	.B(n_30649),
+	.Y(n_29618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g635204 (
+	.A1_N(n_30850),
+	.A2_N(n_29590),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[71]),
+	.B2(n_2598),
+	.Y(n_29615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635205 (
+	.A1(n_30850),
+	.A2(n_29594),
+	.B1(n_30818),
+	.B2(n_19496),
+	.Y(n_29614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g635206 (
+	.A1(n_30818),
+	.A2(n_29588),
+	.B1(n_29602),
+	.Y(n_29613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635207 (
+	.A1(n_30850),
+	.A2(n_29601),
+	.B1(n_30818),
+	.B2(n_29589),
+	.Y(n_29612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g635208 (
+	.A1(n_2738),
+	.A2(n_33262),
+	.B1(n_30676),
+	.B2(n_28884),
+	.C1(n_29608),
+	.X(n_30624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g635209 (
+	.A1(n_31862),
+	.A2(n_29592),
+	.B1(n_29515),
+	.Y(n_30620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g635210 (
+	.A1(n_2611),
+	.A2(n_33260),
+	.B1(n_29434),
+	.B2(n_28885),
+	.C1(n_29604),
+	.X(n_30820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635211 (
+	.A1(n_2738),
+	.A2(n_33267),
+	.B1(n_29435),
+	.B2(n_28884),
+	.C1(n_29610),
+	.Y(n_29616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g635212 (
+	.A1(n_2738),
+	.A2(n_33264),
+	.B1(n_30598),
+	.B2(n_28884),
+	.C1(n_29609),
+	.X(n_30586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g635213 (
+	.A_N(n_30764),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[7]),
+	.Y(n_29611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635214 (
+	.A(n_31862),
+	.B(n_29593),
+	.Y(n_29610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g635215 (
+	.A(n_31862),
+	.B_N(n_30587),
+	.Y(n_29609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g635216 (
+	.A(n_31862),
+	.B_N(n_30625),
+	.Y(n_29608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g635217 (
+	.A(n_30589),
+	.B_N(n_30539),
+	.Y(n_29607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g635218 (
+	.A(n_30589),
+	.B_N(n_30543),
+	.Y(n_29606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635219 (
+	.A(n_30589),
+	.B(n_29592),
+	.Y(n_29605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635220 (
+	.A(n_2710),
+	.B(n_29594),
+	.Y(n_29604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635221 (
+	.A(n_29600),
+	.B(n_432),
+	.Y(n_29603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635222 (
+	.A(n_30673),
+	.B(n_432),
+	.Y(n_29602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g635223 (
+	.A1(n_32623),
+	.A2(n_537),
+	.B1(n_29571),
+	.X(brqrv_top_brqrv_lsu_bus_read_data_m[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g635224 (
+	.A(n_30800),
+	.B(n_29480),
+	.C(n_29501),
+	.D(n_29551),
+	.X(n_30649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g635225 (
+	.A(n_29601),
+	.Y(n_32619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635226 (
+	.A(n_29599),
+	.Y(n_30772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635227 (
+	.A(n_30720),
+	.Y(n_29598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635228 (
+	.A(n_30799),
+	.Y(n_29597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g635229 (
+	.A1(brqrv_top_brqrv_lsu_lsu_addr_m[19]),
+	.A2(n_28842),
+	.B1(n_29398),
+	.C1(n_29502),
+	.D1(n_29535),
+	.Y(n_29596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g635230 (
+	.A(n_29561),
+	.B(n_29544),
+	.C(n_29287),
+	.D(n_29388),
+	.Y(n_29595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635231 (
+	.A(n_29583),
+	.B(n_29387),
+	.Y(n_30615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635232 (
+	.A1(n_29139),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[84]),
+	.B1(n_29136),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[20]),
+	.C1(n_29581),
+	.Y(n_29601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635233 (
+	.A(n_29584),
+	.B(n_29383),
+	.Y(n_30673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g635234 (
+	.A1(n_29339),
+	.A2(n_30825),
+	.B1(n_29332),
+	.C1(n_29389),
+	.D1(n_29532),
+	.Y(n_29600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g635235 (
+	.A_N(n_32909),
+	.B(n_29582),
+	.Y(n_31872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635236 (
+	.A(n_29585),
+	.B(n_29386),
+	.Y(n_30605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g635237 (
+	.A_N(n_30765),
+	.B(n_29587),
+	.Y(n_30764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635238 (
+	.A(n_30773),
+	.B(n_29586),
+	.Y(n_29599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635239 (
+	.A(n_29587),
+	.B(n_33349),
+	.Y(n_30720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635240 (
+	.A(n_29587),
+	.B(n_33311),
+	.Y(n_30799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g635241 (
+	.A(n_29589),
+	.Y(n_30595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g635242 (
+	.A(n_29588),
+	.Y(n_30671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635243 (
+	.A1(n_2649),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[16]),
+	.B1(n_2655),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[48]),
+	.C1(n_29558),
+	.Y(n_29594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635244 (
+	.A1(n_28913),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[103]),
+	.B1(n_28872),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[7]),
+	.C1(n_29562),
+	.Y(n_29593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g635245 (
+	.A(n_29518),
+	.B(n_29202),
+	.C(n_29122),
+	.D(n_29123),
+	.Y(n_30539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g635246 (
+	.A(n_29539),
+	.B(n_29200),
+	.C(n_29120),
+	.D(n_29121),
+	.Y(n_30537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g635247 (
+	.A(n_29534),
+	.B(n_29197),
+	.C(n_29119),
+	.D(n_29118),
+	.Y(n_30543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635248 (
+	.A1(n_28913),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[96]),
+	.B1(n_28872),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[0]),
+	.C1(n_29563),
+	.Y(n_29592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635249 (
+	.A1(n_2614),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[15]),
+	.B1(n_2720),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[111]),
+	.C1(n_29567),
+	.Y(n_29591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635250 (
+	.A1(n_2719),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[79]),
+	.B1(n_2615),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[47]),
+	.C1(n_29566),
+	.Y(n_29590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g635251 (
+	.A(n_29517),
+	.B(n_29189),
+	.C(n_29116),
+	.D(n_29115),
+	.Y(n_30587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635252 (
+	.A1(n_2719),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[76]),
+	.B1(n_2615),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[44]),
+	.C1(n_29565),
+	.Y(n_29589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g635253 (
+	.A(n_29516),
+	.B(n_29184),
+	.C(n_29114),
+	.D(n_29130),
+	.Y(n_30625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635254 (
+	.A1(n_2615),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[42]),
+	.B1(n_2719),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[74]),
+	.C1(n_29564),
+	.Y(n_29588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g635255 (
+	.A(n_29587),
+	.Y(n_29586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g635256 (
+	.A1(n_29136),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[21]),
+	.B1(n_29555),
+	.Y(n_29585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g635257 (
+	.A1(n_29139),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[82]),
+	.B1(n_29568),
+	.Y(n_29584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g635258 (
+	.A1(n_29139),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[86]),
+	.B1(n_29556),
+	.Y(n_29583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g635259 (
+	.A(n_32844),
+	.B(n_32845),
+	.C(n_32846),
+	.D(n_39123),
+	.Y(n_29582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g635260 (
+	.A1(n_29345),
+	.A2(n_30825),
+	.B1(n_29557),
+	.Y(n_29581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635261 (
+	.A1(n_29549),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[6]),
+	.B1(n_29503),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[2]),
+	.Y(n_29580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635262 (
+	.A1(n_29550),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[10]),
+	.B1(n_29504),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[14]),
+	.Y(n_29579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635263 (
+	.A1(n_29549),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[4]),
+	.B1(n_29503),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[0]),
+	.Y(n_29578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635264 (
+	.A1(n_29550),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[8]),
+	.B1(n_29504),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[12]),
+	.Y(n_29577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635265 (
+	.A1(n_29548),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[12]),
+	.B1(n_29512),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[8]),
+	.Y(n_29576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g635266 (
+	.A1(n_31862),
+	.A2(n_29529),
+	.B1(n_29486),
+	.Y(n_32623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g635267 (
+	.A1(n_2614),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[13]),
+	.B1(n_2720),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[109]),
+	.C1(n_29538),
+	.X(n_30541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g635268 (
+	.A1(n_2710),
+	.A2(n_29530),
+	.B1(n_29479),
+	.Y(n_30682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g635269 (
+	.A(n_30800),
+	.B(n_29463),
+	.C(n_29493),
+	.D(n_29498),
+	.Y(n_29587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635271 (
+	.A1(n_29548),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[13]),
+	.B1(n_29512),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[9]),
+	.Y(n_29574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635272 (
+	.A1(n_33043),
+	.A2(n_29520),
+	.B1(n_24348),
+	.B2(n_29483),
+	.Y(brqrv_top_brqrv_ifu_i0_bp_index[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635273 (
+	.A1(n_29549),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[5]),
+	.B1(n_29503),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[1]),
+	.Y(n_29573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635274 (
+	.A1(n_29548),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[14]),
+	.B1(n_29512),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[10]),
+	.Y(n_29572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635275 (
+	.A1(n_30850),
+	.A2(n_29530),
+	.B1(n_30818),
+	.B2(n_29531),
+	.Y(n_29571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635276 (
+	.A1(n_33043),
+	.A2(n_29525),
+	.B1(n_24348),
+	.B2(n_29488),
+	.Y(brqrv_top_brqrv_ifu_i0_bp_index[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635277 (
+	.A1(n_29550),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[9]),
+	.B1(n_29504),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[13]),
+	.Y(n_29570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635278 (
+	.A1(n_29548),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[15]),
+	.B1(n_29512),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[11]),
+	.Y(n_29569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635279 (
+	.A1(n_33043),
+	.A2(n_29527),
+	.B1(n_24348),
+	.B2(n_29476),
+	.Y(brqrv_top_brqrv_ifu_i0_bp_index[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635280 (
+	.A1(n_33043),
+	.A2(n_29521),
+	.B1(n_24348),
+	.B2(n_29477),
+	.Y(brqrv_top_brqrv_ifu_i0_bp_index[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635281 (
+	.A1(n_33043),
+	.A2(n_29523),
+	.B1(n_24348),
+	.B2(n_29473),
+	.Y(brqrv_top_brqrv_ifu_i0_bp_index[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635282 (
+	.A1(n_33043),
+	.A2(n_29524),
+	.B1(n_24348),
+	.B2(n_29475),
+	.Y(brqrv_top_brqrv_ifu_i0_bp_index[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635283 (
+	.A1(n_33043),
+	.A2(n_29528),
+	.B1(n_24348),
+	.B2(n_29478),
+	.Y(brqrv_top_brqrv_ifu_i0_bp_index[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635284 (
+	.A1(n_2719),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[72]),
+	.B1(n_2615),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[40]),
+	.C1(n_29545),
+	.Y(n_19496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g635285 (
+	.A1(n_2614),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[8]),
+	.B1(n_2720),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[104]),
+	.C1(n_29546),
+	.X(n_30535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g635286 (
+	.A1(n_29346),
+	.A2(n_30825),
+	.B1(n_29522),
+	.Y(n_29568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635287 (
+	.A(n_29540),
+	.B(n_29209),
+	.Y(n_29567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635288 (
+	.A(n_29547),
+	.B(n_29191),
+	.Y(n_29566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635289 (
+	.A(n_29537),
+	.B(n_29186),
+	.Y(n_29565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635290 (
+	.A(n_29536),
+	.B(n_29182),
+	.Y(n_29564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635291 (
+	.A(n_29533),
+	.B(n_29193),
+	.Y(n_29563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635292 (
+	.A(n_29519),
+	.B(n_29260),
+	.Y(n_29562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g635293 (
+	.A(n_28922),
+	.B(n_28923),
+	.C(n_29381),
+	.D(n_29505),
+	.Y(n_29561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635294 (
+	.A(n_30589),
+	.B(n_29529),
+	.Y(n_29560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635295 (
+	.A1(n_29513),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[5]),
+	.B1(n_29511),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[1]),
+	.Y(n_29559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g635296 (
+	.A1(n_2666),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[112]),
+	.B1(n_29136),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[16]),
+	.C1(n_29514),
+	.X(n_29558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g635297 (
+	.A1(n_2666),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[116]),
+	.B1(n_29543),
+	.Y(n_29557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g635298 (
+	.A1(n_29340),
+	.A2(n_30825),
+	.B1(n_29541),
+	.Y(n_29556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g635299 (
+	.A1(n_29343),
+	.A2(n_30825),
+	.B1(n_29542),
+	.Y(n_29555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635300 (
+	.A1(n_29513),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[4]),
+	.B1(n_29511),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[0]),
+	.Y(n_29554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635301 (
+	.A1(n_29513),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[7]),
+	.B1(n_29511),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[3]),
+	.Y(n_29553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635302 (
+	.A1(n_29513),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[6]),
+	.B1(n_29511),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[2]),
+	.Y(n_29552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g635303 (
+	.A(n_29507),
+	.B(n_29494),
+	.C(n_28954),
+	.D(n_28955),
+	.Y(n_29551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635304 (
+	.A(n_29550),
+	.Y(n_30645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635305 (
+	.A(n_29549),
+	.Y(n_30644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g635306 (
+	.A(n_29548),
+	.Y(n_30794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g635307 (
+	.A1(n_33242),
+	.A2(n_2619),
+	.B1(n_2725),
+	.B2(n_33243),
+	.C1(n_2618),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[111]),
+	.Y(n_29547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635308 (
+	.A(n_29499),
+	.B(n_29192),
+	.Y(n_29546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635309 (
+	.A(n_29497),
+	.B(n_29179),
+	.Y(n_29545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g635310 (
+	.A1(n_33382),
+	.A2(n_22563),
+	.B1(n_29496),
+	.Y(n_29544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g635311 (
+	.A1(n_2655),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[52]),
+	.B1(n_2649),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[20]),
+	.C1(n_29509),
+	.X(n_29543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g635312 (
+	.A1(n_33223),
+	.A2(n_28819),
+	.B1(n_2655),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[53]),
+	.C1(n_2649),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[21]),
+	.Y(n_29542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g635313 (
+	.A1(n_33225),
+	.A2(n_28819),
+	.B1(n_2655),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[54]),
+	.C1(n_2649),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[22]),
+	.Y(n_29541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g635314 (
+	.A1(n_33242),
+	.A2(n_2723),
+	.B1(n_2718),
+	.B2(n_33243),
+	.C1(n_2610),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[79]),
+	.Y(n_29540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g635315 (
+	.A1(n_33240),
+	.A2(n_2723),
+	.B1(n_2718),
+	.B2(n_33241),
+	.C1(n_2610),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[78]),
+	.Y(n_29539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635316 (
+	.A(n_29500),
+	.B(n_29195),
+	.Y(n_29538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g635317 (
+	.A1(n_33236),
+	.A2(n_2619),
+	.B1(n_2725),
+	.B2(n_33237),
+	.C1(n_28917),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[12]),
+	.Y(n_29537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g635318 (
+	.A1(n_33232),
+	.A2(n_2619),
+	.B1(n_2725),
+	.B2(n_33233),
+	.C1(n_2618),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[106]),
+	.Y(n_29536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635319 (
+	.A1(n_24373),
+	.A2(brqrv_top_brqrv_lsu_end_addr_r[2]),
+	.B1(n_33378),
+	.B2(n_24148),
+	.C1(n_29506),
+	.Y(n_29535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g635320 (
+	.A1(n_33254),
+	.A2(n_28873),
+	.B1(n_29134),
+	.B2(n_30606),
+	.C1(n_2786),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[37]),
+	.Y(n_29534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g635321 (
+	.A1(n_33244),
+	.A2(n_28873),
+	.B1(n_29134),
+	.B2(n_30826),
+	.C1(n_28871),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[64]),
+	.Y(n_29533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g635322 (
+	.A1(n_33227),
+	.A2(n_28819),
+	.B1(n_2655),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[55]),
+	.C1(n_2649),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[23]),
+	.Y(n_29532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g635323 (
+	.A(n_29144),
+	.B(n_29024),
+	.C(n_29021),
+	.D(n_29491),
+	.Y(n_29550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g635324 (
+	.A(n_29145),
+	.B(n_28964),
+	.C(n_28965),
+	.D(n_29489),
+	.Y(n_29549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g635325 (
+	.A(n_29147),
+	.B(n_28978),
+	.C(n_28977),
+	.D(n_29490),
+	.Y(n_29548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g635326 (
+	.A(n_29531),
+	.Y(n_30681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g635327 (
+	.A(n_29469),
+	.B(n_33029),
+	.Y(n_29528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g635328 (
+	.A(n_29471),
+	.B(n_33037),
+	.Y(n_29527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g635329 (
+	.A1(n_568),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[23]),
+	.B1(n_29510),
+	.Y(n_29526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g635330 (
+	.A(n_29468),
+	.B(n_33041),
+	.Y(n_29525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g635331 (
+	.A(n_29470),
+	.B(n_33031),
+	.Y(n_29524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g635332 (
+	.A(n_29467),
+	.B(n_33039),
+	.Y(n_29523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g635333 (
+	.A1(n_33217),
+	.A2(n_28819),
+	.B1(n_2655),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[50]),
+	.C1(n_2649),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[18]),
+	.Y(n_29522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g635334 (
+	.A(n_29472),
+	.B(n_33033),
+	.Y(n_29521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g635335 (
+	.A(n_29466),
+	.B(n_33035),
+	.Y(n_29520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g635336 (
+	.A1(n_33258),
+	.A2(n_28873),
+	.B1(n_29134),
+	.B2(n_30659),
+	.C1(n_28871),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[71]),
+	.Y(n_29519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g635337 (
+	.A1(n_33256),
+	.A2(n_28873),
+	.B1(n_29134),
+	.B2(n_30616),
+	.C1(n_2786),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[38]),
+	.Y(n_29518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g635338 (
+	.A1(n_33252),
+	.A2(n_28873),
+	.B1(n_29134),
+	.B2(n_30596),
+	.C1(n_2786),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[36]),
+	.Y(n_29517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g635339 (
+	.A1(n_33248),
+	.A2(n_28873),
+	.B1(n_29134),
+	.B2(n_30674),
+	.C1(n_2786),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[34]),
+	.Y(n_29516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635340 (
+	.A1(n_2738),
+	.A2(n_33260),
+	.B1(n_29434),
+	.B2(n_28884),
+	.Y(n_29515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g635341 (
+	.A1(n_28819),
+	.A2(n_33213),
+	.B1(n_29139),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[80]),
+	.C1(n_29424),
+	.X(n_29514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g635342 (
+	.A1(n_2720),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[105]),
+	.B1(n_2614),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[9]),
+	.C1(n_29485),
+	.X(n_30534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635343 (
+	.A1(n_2719),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[73]),
+	.B1(n_2615),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[41]),
+	.C1(n_29482),
+	.Y(n_29531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635344 (
+	.A1(n_2649),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[17]),
+	.B1(n_2655),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[49]),
+	.C1(n_29484),
+	.Y(n_29530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635345 (
+	.A1(n_28913),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[97]),
+	.B1(n_28872),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[1]),
+	.C1(n_29495),
+	.Y(n_29529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g635346 (
+	.A(n_29513),
+	.Y(n_30793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g635347 (
+	.A(n_29512),
+	.Y(n_30792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g635348 (
+	.A(n_29511),
+	.Y(n_30791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g635349 (
+	.A(n_30588),
+	.B_N(n_33227),
+	.Y(n_29510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g635350 (
+	.A(n_32537),
+	.B_N(n_33221),
+	.Y(n_29509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g635351 (
+	.A_N(n_30849),
+	.B(n_33258),
+	.Y(n_29508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g635352 (
+	.A(n_28888),
+	.B(n_29094),
+	.C(n_29399),
+	.D(n_29474),
+	.Y(n_29507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g635353 (
+	.A1(n_24376),
+	.A2(n_33377),
+	.B1(n_28941),
+	.C1(n_29397),
+	.D1(n_29487),
+	.Y(n_29506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g635354 (
+	.A1(n_33398),
+	.A2(n_23448),
+	.B1(n_29390),
+	.C1(n_29384),
+	.D1(n_29391),
+	.Y(n_29505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g635356 (
+	.A(n_29145),
+	.B(n_28989),
+	.C(n_28988),
+	.D(n_29451),
+	.Y(n_29513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g635357 (
+	.A(n_29144),
+	.B(n_28982),
+	.C(n_28983),
+	.D(n_29456),
+	.Y(n_29512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g635358 (
+	.A(n_29146),
+	.B(n_28996),
+	.C(n_28995),
+	.D(n_29457),
+	.Y(n_29511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635359 (
+	.A(n_29504),
+	.Y(n_30643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635360 (
+	.A(n_29503),
+	.Y(n_30642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g635361 (
+	.A(n_28948),
+	.B(n_28947),
+	.C(n_29447),
+	.D(n_29454),
+	.Y(n_29502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g635362 (
+	.A(n_29492),
+	.B(n_29297),
+	.C(n_28959),
+	.D(n_29022),
+	.Y(n_29501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g635363 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[13]),
+	.A2(n_2726),
+	.B1(n_2718),
+	.B2(n_33239),
+	.C1(n_2723),
+	.C2(n_33238),
+	.Y(n_29500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g635364 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[8]),
+	.A2(n_2726),
+	.B1(n_2718),
+	.B2(n_33229),
+	.C1(n_2723),
+	.C2(n_33228),
+	.Y(n_29499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g635365 (
+	.A(n_29458),
+	.B(n_29392),
+	.C(n_28926),
+	.D(n_28927),
+	.Y(n_29498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g635366 (
+	.A1(n_33228),
+	.A2(n_2619),
+	.B1(n_2618),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[104]),
+	.C1(n_2725),
+	.C2(n_33229),
+	.Y(n_29497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635367 (
+	.A1_N(brqrv_top_brqrv_dec_i0_predict_p_d[38]),
+	.A2_N(n_31609),
+	.B1(brqrv_top_brqrv_ifu_i0_pc4),
+	.B2(n_31609),
+	.Y(brqrv_top_brqrv_dec_decode_last_br_immed_d[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635368 (
+	.A1_N(brqrv_top_brqrv_dec_i0_predict_p_d[39]),
+	.A2_N(n_31609),
+	.B1(brqrv_top_brqrv_ifu_aln_first2B),
+	.B2(n_31609),
+	.Y(brqrv_top_brqrv_dec_decode_last_br_immed_d[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g635369 (
+	.A1(n_22563),
+	.A2(n_33382),
+	.B1(n_29107),
+	.C1(n_28925),
+	.D1(n_29396),
+	.Y(n_29496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g635370 (
+	.A(n_29147),
+	.B(n_28960),
+	.C(n_28968),
+	.D(n_29449),
+	.Y(n_29504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g635371 (
+	.A(n_29146),
+	.B(n_29016),
+	.C(n_28972),
+	.D(n_29450),
+	.Y(n_29503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g635372 (
+	.A(n_31609),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[48]),
+	.X(brqrv_top_brqrv_dec_decode_last_br_immed_d[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g635373 (
+	.A(n_31609),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[47]),
+	.X(brqrv_top_brqrv_dec_decode_last_br_immed_d[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g635374 (
+	.A(n_31609),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[46]),
+	.X(brqrv_top_brqrv_dec_decode_last_br_immed_d[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g635375 (
+	.A(n_31609),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[45]),
+	.X(brqrv_top_brqrv_dec_decode_last_br_immed_d[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g635376 (
+	.A(n_31609),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[44]),
+	.X(brqrv_top_brqrv_dec_decode_last_br_immed_d[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g635377 (
+	.A(n_31609),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[43]),
+	.X(brqrv_top_brqrv_dec_decode_last_br_immed_d[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g635378 (
+	.A(n_31609),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[42]),
+	.X(brqrv_top_brqrv_dec_decode_last_br_immed_d[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g635379 (
+	.A(n_31609),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[41]),
+	.X(brqrv_top_brqrv_dec_decode_last_br_immed_d[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g635380 (
+	.A(n_31609),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[40]),
+	.X(brqrv_top_brqrv_dec_decode_last_br_immed_d[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g635381 (
+	.A1(n_29369),
+	.A2(n_30655),
+	.B1(n_29117),
+	.C1(n_29452),
+	.Y(n_29495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g635382 (
+	.A(n_28895),
+	.B(n_29095),
+	.C(n_29096),
+	.D(n_29292),
+	.Y(n_29494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g635383 (
+	.A(n_31609),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[31]),
+	.X(brqrv_top_brqrv_dec_decode_last_br_immed_d[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g635384 (
+	.A(n_29393),
+	.B(n_29286),
+	.C(n_28932),
+	.D(n_29002),
+	.Y(n_29493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g635385 (
+	.A(n_28899),
+	.B(n_29098),
+	.C(n_29100),
+	.D(n_29295),
+	.Y(n_29492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g635386 (
+	.A(n_29459),
+	.B(n_29296),
+	.C(n_29019),
+	.D(n_29009),
+	.Y(n_29491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g635387 (
+	.A(n_29455),
+	.B(n_29277),
+	.C(n_28974),
+	.D(n_28975),
+	.Y(n_29490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g635388 (
+	.A(n_29465),
+	.B(n_29271),
+	.C(n_28962),
+	.D(n_28963),
+	.Y(n_29489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g635389 (
+	.A1(n_30847),
+	.A2(n_29349),
+	.B1(n_30848),
+	.B2(n_29348),
+	.C1(n_29417),
+	.Y(n_33252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g635390 (
+	.A1(n_30847),
+	.A2(n_29352),
+	.B1(n_30848),
+	.B2(n_29351),
+	.C1(n_29416),
+	.Y(n_33248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g635391 (
+	.A1(n_30846),
+	.A2(n_29353),
+	.B1(n_30831),
+	.B2(n_29355),
+	.C1(n_29419),
+	.Y(n_33225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g635392 (
+	.A1(n_30846),
+	.A2(n_29349),
+	.B1(n_30831),
+	.B2(n_29348),
+	.C1(n_29420),
+	.Y(n_33221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g635393 (
+	.A1(n_30846),
+	.A2(n_29352),
+	.B1(n_30831),
+	.B2(n_29351),
+	.C1(n_29421),
+	.Y(n_33217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g635394 (
+	.A1(n_30846),
+	.A2(n_29348),
+	.B1(n_30831),
+	.B2(n_29345),
+	.C1(n_29436),
+	.Y(n_33264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g635395 (
+	.A1(n_30846),
+	.A2(n_29351),
+	.B1(n_30831),
+	.B2(n_29346),
+	.C1(n_29438),
+	.Y(n_33262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g635396 (
+	.A1(n_30846),
+	.A2(n_29355),
+	.B1(n_30831),
+	.B2(n_29340),
+	.C1(n_29441),
+	.Y(n_33266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g635397 (
+	.A1(n_30847),
+	.A2(n_29353),
+	.B1(n_30848),
+	.B2(n_29355),
+	.C1(n_29418),
+	.Y(n_33256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g635398 (
+	.A1(n_30846),
+	.A2(n_29350),
+	.B1(n_30831),
+	.B2(n_29354),
+	.C1(n_29423),
+	.Y(n_33227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g635399 (
+	.A1(n_30847),
+	.A2(n_29350),
+	.B1(n_30848),
+	.B2(n_29354),
+	.C1(n_29422),
+	.Y(n_33258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g635400 (
+	.A1(n_30846),
+	.A2(n_29354),
+	.B1(n_30831),
+	.B2(n_29339),
+	.C1(n_29444),
+	.Y(n_33267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g635401 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[8]),
+	.B(n_29377),
+	.Y(n_29488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g635402 (
+	.A(n_29004),
+	.B(n_28938),
+	.C(n_29289),
+	.D(n_29460),
+	.Y(n_29487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635403 (
+	.A1(n_29433),
+	.A2(n_28884),
+	.B1(n_2738),
+	.B2(n_33261),
+	.Y(n_29486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g635404 (
+	.A1(n_2609),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[41]),
+	.B1(n_2726),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[9]),
+	.C1(n_29442),
+	.X(n_29485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g635405 (
+	.A1(n_29139),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[81]),
+	.B1(n_29136),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[17]),
+	.C1(n_29437),
+	.X(n_29484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g635406 (
+	.A(n_29376),
+	.B(brqrv_top_brqrv_ifu_i0_pc[19]),
+	.Y(n_29483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g635407 (
+	.A1(n_2618),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[105]),
+	.B1(n_2606),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[9]),
+	.C1(n_29443),
+	.X(n_29482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111o_1 g635408 (
+	.A1(n_28842),
+	.A2(brqrv_top_brqrv_lsu_lsu_addr_m[19]),
+	.B1(n_28952),
+	.C1(n_28951),
+	.D1(n_29448),
+	.X(n_29481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g635409 (
+	.A1(brqrv_top_brqrv_lsu_lsu_addr_r[9]),
+	.A2(n_23408),
+	.B1(n_28890),
+	.C1(n_29061),
+	.D1(n_29464),
+	.Y(n_29480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635410 (
+	.A1(n_29433),
+	.A2(n_28885),
+	.B1(n_2611),
+	.B2(n_33261),
+	.Y(n_29479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g635411 (
+	.A(n_29375),
+	.B(brqrv_top_brqrv_ifu_i0_pc[16]),
+	.Y(n_29478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g635412 (
+	.A(n_29373),
+	.B(brqrv_top_brqrv_ifu_i0_pc[18]),
+	.Y(n_29477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g635413 (
+	.A(n_29371),
+	.B(brqrv_top_brqrv_ifu_i0_pc[20]),
+	.Y(n_29476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g635414 (
+	.A(n_29372),
+	.B(brqrv_top_brqrv_ifu_i0_pc[17]),
+	.Y(n_29475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g635415 (
+	.A1(n_28836),
+	.A2(brqrv_top_brqrv_lsu_end_addr_m[21]),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[18]),
+	.B2(n_23442),
+	.C1(n_29453),
+	.Y(n_29474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g635416 (
+	.A(n_29374),
+	.B(brqrv_top_brqrv_ifu_i0_pc[21]),
+	.Y(n_29473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g635417 (
+	.A1(n_30826),
+	.A2(n_28802),
+	.B1(n_30834),
+	.B2(n_28803),
+	.C1(n_29425),
+	.X(n_33213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g635418 (
+	.A1(n_30606),
+	.A2(n_28802),
+	.B1(n_30607),
+	.B2(n_28803),
+	.C1(n_29426),
+	.X(n_33223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g635419 (
+	.A1(n_30607),
+	.A2(n_28802),
+	.B1(n_30606),
+	.B2(n_6026),
+	.C1(n_29439),
+	.X(n_33265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g635420 (
+	.A1(n_30846),
+	.A2(n_29343),
+	.B1(n_30848),
+	.B2(n_29357),
+	.C1(n_28893),
+	.Y(n_33254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g635421 (
+	.A1(n_30846),
+	.A2(n_29342),
+	.B1(n_30848),
+	.B2(n_29356),
+	.C1(n_28858),
+	.Y(n_33244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635422 (
+	.A1_N(n_29427),
+	.A2_N(n_33034),
+	.B1(n_29427),
+	.B2(n_33034),
+	.Y(n_29472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635423 (
+	.A1_N(n_29412),
+	.A2_N(n_33038),
+	.B1(n_29412),
+	.B2(n_33038),
+	.Y(n_29471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635424 (
+	.A1_N(n_29404),
+	.A2_N(n_33032),
+	.B1(n_29404),
+	.B2(n_33032),
+	.Y(n_29470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635425 (
+	.A1_N(n_29409),
+	.A2_N(n_33030),
+	.B1(n_29409),
+	.B2(n_33030),
+	.Y(n_29469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635426 (
+	.A1_N(n_29430),
+	.A2_N(n_33042),
+	.B1(n_29430),
+	.B2(n_33042),
+	.Y(n_29468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635427 (
+	.A1_N(n_29411),
+	.A2_N(n_33040),
+	.B1(n_29411),
+	.B2(n_33040),
+	.Y(n_29467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635428 (
+	.A1_N(n_29415),
+	.A2_N(n_33036),
+	.B1(n_29415),
+	.B2(n_33036),
+	.Y(n_29466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g635429 (
+	.A1(n_30834),
+	.A2(n_28802),
+	.B1(n_30826),
+	.B2(n_6026),
+	.C1(n_29446),
+	.X(n_33260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g635430 (
+	.A(n_29008),
+	.B(n_28857),
+	.C(n_29023),
+	.D(n_29283),
+	.Y(n_29465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g635431 (
+	.A(n_29014),
+	.B(n_29015),
+	.C(n_29401),
+	.Y(n_29464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g635432 (
+	.A(n_29394),
+	.B(n_29321),
+	.C(n_28935),
+	.D(n_28936),
+	.Y(n_29463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g635433 (
+	.A(n_30832),
+	.B_N(n_30618),
+	.Y(n_29462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g635434 (
+	.A(n_30832),
+	.B_N(n_30609),
+	.Y(n_29461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g635435 (
+	.A1(n_28848),
+	.A2(n_33390),
+	.B1(n_28855),
+	.C1(n_28940),
+	.D1(n_28939),
+	.Y(n_29460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g635436 (
+	.A1(n_22565),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[29]),
+	.B1(n_29148),
+	.C1(n_29323),
+	.Y(n_29459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g635437 (
+	.A(n_28999),
+	.B(n_28998),
+	.C(n_29300),
+	.D(n_29382),
+	.Y(n_29458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g635438 (
+	.A(n_29380),
+	.B(n_29299),
+	.C(n_28990),
+	.D(n_28991),
+	.Y(n_29457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g635439 (
+	.A(n_29379),
+	.B(n_29298),
+	.C(n_28985),
+	.D(n_28979),
+	.Y(n_29456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g635440 (
+	.A(n_28859),
+	.B(n_28976),
+	.C(n_28973),
+	.D(n_29280),
+	.Y(n_29455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g635441 (
+	.A1(n_28798),
+	.A2(n_33402),
+	.B1(n_28892),
+	.C1(n_28944),
+	.D1(n_28943),
+	.Y(n_29454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g635442 (
+	.A(n_28897),
+	.B(n_28896),
+	.C(n_28942),
+	.D(n_29091),
+	.Y(n_29453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g635443 (
+	.A1(n_30683),
+	.A2(n_29134),
+	.B1(n_2760),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[1]),
+	.C1(n_2786),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[33]),
+	.Y(n_29452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g635444 (
+	.A(n_29395),
+	.B(n_29279),
+	.C(n_28984),
+	.D(n_28986),
+	.Y(n_29451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g635445 (
+	.A(n_29378),
+	.B(n_29274),
+	.C(n_28971),
+	.D(n_28970),
+	.Y(n_29450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g635446 (
+	.A(n_29400),
+	.B(n_29261),
+	.C(n_29013),
+	.D(n_29011),
+	.Y(n_29449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g635447 (
+	.A1(n_28834),
+	.A2(n_33394),
+	.B1(n_28887),
+	.C1(n_28950),
+	.D1(n_28949),
+	.Y(n_29448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g635448 (
+	.A1(n_28783),
+	.A2(n_33398),
+	.B1(n_28891),
+	.C1(n_28946),
+	.D1(n_28945),
+	.Y(n_29447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g635449 (
+	.A_N(n_33352),
+	.B(brqrv_top_brqrv_dma_mem_write),
+	.Y(n_31874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g635450 (
+	.A(n_32816),
+	.B(n_30797),
+	.X(n_30796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635451 (
+	.A(n_32670),
+	.B(n_32585),
+	.Y(n_31609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635452 (
+	.A1(n_30846),
+	.A2(n_29356),
+	.B1(n_30831),
+	.B2(n_29342),
+	.Y(n_29446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635453 (
+	.A1(n_29367),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[55]),
+	.B1(n_29368),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[87]),
+	.Y(n_29445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g635454 (
+	.A1(n_30848),
+	.A2(n_29350),
+	.B1(n_30847),
+	.B2(n_29338),
+	.X(n_29444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g635455 (
+	.A1(n_2725),
+	.A2(n_33231),
+	.B1(n_28917),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[9]),
+	.C1(n_39229),
+	.X(n_29443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g635456 (
+	.A1(n_2718),
+	.A2(n_33231),
+	.B1(n_2610),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[73]),
+	.C1(n_39228),
+	.X(n_29442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g635457 (
+	.A1_N(n_30848),
+	.A2_N(n_29353),
+	.B1(n_6026),
+	.B2(n_30616),
+	.Y(n_29441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635458 (
+	.A1(n_444),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[7]),
+	.B1(n_2712),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[103]),
+	.Y(n_29440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635459 (
+	.A1(n_30846),
+	.A2(n_29357),
+	.B1(n_30831),
+	.B2(n_29343),
+	.Y(n_29439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g635460 (
+	.A1_N(n_30848),
+	.A2_N(n_29352),
+	.B1(n_6026),
+	.B2(n_30674),
+	.Y(n_29438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g635461 (
+	.A1(n_29370),
+	.A2(n_32537),
+	.B1(n_29385),
+	.Y(n_29437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g635462 (
+	.A1_N(n_30848),
+	.A2_N(n_29349),
+	.B1(n_6026),
+	.B2(n_30596),
+	.Y(n_29436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g635463 (
+	.A0(n_28854),
+	.A1(n_29365),
+	.S(brqrv_top_brqrv_lsu_lsu_addr_r[1]),
+	.Y(n_33349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635464 (
+	.A1(n_30847),
+	.A2(n_29348),
+	.B1(n_30848),
+	.B2(n_29345),
+	.Y(n_33236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635465 (
+	.A1(n_30847),
+	.A2(n_29351),
+	.B1(n_30848),
+	.B2(n_29346),
+	.Y(n_33232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635466 (
+	.A1(n_30831),
+	.A2(n_29352),
+	.B1(n_30846),
+	.B2(n_29344),
+	.Y(n_33233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635467 (
+	.A1(n_30831),
+	.A2(n_29349),
+	.B1(n_30846),
+	.B2(n_29347),
+	.Y(n_33237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635468 (
+	.A1(n_30847),
+	.A2(n_29357),
+	.B1(n_30848),
+	.B2(n_29343),
+	.Y(n_33238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635469 (
+	.A1(n_30831),
+	.A2(n_29353),
+	.B1(n_30846),
+	.B2(n_29341),
+	.Y(n_33241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635470 (
+	.A1(n_30847),
+	.A2(n_29355),
+	.B1(n_30848),
+	.B2(n_29340),
+	.Y(n_33240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635471 (
+	.A1(n_30831),
+	.A2(n_29350),
+	.B1(n_30846),
+	.B2(n_29338),
+	.Y(n_33243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635472 (
+	.A1(n_30847),
+	.A2(n_29356),
+	.B1(n_30848),
+	.B2(n_29342),
+	.Y(n_33228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635473 (
+	.A1(n_30847),
+	.A2(n_29354),
+	.B1(n_30848),
+	.B2(n_29339),
+	.Y(n_33242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g635474 (
+	.A(n_29432),
+	.Y(n_32902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g635475 (
+	.A(n_29431),
+	.Y(n_32900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g635477 (
+	.A(n_29428),
+	.Y(n_32899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635478 (
+	.A(n_29319),
+	.B(n_29318),
+	.Y(n_33532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635479 (
+	.A(n_30831),
+	.B(n_29357),
+	.Y(n_29426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635480 (
+	.A(n_30831),
+	.B(n_29356),
+	.Y(n_29425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635481 (
+	.A(n_29342),
+	.B(n_30825),
+	.Y(n_29424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635482 (
+	.A(n_29336),
+	.B(n_29335),
+	.Y(n_33524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635483 (
+	.A(n_29334),
+	.B(n_29187),
+	.Y(n_33526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635484 (
+	.A(n_29333),
+	.B(n_29331),
+	.Y(n_33527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635485 (
+	.A(n_29330),
+	.B(n_29221),
+	.Y(n_33528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635486 (
+	.A(n_29329),
+	.B(n_29328),
+	.Y(n_33529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635487 (
+	.A(n_29327),
+	.B(n_29254),
+	.Y(n_33530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635488 (
+	.A(n_29322),
+	.B(n_29234),
+	.Y(n_33531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635489 (
+	.A(n_29317),
+	.B(n_29316),
+	.Y(n_33533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635490 (
+	.A(n_29313),
+	.B(n_29312),
+	.Y(n_33534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635491 (
+	.A(n_29311),
+	.B(n_29310),
+	.Y(n_33535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635492 (
+	.A(n_29308),
+	.B(n_29307),
+	.Y(n_33536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635493 (
+	.A(n_29305),
+	.B(n_29304),
+	.Y(n_33537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635494 (
+	.A(n_29302),
+	.B(n_29301),
+	.Y(n_33538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635495 (
+	.A(n_30659),
+	.B(n_28802),
+	.Y(n_29423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635496 (
+	.A(n_30660),
+	.B(n_28803),
+	.Y(n_29422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635497 (
+	.A(n_30674),
+	.B(n_28802),
+	.Y(n_29421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635498 (
+	.A(n_30596),
+	.B(n_28802),
+	.Y(n_29420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635499 (
+	.A(n_30616),
+	.B(n_28802),
+	.Y(n_29419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635500 (
+	.A(n_30617),
+	.B(n_28803),
+	.Y(n_29418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635501 (
+	.A(n_30597),
+	.B(n_28803),
+	.Y(n_29417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635502 (
+	.A(n_30675),
+	.B(n_28803),
+	.Y(n_29416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635503 (
+	.A(n_29290),
+	.B(n_29101),
+	.Y(n_29435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635504 (
+	.A(n_29263),
+	.B(n_29029),
+	.Y(n_32911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635505 (
+	.A(n_29264),
+	.B(n_29065),
+	.Y(n_32913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635506 (
+	.A(n_29265),
+	.B(n_29030),
+	.Y(n_32912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635507 (
+	.A(n_29266),
+	.B(n_29031),
+	.Y(n_32846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635508 (
+	.A(n_29267),
+	.B(n_29033),
+	.Y(n_32845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635509 (
+	.A(n_29268),
+	.B(n_29034),
+	.Y(n_32844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635510 (
+	.A(n_29303),
+	.B(n_29294),
+	.Y(n_32886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635511 (
+	.A(n_29269),
+	.B(n_29036),
+	.Y(n_32909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635512 (
+	.A(n_29270),
+	.B(n_29038),
+	.Y(n_33352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635513 (
+	.A(n_29272),
+	.B(n_29040),
+	.Y(brqrv_top_brqrv_dma_mem_write), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635514 (
+	.A(n_29262),
+	.B(n_29028),
+	.Y(n_32910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635515 (
+	.A(n_29324),
+	.B(n_29320),
+	.Y(n_32894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635516 (
+	.A(n_29273),
+	.B(n_29060),
+	.Y(n_32817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635517 (
+	.A(n_29275),
+	.B(n_29131),
+	.Y(n_30676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635518 (
+	.A(n_29276),
+	.B(n_29051),
+	.Y(n_30598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635519 (
+	.A(n_29278),
+	.B(n_29053),
+	.Y(n_29434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635520 (
+	.A(n_29282),
+	.B(n_29133),
+	.Y(n_29433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635521 (
+	.A(n_29284),
+	.B(n_29124),
+	.Y(n_30609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635522 (
+	.A(n_29285),
+	.B(n_29106),
+	.Y(n_30618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635523 (
+	.A(n_29204),
+	.B(n_29337),
+	.Y(n_32854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635524 (
+	.A(n_29213),
+	.B(n_29214),
+	.Y(n_32881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635525 (
+	.A1(n_39132),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [106]),
+	.B1(n_399608_BAR),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [145]),
+	.C1(n_29256),
+	.Y(n_29432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635526 (
+	.A(n_29250),
+	.B(n_29258),
+	.Y(n_32865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635527 (
+	.A(n_28752),
+	.B(n_31614),
+	.Y(n_32670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635528 (
+	.A(n_29252),
+	.B(n_29253),
+	.Y(n_32884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635529 (
+	.A(n_29248),
+	.B(n_29249),
+	.Y(n_32892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635530 (
+	.A(n_29246),
+	.B(n_29247),
+	.Y(n_32852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635531 (
+	.A1(n_39132),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [104]),
+	.B1(n_399608_BAR),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [143]),
+	.C1(n_29243),
+	.Y(n_29431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635532 (
+	.A1(n_24216),
+	.A2(brqrv_top_brqrv_ifu_aln_q0pc[8]),
+	.B1(n_34806),
+	.B2(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.C1(n_29251),
+	.Y(n_29430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635533 (
+	.A1(n_39132),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [102]),
+	.B1(n_399608_BAR),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [141]),
+	.C1(n_29215),
+	.Y(n_29429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635534 (
+	.A(n_29216),
+	.B(n_29217),
+	.Y(n_32850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635535 (
+	.A(n_29238),
+	.B(n_29240),
+	.Y(n_32873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635536 (
+	.A(n_29239),
+	.B(n_29241),
+	.Y(n_32883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635537 (
+	.A(n_29232),
+	.B(n_29233),
+	.Y(n_32891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635538 (
+	.A(n_29230),
+	.B(n_29231),
+	.Y(n_32851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635539 (
+	.A1(n_39132),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [103]),
+	.B1(n_399608_BAR),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [142]),
+	.C1(n_29228),
+	.Y(n_29428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635540 (
+	.A1(n_24216),
+	.A2(brqrv_top_brqrv_ifu_aln_q0pc[4]),
+	.B1(n_34802),
+	.B2(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.C1(n_29227),
+	.Y(n_29427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635541 (
+	.A(n_29224),
+	.B(n_29226),
+	.Y(n_32857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635542 (
+	.A(n_29223),
+	.B(n_29225),
+	.Y(n_32882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635543 (
+	.A(n_29219),
+	.B(n_29220),
+	.Y(n_32890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635544 (
+	.A(n_29203),
+	.B(n_29196),
+	.Y(n_33041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635545 (
+	.A(n_29244),
+	.B(n_29229),
+	.Y(n_33042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635546 (
+	.A(n_29242),
+	.B(n_29236),
+	.Y(n_33033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635547 (
+	.A(n_29210),
+	.B(n_29208),
+	.Y(n_33037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635548 (
+	.A(n_29222),
+	.B(n_29218),
+	.Y(n_33034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635549 (
+	.A(n_29206),
+	.B(n_29205),
+	.Y(n_33031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635550 (
+	.A(n_29257),
+	.B(n_29255),
+	.Y(n_33040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g635551 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[1]),
+	.B(n_29365),
+	.X(n_30773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635552 (
+	.A(n_29414),
+	.Y(n_33152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g635553 (
+	.A(n_29413),
+	.Y(n_32904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635554 (
+	.A(n_29410),
+	.Y(n_33151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g635555 (
+	.A(n_29408),
+	.Y(n_32905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635556 (
+	.A(n_29407),
+	.Y(n_33166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635557 (
+	.A(n_29406),
+	.Y(n_33155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635558 (
+	.A(n_29405),
+	.Y(n_33153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635559 (
+	.A(n_29403),
+	.Y(n_33150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g635560 (
+	.A(n_29288),
+	.B(n_29003),
+	.C(n_28937),
+	.Y(n_29402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635561 (
+	.A(n_29169),
+	.B(n_29170),
+	.Y(n_33551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635562 (
+	.A(n_29166),
+	.B(n_29167),
+	.Y(n_33550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635563 (
+	.A(n_29164),
+	.B(n_29165),
+	.Y(n_33549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635564 (
+	.A(n_29162),
+	.B(n_29237),
+	.Y(n_33548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635565 (
+	.A(n_29180),
+	.B(n_29245),
+	.Y(n_33547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635566 (
+	.A(n_29235),
+	.B(n_29309),
+	.Y(n_33546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635567 (
+	.A(n_29159),
+	.B(n_29160),
+	.Y(n_33545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635568 (
+	.A(n_29158),
+	.B(n_29168),
+	.Y(n_33544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635569 (
+	.A(n_29157),
+	.B(n_29212),
+	.Y(n_33543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635570 (
+	.A(n_29155),
+	.B(n_29156),
+	.Y(n_33542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635571 (
+	.A(n_29153),
+	.B(n_29154),
+	.Y(n_33541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635572 (
+	.A(n_29151),
+	.B(n_29152),
+	.Y(n_33540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635573 (
+	.A(n_29149),
+	.B(n_29150),
+	.Y(n_33539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g635574 (
+	.A(n_29293),
+	.B(n_29012),
+	.C(n_29010),
+	.Y(n_29401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g635575 (
+	.A(n_29059),
+	.B(n_29027),
+	.C(n_28961),
+	.D(n_29017),
+	.Y(n_29400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g635576 (
+	.A1(n_28830),
+	.A2(brqrv_top_brqrv_lsu_end_addr_m[22]),
+	.B1(n_28845),
+	.B2(brqrv_top_brqrv_lsu_end_addr_m[23]),
+	.C1(n_29291),
+	.Y(n_29399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g635577 (
+	.A1(n_33377),
+	.A2(n_24376),
+	.B1(n_29067),
+	.C1(n_29007),
+	.Y(n_29398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g635578 (
+	.A(n_29005),
+	.B(n_29006),
+	.C(n_29069),
+	.D(n_29070),
+	.Y(n_29397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635579 (
+	.A(n_29171),
+	.B(n_29173),
+	.Y(n_33552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g635580 (
+	.A1(n_33380),
+	.A2(n_22553),
+	.B1(n_29314),
+	.Y(n_29396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g635581 (
+	.A(n_29281),
+	.B(n_28987),
+	.C(n_28969),
+	.X(n_29395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g635582 (
+	.A(n_29087),
+	.B(n_29086),
+	.C(n_28934),
+	.D(n_28933),
+	.Y(n_29394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g635583 (
+	.A(n_28929),
+	.B(n_28928),
+	.C(n_29074),
+	.D(n_29082),
+	.Y(n_29393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g635584 (
+	.A(n_29081),
+	.B(n_28924),
+	.C(n_29057),
+	.D(n_29099),
+	.Y(n_29392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g635585 (
+	.A(n_29079),
+	.B(n_29080),
+	.C(n_29035),
+	.D(n_28921),
+	.Y(n_29391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g635586 (
+	.A(n_28957),
+	.B(n_28958),
+	.C(n_29077),
+	.D(n_29078),
+	.Y(n_29390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635587 (
+	.A1(n_2666),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[119]),
+	.B1(n_29139),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[87]),
+	.Y(n_29389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g635588 (
+	.A1(n_23417),
+	.A2(n_33389),
+	.B1(n_28931),
+	.C1(n_28930),
+	.Y(n_29388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635589 (
+	.A1(n_2666),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[118]),
+	.B1(n_29136),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[22]),
+	.Y(n_29387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635590 (
+	.A1(n_2666),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[117]),
+	.B1(n_29139),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[85]),
+	.Y(n_29386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635591 (
+	.A1(n_2666),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[113]),
+	.B1(n_28911),
+	.B2(n_30685),
+	.Y(n_29385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g635592 (
+	.A1(n_23448),
+	.A2(n_33398),
+	.B1(n_29041),
+	.C1(n_29039),
+	.Y(n_29384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635593 (
+	.A1(n_2666),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[114]),
+	.B1(n_29136),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[18]),
+	.Y(n_29383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g635594 (
+	.A(n_29108),
+	.B(n_29001),
+	.C(n_29000),
+	.D(n_29109),
+	.Y(n_29382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g635595 (
+	.A(n_28994),
+	.B(n_28997),
+	.C(n_29125),
+	.D(n_29128),
+	.Y(n_29381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g635596 (
+	.A(n_28992),
+	.B(n_29126),
+	.C(n_29127),
+	.D(n_28993),
+	.Y(n_29380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g635597 (
+	.A(n_29054),
+	.B(n_28981),
+	.C(n_28980),
+	.D(n_29102),
+	.Y(n_29379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g635598 (
+	.A(n_29103),
+	.B(n_29043),
+	.C(n_28967),
+	.D(n_29025),
+	.Y(n_29378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635599 (
+	.A1(n_24216),
+	.A2(brqrv_top_brqrv_ifu_aln_q0pc[5]),
+	.B1(n_34803),
+	.B2(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.C1(n_29163),
+	.Y(n_29415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g635600 (
+	.A1(n_341),
+	.A2(n_34754),
+	.B1(n_34755),
+	.B2(n_487),
+	.C1(n_342),
+	.C2(brqrv_top_brqrv_exu_alu_result_x[9]),
+	.Y(n_29414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635601 (
+	.A1(n_39132),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [108]),
+	.B1(n_399608_BAR),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [147]),
+	.C1(n_29172),
+	.Y(n_29413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g635602 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[15]),
+	.B(brqrv_top_brqrv_ifu_i0_pc[22]),
+	.X(n_29377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635603 (
+	.A1(n_24216),
+	.A2(brqrv_top_brqrv_ifu_aln_q0pc[6]),
+	.B1(n_34804),
+	.B2(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.C1(n_29199),
+	.Y(n_29412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g635604 (
+	.A_N(n_30798),
+	.B(n_29110),
+	.C(brqrv_top_brqrv_lsu_lsu_pkt_r[6]),
+	.D(brqrv_top_brqrv_lsu_addr_in_dccm_r),
+	.Y(n_30797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635605 (
+	.A1(n_24216),
+	.A2(brqrv_top_brqrv_ifu_aln_q0pc[7]),
+	.B1(n_34805),
+	.B2(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.C1(n_29315),
+	.Y(n_29411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g635606 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[5]),
+	.B(brqrv_top_brqrv_ifu_i0_pc[12]),
+	.X(n_29376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g635607 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[2]),
+	.B(brqrv_top_brqrv_ifu_i0_pc[9]),
+	.X(n_29375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g635608 (
+	.A1(n_341),
+	.A2(n_34752),
+	.B1(n_34753),
+	.B2(n_487),
+	.C1(n_342),
+	.C2(brqrv_top_brqrv_exu_alu_result_x[8]),
+	.Y(n_29410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635609 (
+	.A1(n_24216),
+	.A2(brqrv_top_brqrv_ifu_aln_q0pc[2]),
+	.B1(n_34800),
+	.B2(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.C1(n_29190),
+	.Y(n_29409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g635610 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[7]),
+	.B(brqrv_top_brqrv_ifu_i0_pc[14]),
+	.X(n_29374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g635611 (
+	.A1(n_39132),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [93]),
+	.B1(n_399608_BAR),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [132]),
+	.C1(n_29161),
+	.X(n_32889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635612 (
+	.A1(n_39132),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [109]),
+	.B1(n_399608_BAR),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [148]),
+	.C1(n_29185),
+	.Y(n_29408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g635613 (
+	.A1(n_39132),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [101]),
+	.B1(n_399608_BAR),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [140]),
+	.C1(n_29183),
+	.X(n_32897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g635614 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[4]),
+	.B(brqrv_top_brqrv_ifu_i0_pc[11]),
+	.X(n_29373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635615 (
+	.A(n_29211),
+	.B(n_29181),
+	.Y(n_32864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g635616 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[3]),
+	.B(brqrv_top_brqrv_ifu_i0_pc[10]),
+	.X(n_29372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g635617 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[6]),
+	.B(brqrv_top_brqrv_ifu_i0_pc[13]),
+	.X(n_29371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635618 (
+	.A(n_29175),
+	.B(n_29177),
+	.Y(n_32856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g635619 (
+	.A1(n_39132),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [100]),
+	.B1(n_399608_BAR),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [139]),
+	.C1(n_29174),
+	.X(n_32896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635620 (
+	.A(n_29259),
+	.B(n_39227),
+	.Y(n_33174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g635621 (
+	.A1(n_341),
+	.A2(n_34782),
+	.B1(n_342),
+	.B2(brqrv_top_brqrv_exu_alu_result_x[23]),
+	.C1(n_34783),
+	.C2(n_487),
+	.Y(n_29407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g635622 (
+	.A1(n_341),
+	.A2(n_34760),
+	.B1(n_34761),
+	.B2(n_487),
+	.C1(n_342),
+	.C2(brqrv_top_brqrv_exu_alu_result_x[12]),
+	.Y(n_29406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g635623 (
+	.A1(n_341),
+	.A2(n_34756),
+	.B1(n_34757),
+	.B2(n_487),
+	.C1(n_342),
+	.C2(brqrv_top_brqrv_exu_alu_result_x[10]),
+	.Y(n_29405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635624 (
+	.A1(n_24216),
+	.A2(brqrv_top_brqrv_ifu_aln_q0pc[3]),
+	.B1(n_34801),
+	.B2(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.C1(n_29201),
+	.Y(n_29404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g635625 (
+	.A1(n_341),
+	.A2(n_34750),
+	.B1(n_34751),
+	.B2(n_487),
+	.C1(n_342),
+	.C2(brqrv_top_brqrv_exu_alu_result_x[7]),
+	.Y(n_29403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g635626 (
+	.A1(n_24216),
+	.A2(brqrv_top_brqrv_ifu_aln_q0pc[9]),
+	.B1(n_34807),
+	.B2(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.C1(n_29188),
+	.X(n_33030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g635627 (
+	.A1(n_24216),
+	.A2(brqrv_top_brqrv_ifu_aln_q0pc[12]),
+	.B1(n_34810),
+	.B2(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.C1(n_29306),
+	.X(n_33036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g635628 (
+	.A1(n_24216),
+	.A2(brqrv_top_brqrv_ifu_aln_q0pc[19]),
+	.B1(n_34817),
+	.B2(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.C1(n_29176),
+	.X(n_33035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g635629 (
+	.A1(n_24216),
+	.A2(brqrv_top_brqrv_ifu_aln_q0pc[21]),
+	.B1(n_34819),
+	.B2(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.C1(n_29178),
+	.X(n_33039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g635630 (
+	.A1(brqrv_top_brqrv_lsu_lsu_pkt_r[9]),
+	.A2(n_28894),
+	.B1(brqrv_top_brqrv_lsu_stbuf_n_1476),
+	.B2(n_28800),
+	.C1(brqrv_top_brqrv_lsu_lsu_pkt_r[8]),
+	.X(n_33315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g635631 (
+	.A1(n_24216),
+	.A2(brqrv_top_brqrv_ifu_aln_q0pc[13]),
+	.B1(n_34811),
+	.B2(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.C1(n_29194),
+	.X(n_33038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g635632 (
+	.A1(n_24216),
+	.A2(brqrv_top_brqrv_ifu_aln_q0pc[16]),
+	.B1(n_34814),
+	.B2(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.C1(n_29207),
+	.X(n_33029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g635633 (
+	.A1(n_24216),
+	.A2(brqrv_top_brqrv_ifu_aln_q0pc[10]),
+	.B1(n_34808),
+	.B2(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.C1(n_29198),
+	.X(n_33032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635634 (
+	.A(n_29370),
+	.Y(n_33215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635635 (
+	.A(n_29369),
+	.Y(n_33246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635636 (
+	.A(n_29368),
+	.Y(n_30583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635637 (
+	.A(n_29367),
+	.Y(n_30584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635638 (
+	.A(n_568),
+	.Y(n_30580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635639 (
+	.A(n_416),
+	.Y(n_30581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g635641 (
+	.A(n_2712),
+	.Y(n_30815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g635642 (
+	.A(n_444),
+	.Y(n_30817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635643 (
+	.A(n_2598),
+	.Y(n_30814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635644 (
+	.A(n_441),
+	.Y(n_30813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g635645 (
+	.A(n_2709),
+	.Y(n_30816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635646 (
+	.A(n_29347),
+	.Y(n_30596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g635647 (
+	.A(n_29346),
+	.Y(n_30675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g635648 (
+	.A(n_29345),
+	.Y(n_30597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635649 (
+	.A(n_29344),
+	.Y(n_30674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g635650 (
+	.A(n_29343),
+	.Y(n_30608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g635651 (
+	.A(n_29342),
+	.Y(n_30838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635652 (
+	.A(n_29341),
+	.Y(n_30616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g635653 (
+	.A(n_29340),
+	.Y(n_30617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g635654 (
+	.A(n_30660),
+	.Y(n_29339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g635655 (
+	.A(n_30659),
+	.Y(n_29338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635656 (
+	.A1(n_345),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [82]),
+	.B1(n_39160),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [121]),
+	.Y(n_29337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635658 (
+	.A1(n_2722),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [3]),
+	.B1(n_2605),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [81]),
+	.Y(n_29336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635659 (
+	.A1(n_2617),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [42]),
+	.B1(n_24507),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [120]),
+	.Y(n_29335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635660 (
+	.A1(n_2722),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [5]),
+	.B1(n_2605),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [83]),
+	.Y(n_29334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635661 (
+	.A1(n_2722),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [6]),
+	.B1(n_2605),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [84]),
+	.Y(n_29333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635662 (
+	.A(n_29136),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[23]),
+	.Y(n_29332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635663 (
+	.A1(n_2617),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [45]),
+	.B1(n_24507),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [123]),
+	.Y(n_29331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635664 (
+	.A1(n_2722),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [7]),
+	.B1(n_2605),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [85]),
+	.Y(n_29330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635665 (
+	.A1(n_2722),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [8]),
+	.B1(n_2605),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [86]),
+	.Y(n_29329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635666 (
+	.A1(n_2617),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [47]),
+	.B1(n_24507),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [125]),
+	.Y(n_29328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635667 (
+	.A1(n_2722),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [9]),
+	.B1(n_2605),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [87]),
+	.Y(n_29327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635670 (
+	.A1(n_399607_BAR),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [20]),
+	.B1(n_39133),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [59]),
+	.Y(n_29324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635671 (
+	.A(n_28966),
+	.B(n_29020),
+	.Y(n_29323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635672 (
+	.A1(n_2722),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [10]),
+	.B1(n_2605),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [88]),
+	.Y(n_29322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635673 (
+	.A1(n_28834),
+	.A2(brqrv_top_brqrv_lsu_lsu_addr_r[20]),
+	.B1(n_28835),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[19]),
+	.C1(n_29089),
+	.Y(n_29321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635674 (
+	.A1(n_39132),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [98]),
+	.B1(n_399608_BAR),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [137]),
+	.Y(n_29320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635675 (
+	.A1(n_2722),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [11]),
+	.B1(n_2605),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [89]),
+	.Y(n_29319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635676 (
+	.A1(n_2617),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [50]),
+	.B1(n_24507),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [128]),
+	.Y(n_29318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635677 (
+	.A1(n_2722),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [12]),
+	.B1(n_2605),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [90]),
+	.Y(n_29317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635678 (
+	.A1(n_2617),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [51]),
+	.B1(n_24507),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [129]),
+	.Y(n_29316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635679 (
+	.A1(n_24204),
+	.A2(brqrv_top_brqrv_ifu_aln_q2pc[7]),
+	.B1(n_28864),
+	.B2(brqrv_top_brqrv_ifu_aln_q1pc[7]),
+	.X(n_29315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g635680 (
+	.A1(n_23408),
+	.A2(n_33383),
+	.B1(n_29018),
+	.Y(n_29314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635681 (
+	.A1(n_2722),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [13]),
+	.B1(n_2605),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [91]),
+	.Y(n_29313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635682 (
+	.A1(n_2617),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [52]),
+	.B1(n_24507),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [130]),
+	.Y(n_29312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635683 (
+	.A1(n_2722),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [14]),
+	.B1(n_2605),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [92]),
+	.Y(n_29311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635684 (
+	.A1(n_2617),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [53]),
+	.B1(n_24507),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [131]),
+	.Y(n_29310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635685 (
+	.A1(n_2617),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [64]),
+	.B1(n_24507),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [142]),
+	.Y(n_29309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635686 (
+	.A1(n_2722),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [15]),
+	.B1(n_2605),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [93]),
+	.Y(n_29308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635687 (
+	.A1(n_2617),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [54]),
+	.B1(n_24507),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [132]),
+	.Y(n_29307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635688 (
+	.A1(n_24204),
+	.A2(brqrv_top_brqrv_ifu_aln_q2pc[12]),
+	.B1(n_28864),
+	.B2(brqrv_top_brqrv_ifu_aln_q1pc[12]),
+	.X(n_29306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635689 (
+	.A1(n_2722),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [16]),
+	.B1(n_2617),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [55]),
+	.Y(n_29305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635690 (
+	.A1(n_2605),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [94]),
+	.B1(n_24507),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [133]),
+	.Y(n_29304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635691 (
+	.A1(n_399607_BAR),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [12]),
+	.B1(n_39133),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [51]),
+	.Y(n_29303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635692 (
+	.A1(n_2722),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [17]),
+	.B1(n_2617),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [56]),
+	.Y(n_29302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635693 (
+	.A1(n_2605),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [95]),
+	.B1(n_24507),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [134]),
+	.Y(n_29301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g635694 (
+	.A1(brqrv_top_brqrv_lsu_lsu_addr_r[4]),
+	.A2(n_24148),
+	.B1(n_28898),
+	.C1(n_29113),
+	.Y(n_29300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g635695 (
+	.A1(n_24148),
+	.A2(brqrv_top_brqrv_lsu_stbuf_n_588),
+	.B1(n_28853),
+	.C1(n_29129),
+	.Y(n_29299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g635696 (
+	.A1(n_24148),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[28]),
+	.B1(n_28856),
+	.C1(n_29052),
+	.Y(n_29298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635697 (
+	.A1(n_22554),
+	.A2(brqrv_top_brqrv_lsu_lsu_addr_r[11]),
+	.B1(brqrv_top_brqrv_lsu_end_addr_m[13]),
+	.B2(n_28849),
+	.C1(n_29097),
+	.Y(n_29297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635698 (
+	.A1(n_22553),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[30]),
+	.B1(n_23411),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[31]),
+	.C1(n_29058),
+	.Y(n_29296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g635699 (
+	.A1(brqrv_top_brqrv_lsu_lsu_addr_r[29]),
+	.A2(n_23451),
+	.B1(n_28956),
+	.Y(n_29295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635700 (
+	.A1(n_39132),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [90]),
+	.B1(n_399608_BAR),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [129]),
+	.Y(n_29294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635701 (
+	.A1(n_22565),
+	.A2(brqrv_top_brqrv_lsu_lsu_addr_r[5]),
+	.B1(n_23052),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[2]),
+	.C1(n_29064),
+	.Y(n_29293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g635702 (
+	.A1(brqrv_top_brqrv_lsu_lsu_addr_r[17]),
+	.A2(n_23441),
+	.B1(n_28953),
+	.Y(n_29292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g635703 (
+	.A1(brqrv_top_brqrv_lsu_lsu_addr_r[24]),
+	.A2(n_23448),
+	.B1(brqrv_top_brqrv_lsu_end_addr_m[24]),
+	.B2(n_28781),
+	.C1(brqrv_top_brqrv_lsu_end_addr_m[25]),
+	.C2(n_28794),
+	.Y(n_29291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g635704 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[127]),
+	.A2(n_2749),
+	.B1(n_28823),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[31]),
+	.C1(n_28771),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[63]),
+	.Y(n_29290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g635705 (
+	.A1(n_33384),
+	.A2(n_24144),
+	.B1(n_33386),
+	.B2(n_28792),
+	.C1(n_29090),
+	.X(n_29289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635706 (
+	.A1(n_23407),
+	.A2(n_33384),
+	.B1(brqrv_top_brqrv_lsu_end_addr_m[13]),
+	.B2(n_28846),
+	.C1(n_29088),
+	.Y(n_29288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g635707 (
+	.A1(n_28789),
+	.A2(brqrv_top_brqrv_lsu_end_addr_m[17]),
+	.B1(n_23441),
+	.B2(n_33391),
+	.C1(brqrv_top_brqrv_lsu_end_addr_m[15]),
+	.C2(n_28841),
+	.Y(n_29287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635708 (
+	.A1(n_24377),
+	.A2(brqrv_top_brqrv_lsu_lsu_addr_r[11]),
+	.B1(n_28785),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[12]),
+	.C1(n_29083),
+	.Y(n_29286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g635709 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[30]),
+	.A2(n_2678),
+	.B1(n_2749),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[126]),
+	.C1(n_28823),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[30]),
+	.Y(n_29285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g635710 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[29]),
+	.A2(n_2678),
+	.B1(n_2749),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[125]),
+	.C1(n_28823),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[29]),
+	.Y(n_29284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g635711 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[17]),
+	.A2(n_22565),
+	.B1(n_29032),
+	.Y(n_29283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g635712 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[121]),
+	.A2(n_2749),
+	.B1(n_2677),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[89]),
+	.C1(n_28771),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[57]),
+	.Y(n_29282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635713 (
+	.A1(n_24149),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[18]),
+	.B1(n_24143),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[19]),
+	.C1(n_29071),
+	.Y(n_29281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g635714 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[43]),
+	.A2(n_24143),
+	.B1(n_29048),
+	.Y(n_29280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635715 (
+	.A1(n_24373),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[14]),
+	.B1(n_24376),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[15]),
+	.C1(n_29056),
+	.Y(n_29279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g635716 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[88]),
+	.A2(n_2677),
+	.B1(n_2678),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[24]),
+	.C1(n_28823),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[24]),
+	.Y(n_29278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635717 (
+	.A1(n_24373),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[38]),
+	.B1(n_24376),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[39]),
+	.C1(n_29050),
+	.Y(n_29277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g635718 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[92]),
+	.A2(n_2677),
+	.B1(n_2678),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[28]),
+	.C1(n_28823),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[28]),
+	.Y(n_29276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g635719 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[90]),
+	.A2(n_2677),
+	.B1(n_2678),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[26]),
+	.C1(n_28823),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[26]),
+	.Y(n_29275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635720 (
+	.A1(n_22553),
+	.A2(brqrv_top_brqrv_lsu_stbuf_n_596),
+	.B1(n_23408),
+	.B2(brqrv_top_brqrv_lsu_stbuf_n_608),
+	.C1(n_29044),
+	.Y(n_29274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g635721 (
+	.A1(brqrv_top_brqrv_dma_ctrl_n_588),
+	.A2(n_463),
+	.B1(n_464),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[98]),
+	.C1(n_5424),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_addr[130]),
+	.Y(n_29273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g635722 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_write[0]),
+	.A2(n_463),
+	.B1(n_464),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_write[3]),
+	.C1(n_5424),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_write[4]),
+	.Y(n_29272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635723 (
+	.A1(n_22553),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[18]),
+	.B1(n_23411),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[19]),
+	.C1(n_29037),
+	.Y(n_29271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g635724 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_dbg[0]),
+	.A2(n_463),
+	.B1(n_464),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_dbg[3]),
+	.C1(n_5424),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_dbg[4]),
+	.Y(n_29270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g635725 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_byteen[9]),
+	.A2(n_5457),
+	.B1(n_464),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_byteen[25]),
+	.C1(n_465),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_byteen[17]),
+	.Y(n_29269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g635726 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_byteen[8]),
+	.A2(n_5457),
+	.B1(n_464),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_byteen[24]),
+	.C1(n_465),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_byteen[16]),
+	.Y(n_29268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g635727 (
+	.A1(brqrv_top_brqrv_dma_ctrl_n_787),
+	.A2(n_463),
+	.B1(n_464),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_byteen[26]),
+	.C1(n_5424),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_byteen[34]),
+	.Y(n_29267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g635728 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_byteen[11]),
+	.A2(n_5457),
+	.B1(n_464),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_byteen[27]),
+	.C1(n_465),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_byteen[19]),
+	.Y(n_29266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g635729 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_byteen[14]),
+	.A2(n_5457),
+	.B1(n_464),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_byteen[30]),
+	.C1(n_465),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_byteen[22]),
+	.Y(n_29265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g635730 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_byteen[15]),
+	.A2(n_5457),
+	.B1(n_464),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_byteen[31]),
+	.C1(n_465),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_byteen[23]),
+	.Y(n_29264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g635731 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_byteen[13]),
+	.A2(n_5457),
+	.B1(n_464),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_byteen[29]),
+	.C1(n_465),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_byteen[21]),
+	.Y(n_29263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g635732 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_byteen[12]),
+	.A2(n_5457),
+	.B1(n_464),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_byteen[28]),
+	.C1(n_465),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_byteen[20]),
+	.Y(n_29262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635733 (
+	.A1(n_23411),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[43]),
+	.B1(n_23408),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[45]),
+	.C1(n_29063),
+	.Y(n_29261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g635734 (
+	.A1(n_28804),
+	.A2(n_30686),
+	.B1(n_30684),
+	.B2(n_28803),
+	.C1(n_30683),
+	.C2(n_28802),
+	.Y(n_29370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g635735 (
+	.A1(n_28802),
+	.A2(n_30686),
+	.B1(n_30684),
+	.B2(n_6026),
+	.C1(n_30685),
+	.C2(n_28803),
+	.Y(n_29369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635736 (
+	.A(n_31771),
+	.B(n_39246),
+	.Y(n_29368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635737 (
+	.A(n_31769),
+	.B(n_39246),
+	.Y(n_29367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635738 (
+	.A(n_31768),
+	.B(n_39246),
+	.Y(n_568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g635739 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_n_1475),
+	.A2(brqrv_top_brqrv_lsu_lsu_addr_r[0]),
+	.B1(brqrv_top_brqrv_lsu_lsu_pkt_r[8]),
+	.C1(brqrv_top_brqrv_lsu_stbuf_n_1476),
+	.Y(n_29365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635740 (
+	.A(n_31772),
+	.B(n_39246),
+	.Y(n_416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635741 (
+	.A(n_31770),
+	.B(n_39246),
+	.Y(n_417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635742 (
+	.A(n_29140),
+	.B(n_31802),
+	.Y(n_2712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635743 (
+	.A(n_29140),
+	.B(n_32655),
+	.Y(n_444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635744 (
+	.A(n_29140),
+	.B(n_31808),
+	.Y(n_2598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635745 (
+	.A(n_29140),
+	.B(n_32660),
+	.Y(n_441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635746 (
+	.A(n_31820),
+	.B(n_29140),
+	.Y(n_2709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g635747 (
+	.A1(n_30840),
+	.A2(n_23104),
+	.B1(n_30842),
+	.B2(n_28851),
+	.C1(n_29062),
+	.Y(n_33311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635748 (
+	.A1(n_2633),
+	.A2(brqrv_top_brqrv_lsu_store_data_hi_r[13]),
+	.B1(n_2632),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[5]),
+	.C1(n_29112),
+	.Y(n_29357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635749 (
+	.A1(n_23372),
+	.A2(brqrv_top_brqrv_lsu_store_data_lo_r[24]),
+	.B1(n_28807),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[16]),
+	.C1(n_29055),
+	.Y(n_29356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635750 (
+	.A1(n_2633),
+	.A2(brqrv_top_brqrv_lsu_store_data_hi_r[14]),
+	.B1(n_2632),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[6]),
+	.C1(n_29105),
+	.Y(n_29355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635751 (
+	.A1(n_2633),
+	.A2(brqrv_top_brqrv_lsu_store_data_hi_r[15]),
+	.B1(n_2632),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[7]),
+	.C1(n_29026),
+	.Y(n_29354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635752 (
+	.A1(n_28829),
+	.A2(brqrv_top_brqrv_lsu_store_data_lo_r[14]),
+	.B1(n_23370),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[22]),
+	.C1(n_29084),
+	.Y(n_29353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635753 (
+	.A1(n_28829),
+	.A2(brqrv_top_brqrv_lsu_store_data_lo_r[10]),
+	.B1(n_23370),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[18]),
+	.C1(n_29072),
+	.Y(n_29352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635754 (
+	.A1(n_2633),
+	.A2(brqrv_top_brqrv_lsu_store_data_hi_r[10]),
+	.B1(n_2632),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[2]),
+	.C1(n_29045),
+	.Y(n_29351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635755 (
+	.A(n_29068),
+	.B(n_29092),
+	.Y(n_29350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635756 (
+	.A1(n_28829),
+	.A2(brqrv_top_brqrv_lsu_store_data_lo_r[12]),
+	.B1(n_23370),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[20]),
+	.C1(n_29076),
+	.Y(n_29349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635757 (
+	.A1(n_2633),
+	.A2(brqrv_top_brqrv_lsu_store_data_hi_r[12]),
+	.B1(n_2632),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[4]),
+	.C1(n_29047),
+	.Y(n_29348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635758 (
+	.A1(n_39134),
+	.A2(brqrv_top_brqrv_lsu_store_data_lo_r[4]),
+	.B1(n_2650),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[12]),
+	.C1(n_29075),
+	.Y(n_29347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635759 (
+	.A1(n_2633),
+	.A2(brqrv_top_brqrv_lsu_store_data_hi_r[18]),
+	.B1(n_2632),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[10]),
+	.C1(n_29046),
+	.Y(n_29346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635760 (
+	.A1(n_2633),
+	.A2(brqrv_top_brqrv_lsu_store_data_hi_r[20]),
+	.B1(n_2632),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[12]),
+	.C1(n_29049),
+	.Y(n_29345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635761 (
+	.A1(n_39134),
+	.A2(brqrv_top_brqrv_lsu_store_data_lo_r[2]),
+	.B1(n_2650),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[10]),
+	.C1(n_29073),
+	.Y(n_29344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635762 (
+	.A1(n_2633),
+	.A2(brqrv_top_brqrv_lsu_store_data_hi_r[21]),
+	.B1(n_2632),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[13]),
+	.C1(n_29111),
+	.Y(n_29343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635763 (
+	.A1(n_23372),
+	.A2(brqrv_top_brqrv_lsu_store_data_hi_r[0]),
+	.B1(n_28807),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[24]),
+	.C1(n_29042),
+	.Y(n_29342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635764 (
+	.A1(n_39134),
+	.A2(brqrv_top_brqrv_lsu_store_data_lo_r[6]),
+	.B1(n_2650),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[14]),
+	.C1(n_29085),
+	.Y(n_29341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g635765 (
+	.A1(n_2633),
+	.A2(brqrv_top_brqrv_lsu_store_data_hi_r[22]),
+	.B1(n_2632),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[14]),
+	.C1(n_29104),
+	.Y(n_29340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g635766 (
+	.A1(n_28827),
+	.A2(n_30839),
+	.B1(n_28775),
+	.B2(n_30843),
+	.C1(n_29066),
+	.Y(n_30660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g635767 (
+	.A1(n_28826),
+	.A2(n_30828),
+	.B1(n_28775),
+	.B2(n_30830),
+	.C1(n_29093),
+	.Y(n_30659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635768 (
+	.A1(n_2786),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[39]),
+	.B1(n_2760),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[7]),
+	.Y(n_29260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635769 (
+	.A1(n_34799),
+	.A2(n_487),
+	.B1(n_342),
+	.B2(brqrv_top_brqrv_exu_alu_result_x[31]),
+	.Y(n_29259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635770 (
+	.A1(n_345),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [93]),
+	.B1(n_39160),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [132]),
+	.Y(n_29258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635771 (
+	.A1(n_24216),
+	.A2(brqrv_top_brqrv_ifu_aln_q0pc[14]),
+	.B1(n_34812),
+	.B2(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.Y(n_29257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635772 (
+	.A1(n_399607_BAR),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [28]),
+	.B1(n_39133),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [67]),
+	.X(n_29256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635773 (
+	.A1(n_24204),
+	.A2(brqrv_top_brqrv_ifu_aln_q2pc[14]),
+	.B1(n_28864),
+	.B2(brqrv_top_brqrv_ifu_aln_q1pc[14]),
+	.Y(n_29255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635774 (
+	.A1(n_2617),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [48]),
+	.B1(n_24507),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [126]),
+	.Y(n_29254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635775 (
+	.A1(n_39132),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [88]),
+	.B1(n_399608_BAR),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [127]),
+	.Y(n_29253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635776 (
+	.A1(n_399607_BAR),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [10]),
+	.B1(n_39133),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [49]),
+	.Y(n_29252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635777 (
+	.A1(n_24204),
+	.A2(brqrv_top_brqrv_ifu_aln_q2pc[8]),
+	.B1(n_28864),
+	.B2(brqrv_top_brqrv_ifu_aln_q1pc[8]),
+	.X(n_29251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635778 (
+	.A1(n_488),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [15]),
+	.B1(n_344),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [54]),
+	.Y(n_29250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635779 (
+	.A1(n_39132),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [96]),
+	.B1(n_399608_BAR),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [135]),
+	.Y(n_29249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635780 (
+	.A1(n_399607_BAR),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [18]),
+	.B1(n_39133),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [57]),
+	.Y(n_29248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635781 (
+	.A1(n_345),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [80]),
+	.B1(n_39160),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [119]),
+	.Y(n_29247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635782 (
+	.A1(n_488),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [2]),
+	.B1(n_344),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [41]),
+	.Y(n_29246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635783 (
+	.A1(n_2617),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [65]),
+	.B1(n_24507),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [143]),
+	.Y(n_29245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635784 (
+	.A1(n_24216),
+	.A2(brqrv_top_brqrv_ifu_aln_q0pc[15]),
+	.B1(n_34813),
+	.B2(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.Y(n_29244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635785 (
+	.A1(n_399607_BAR),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [26]),
+	.B1(n_39133),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [65]),
+	.X(n_29243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635786 (
+	.A1(n_24216),
+	.A2(brqrv_top_brqrv_ifu_aln_q0pc[18]),
+	.B1(n_34816),
+	.B2(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.Y(n_29242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635787 (
+	.A1(n_39132),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [87]),
+	.B1(n_399608_BAR),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [126]),
+	.Y(n_29241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635788 (
+	.A1(n_345),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [101]),
+	.B1(n_39160),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [140]),
+	.Y(n_29240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635789 (
+	.A1(n_399607_BAR),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [9]),
+	.B1(n_39133),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [48]),
+	.Y(n_29239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635790 (
+	.A1(n_488),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [23]),
+	.B1(n_344),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [62]),
+	.Y(n_29238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635791 (
+	.A1(n_2617),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [66]),
+	.B1(n_24507),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [144]),
+	.Y(n_29237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635792 (
+	.A1(n_24204),
+	.A2(brqrv_top_brqrv_ifu_aln_q2pc[18]),
+	.B1(n_28864),
+	.B2(brqrv_top_brqrv_ifu_aln_q1pc[18]),
+	.Y(n_29236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635793 (
+	.A1(n_2722),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [25]),
+	.B1(n_2605),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [103]),
+	.Y(n_29235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635794 (
+	.A1(n_2617),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [49]),
+	.B1(n_24507),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [127]),
+	.Y(n_29234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635795 (
+	.A1(n_39132),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [95]),
+	.B1(n_399608_BAR),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [134]),
+	.Y(n_29233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635796 (
+	.A1(n_399607_BAR),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [17]),
+	.B1(n_39133),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [56]),
+	.Y(n_29232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635797 (
+	.A1(n_345),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [79]),
+	.B1(n_39160),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [118]),
+	.Y(n_29231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635798 (
+	.A1(n_488),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [1]),
+	.B1(n_344),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [40]),
+	.Y(n_29230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635799 (
+	.A1(n_24204),
+	.A2(brqrv_top_brqrv_ifu_aln_q2pc[15]),
+	.B1(n_28864),
+	.B2(brqrv_top_brqrv_ifu_aln_q1pc[15]),
+	.Y(n_29229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635800 (
+	.A1(n_399607_BAR),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [25]),
+	.B1(n_39133),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [64]),
+	.X(n_29228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635801 (
+	.A1(n_24204),
+	.A2(brqrv_top_brqrv_ifu_aln_q2pc[4]),
+	.B1(n_28864),
+	.B2(brqrv_top_brqrv_ifu_aln_q1pc[4]),
+	.X(n_29227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635802 (
+	.A1(n_345),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [85]),
+	.B1(n_39160),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [124]),
+	.Y(n_29226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635803 (
+	.A1(n_39132),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [86]),
+	.B1(n_399608_BAR),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [125]),
+	.Y(n_29225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635804 (
+	.A1(n_488),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [7]),
+	.B1(n_344),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [46]),
+	.Y(n_29224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635805 (
+	.A1(n_399607_BAR),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [8]),
+	.B1(n_39133),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [47]),
+	.Y(n_29223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635806 (
+	.A1(n_24216),
+	.A2(brqrv_top_brqrv_ifu_aln_q0pc[11]),
+	.B1(n_34809),
+	.B2(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.Y(n_29222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635807 (
+	.A1(n_2617),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [46]),
+	.B1(n_24507),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [124]),
+	.Y(n_29221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635808 (
+	.A1(n_39132),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [94]),
+	.B1(n_399608_BAR),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [133]),
+	.Y(n_29220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635809 (
+	.A1(n_399607_BAR),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [16]),
+	.B1(n_39133),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [55]),
+	.Y(n_29219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635810 (
+	.A1(n_24204),
+	.A2(brqrv_top_brqrv_ifu_aln_q2pc[11]),
+	.B1(n_28864),
+	.B2(brqrv_top_brqrv_ifu_aln_q1pc[11]),
+	.Y(n_29218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635811 (
+	.A1(n_345),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [78]),
+	.B1(n_39160),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [117]),
+	.Y(n_29217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635812 (
+	.A1(n_488),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [0]),
+	.B1(n_344),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [39]),
+	.Y(n_29216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635813 (
+	.A1(n_399607_BAR),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [24]),
+	.B1(n_39133),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [63]),
+	.X(n_29215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635814 (
+	.A1(n_39132),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [85]),
+	.B1(n_399608_BAR),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [124]),
+	.Y(n_29214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635815 (
+	.A1(n_399607_BAR),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [7]),
+	.B1(n_39133),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [46]),
+	.Y(n_29213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635816 (
+	.A1(n_2617),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [61]),
+	.B1(n_24507),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [139]),
+	.Y(n_29212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635817 (
+	.A1(n_488),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [14]),
+	.B1(n_344),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [53]),
+	.Y(n_29211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635818 (
+	.A1(n_24216),
+	.A2(brqrv_top_brqrv_ifu_aln_q0pc[20]),
+	.B1(n_34818),
+	.B2(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.Y(n_29210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635819 (
+	.A1(n_2609),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[47]),
+	.B1(n_2726),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[15]),
+	.Y(n_29209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635820 (
+	.A1(n_24204),
+	.A2(brqrv_top_brqrv_ifu_aln_q2pc[20]),
+	.B1(n_28864),
+	.B2(brqrv_top_brqrv_ifu_aln_q1pc[20]),
+	.Y(n_29208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635821 (
+	.A1(n_24204),
+	.A2(brqrv_top_brqrv_ifu_aln_q2pc[16]),
+	.B1(n_28864),
+	.B2(brqrv_top_brqrv_ifu_aln_q1pc[16]),
+	.X(n_29207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635822 (
+	.A1(n_24216),
+	.A2(brqrv_top_brqrv_ifu_aln_q0pc[17]),
+	.B1(n_34815),
+	.B2(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.Y(n_29206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635823 (
+	.A1(n_24204),
+	.A2(brqrv_top_brqrv_ifu_aln_q2pc[17]),
+	.B1(n_28864),
+	.B2(brqrv_top_brqrv_ifu_aln_q1pc[17]),
+	.Y(n_29205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635824 (
+	.A1(n_488),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [4]),
+	.B1(n_344),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [43]),
+	.Y(n_29204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635825 (
+	.A1(n_24216),
+	.A2(brqrv_top_brqrv_ifu_aln_q0pc[22]),
+	.B1(n_34820),
+	.B2(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.Y(n_29203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635826 (
+	.A1(n_28871),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[70]),
+	.B1(n_2760),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[6]),
+	.Y(n_29202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635827 (
+	.A1(n_24204),
+	.A2(brqrv_top_brqrv_ifu_aln_q2pc[3]),
+	.B1(n_28864),
+	.B2(brqrv_top_brqrv_ifu_aln_q1pc[3]),
+	.X(n_29201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635828 (
+	.A1(n_2609),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[46]),
+	.B1(n_2726),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[14]),
+	.Y(n_29200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635829 (
+	.A1(n_24204),
+	.A2(brqrv_top_brqrv_ifu_aln_q2pc[6]),
+	.B1(n_28864),
+	.B2(brqrv_top_brqrv_ifu_aln_q1pc[6]),
+	.X(n_29199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635830 (
+	.A1(n_24204),
+	.A2(brqrv_top_brqrv_ifu_aln_q2pc[10]),
+	.B1(n_28864),
+	.B2(brqrv_top_brqrv_ifu_aln_q1pc[10]),
+	.X(n_29198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635831 (
+	.A1(n_28871),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[69]),
+	.B1(n_2760),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[5]),
+	.Y(n_29197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635832 (
+	.A1(n_24204),
+	.A2(brqrv_top_brqrv_ifu_aln_q2pc[22]),
+	.B1(n_28864),
+	.B2(brqrv_top_brqrv_ifu_aln_q1pc[22]),
+	.Y(n_29196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635833 (
+	.A1(n_2609),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[45]),
+	.B1(n_2610),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[77]),
+	.Y(n_29195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635834 (
+	.A1(n_24204),
+	.A2(brqrv_top_brqrv_ifu_aln_q2pc[13]),
+	.B1(n_28864),
+	.B2(brqrv_top_brqrv_ifu_aln_q1pc[13]),
+	.X(n_29194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635835 (
+	.A1(n_2786),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[32]),
+	.B1(n_2760),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[0]),
+	.Y(n_29193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635836 (
+	.A1(n_2609),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[40]),
+	.B1(n_2610),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[72]),
+	.Y(n_29192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635837 (
+	.A1(n_28917),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[15]),
+	.B1(n_2606),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[15]),
+	.Y(n_29191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635838 (
+	.A1(n_24204),
+	.A2(brqrv_top_brqrv_ifu_aln_q2pc[2]),
+	.B1(n_28864),
+	.B2(brqrv_top_brqrv_ifu_aln_q1pc[2]),
+	.X(n_29190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635839 (
+	.A1(n_28871),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[68]),
+	.B1(n_2760),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[4]),
+	.Y(n_29189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635840 (
+	.A1(n_24204),
+	.A2(brqrv_top_brqrv_ifu_aln_q2pc[9]),
+	.B1(n_28864),
+	.B2(brqrv_top_brqrv_ifu_aln_q1pc[9]),
+	.X(n_29188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635841 (
+	.A1(n_2617),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [44]),
+	.B1(n_24507),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [122]),
+	.Y(n_29187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635842 (
+	.A1(n_2618),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[108]),
+	.B1(n_2606),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[12]),
+	.Y(n_29186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635843 (
+	.A1(n_399607_BAR),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [31]),
+	.B1(n_39133),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [70]),
+	.X(n_29185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635844 (
+	.A1(n_28871),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[66]),
+	.B1(n_2760),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[2]),
+	.Y(n_29184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635845 (
+	.A1(n_399607_BAR),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [23]),
+	.B1(n_39133),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [62]),
+	.X(n_29183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635846 (
+	.A1(n_28917),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[10]),
+	.B1(n_2606),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[10]),
+	.Y(n_29182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635847 (
+	.A1(n_345),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [92]),
+	.B1(n_39160),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [131]),
+	.Y(n_29181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635848 (
+	.A1(n_2722),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [26]),
+	.B1(n_2605),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [104]),
+	.Y(n_29180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635849 (
+	.A1(n_28917),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[8]),
+	.B1(n_2606),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[8]),
+	.Y(n_29179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635850 (
+	.A1(n_24204),
+	.A2(brqrv_top_brqrv_ifu_aln_q2pc[21]),
+	.B1(n_28864),
+	.B2(brqrv_top_brqrv_ifu_aln_q1pc[21]),
+	.X(n_29178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635851 (
+	.A1(n_345),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [84]),
+	.B1(n_39160),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [123]),
+	.Y(n_29177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635852 (
+	.A1(n_24204),
+	.A2(brqrv_top_brqrv_ifu_aln_q2pc[19]),
+	.B1(n_28864),
+	.B2(brqrv_top_brqrv_ifu_aln_q1pc[19]),
+	.X(n_29176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635853 (
+	.A1(n_488),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [6]),
+	.B1(n_344),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [45]),
+	.Y(n_29175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635854 (
+	.A1(n_399607_BAR),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [22]),
+	.B1(n_39133),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [61]),
+	.X(n_29174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635855 (
+	.A1(n_2605),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [109]),
+	.B1(n_2617),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [70]),
+	.Y(n_29173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635856 (
+	.A1(n_399607_BAR),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [30]),
+	.B1(n_39133),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [69]),
+	.X(n_29172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635857 (
+	.A1(n_2722),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [31]),
+	.B1(n_24507),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [148]),
+	.Y(n_29171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635858 (
+	.A1(n_2605),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [108]),
+	.B1(n_24507),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [147]),
+	.Y(n_29170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635859 (
+	.A1(n_2722),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [30]),
+	.B1(n_2617),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [69]),
+	.Y(n_29169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635860 (
+	.A1(n_2617),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [62]),
+	.B1(n_24507),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [140]),
+	.Y(n_29168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635861 (
+	.A1(n_2617),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [68]),
+	.B1(n_24507),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [146]),
+	.Y(n_29167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635862 (
+	.A1(n_2722),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [29]),
+	.B1(n_2605),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [107]),
+	.Y(n_29166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635863 (
+	.A1(n_2617),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [67]),
+	.B1(n_24507),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [145]),
+	.Y(n_29165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635864 (
+	.A1(n_2722),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [28]),
+	.B1(n_2605),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [106]),
+	.Y(n_29164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635865 (
+	.A1(n_24204),
+	.A2(brqrv_top_brqrv_ifu_aln_q2pc[5]),
+	.B1(n_28864),
+	.B2(brqrv_top_brqrv_ifu_aln_q1pc[5]),
+	.X(n_29163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635866 (
+	.A1(n_2722),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [27]),
+	.B1(n_2605),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [105]),
+	.Y(n_29162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635867 (
+	.A1(n_399607_BAR),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [15]),
+	.B1(n_39133),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [54]),
+	.X(n_29161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635868 (
+	.A1(n_2617),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [63]),
+	.B1(n_24507),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [141]),
+	.Y(n_29160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635869 (
+	.A1(n_2722),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [24]),
+	.B1(n_2605),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [102]),
+	.Y(n_29159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635870 (
+	.A1(n_2722),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [23]),
+	.B1(n_2605),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [101]),
+	.Y(n_29158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635871 (
+	.A1(n_2722),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [22]),
+	.B1(n_2605),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [100]),
+	.Y(n_29157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635872 (
+	.A1(n_2617),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [60]),
+	.B1(n_24507),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [138]),
+	.Y(n_29156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635873 (
+	.A1(n_2722),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [21]),
+	.B1(n_2605),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [99]),
+	.Y(n_29155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635874 (
+	.A1(n_2617),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [59]),
+	.B1(n_24507),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [137]),
+	.Y(n_29154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635875 (
+	.A1(n_2722),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [20]),
+	.B1(n_2605),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [98]),
+	.Y(n_29153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635876 (
+	.A1(n_2617),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [58]),
+	.B1(n_24507),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [136]),
+	.Y(n_29152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635877 (
+	.A1(n_2722),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [19]),
+	.B1(n_2605),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [97]),
+	.Y(n_29151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635878 (
+	.A1(n_2617),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [57]),
+	.B1(n_24507),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [135]),
+	.Y(n_29150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635879 (
+	.A1(n_2722),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [18]),
+	.B1(n_2605),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [96]),
+	.Y(n_29149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g635880 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[26]),
+	.A2(n_23052),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[29]),
+	.B2(n_22565),
+	.C1(n_28889),
+	.Y(n_29148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g635881 (
+	.A(n_29143),
+	.Y(n_33313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g635882 (
+	.A(n_30661),
+	.Y(n_29142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g635883 (
+	.A(n_29139),
+	.Y(n_30822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g635886 (
+	.A(n_29136),
+	.Y(n_30824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635889 (
+	.A1(n_28823),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[25]),
+	.B1(n_2678),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[25]),
+	.Y(n_29133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635891 (
+	.A1(n_2749),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[122]),
+	.B1(n_28771),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[58]),
+	.Y(n_29131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635892 (
+	.A(n_32684),
+	.B(n_31642),
+	.Y(brqrv_top_brqrv_dec_i0_predict_p_d[34]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635893 (
+	.A(n_28913),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[98]),
+	.Y(n_29130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635894 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_n_580),
+	.A2_N(n_24373),
+	.B1(brqrv_top_brqrv_lsu_stbuf_n_588),
+	.B2(n_24148),
+	.Y(n_29129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g635895 (
+	.A1_N(brqrv_top_brqrv_lsu_end_addr_r[2]),
+	.A2_N(n_23052),
+	.B1(n_33377),
+	.B2(n_22551),
+	.Y(n_29128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635896 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_n_604),
+	.A2_N(n_24152),
+	.B1(brqrv_top_brqrv_lsu_stbuf_n_596),
+	.B2(n_24149),
+	.Y(n_29127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635897 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_n_596),
+	.A2_N(n_24149),
+	.B1(brqrv_top_brqrv_lsu_stbuf_n_604),
+	.B2(n_24152),
+	.Y(n_29126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g635898 (
+	.A1_N(n_33377),
+	.A2_N(n_22551),
+	.B1(brqrv_top_brqrv_lsu_end_addr_r[2]),
+	.B2(n_23052),
+	.Y(n_29125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635899 (
+	.A1(n_28771),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[61]),
+	.B1(n_2677),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[93]),
+	.Y(n_29124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635900 (
+	.A(n_28913),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[102]),
+	.Y(n_29123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635901 (
+	.A(n_28872),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[6]),
+	.Y(n_29122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635902 (
+	.A(n_2614),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[14]),
+	.Y(n_29121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635903 (
+	.A(n_2720),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[110]),
+	.Y(n_29120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635904 (
+	.A(n_28872),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[5]),
+	.Y(n_29119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635905 (
+	.A(n_28913),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[101]),
+	.Y(n_29118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635906 (
+	.A(n_28871),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[65]),
+	.Y(n_29117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635907 (
+	.A(n_32683),
+	.B(n_31642),
+	.Y(brqrv_top_brqrv_dec_i0_predict_p_d[33]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635908 (
+	.A(n_28872),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[4]),
+	.Y(n_29116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635909 (
+	.A(n_28913),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[100]),
+	.Y(n_29115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635910 (
+	.A(n_28872),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[2]),
+	.Y(n_29114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g635911 (
+	.A(n_31726),
+	.B(n_31642),
+	.Y(brqrv_top_brqrv_dec_i0_predict_p_d[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g635912 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_r[3]),
+	.A2_N(n_24376),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[4]),
+	.B2(n_24148),
+	.Y(n_29113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635913 (
+	.A1(n_23372),
+	.A2(brqrv_top_brqrv_lsu_store_data_lo_r[29]),
+	.B1(n_28807),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[21]),
+	.X(n_29112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635914 (
+	.A1(n_23372),
+	.A2(brqrv_top_brqrv_lsu_store_data_hi_r[5]),
+	.B1(n_28807),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[29]),
+	.X(n_29111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g635915 (
+	.A(n_28852),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_r[4]),
+	.Y(n_29110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g635916 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_r[8]),
+	.A2_N(n_24152),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[7]),
+	.B2(n_24143),
+	.Y(n_29109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g635917 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_r[7]),
+	.A2_N(n_24143),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[8]),
+	.B2(n_24152),
+	.Y(n_29108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g635918 (
+	.A1_N(n_22553),
+	.A2_N(n_33380),
+	.B1(n_23408),
+	.B2(n_33383),
+	.Y(n_29107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635919 (
+	.A1(n_28771),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[62]),
+	.B1(n_2677),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[94]),
+	.Y(n_29106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635920 (
+	.A1(n_23372),
+	.A2(brqrv_top_brqrv_lsu_store_data_lo_r[30]),
+	.B1(n_28807),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[22]),
+	.X(n_29105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635921 (
+	.A1(n_23372),
+	.A2(brqrv_top_brqrv_lsu_store_data_hi_r[6]),
+	.B1(n_28807),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[30]),
+	.X(n_29104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635922 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_n_584),
+	.A2_N(n_22551),
+	.B1(brqrv_top_brqrv_lsu_stbuf_n_580),
+	.B2(n_23052),
+	.Y(n_29103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635923 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[31]),
+	.A2_N(n_24143),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[32]),
+	.B2(n_24152),
+	.Y(n_29102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635924 (
+	.A1(n_2677),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[95]),
+	.B1(n_2678),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[31]),
+	.Y(n_29101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635925 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_r[29]),
+	.A2_N(n_23451),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[26]),
+	.B2(n_23449),
+	.Y(n_29100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635926 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_r[28]),
+	.A2_N(n_28798),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[27]),
+	.B2(n_28837),
+	.Y(n_29099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635927 (
+	.A1(n_28793),
+	.A2(brqrv_top_brqrv_lsu_end_addr_m[26]),
+	.B1(n_28828),
+	.B2(brqrv_top_brqrv_lsu_end_addr_m[27]),
+	.Y(n_29098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635928 (
+	.A1(n_28849),
+	.A2(brqrv_top_brqrv_lsu_end_addr_m[13]),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[11]),
+	.B2(n_22554),
+	.Y(n_29097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635929 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_r[17]),
+	.A2_N(n_23441),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[14]),
+	.B2(n_23419),
+	.Y(n_29096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635930 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_r[15]),
+	.A2_N(n_23417),
+	.B1(n_28795),
+	.B2(brqrv_top_brqrv_lsu_end_addr_m[14]),
+	.Y(n_29095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635931 (
+	.A1_N(n_28830),
+	.A2_N(brqrv_top_brqrv_lsu_end_addr_m[22]),
+	.B1(n_28794),
+	.B2(brqrv_top_brqrv_lsu_end_addr_m[25]),
+	.Y(n_29094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635932 (
+	.A1(n_39134),
+	.A2(brqrv_top_brqrv_lsu_store_data_lo_r[7]),
+	.B1(n_2650),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[15]),
+	.Y(n_29093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635933 (
+	.A1_N(brqrv_top_brqrv_lsu_store_data_lo_r[15]),
+	.A2_N(n_28829),
+	.B1(n_28826),
+	.B2(n_30835),
+	.Y(n_29092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635934 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_r[18]),
+	.A2_N(n_23442),
+	.B1(n_28835),
+	.B2(brqrv_top_brqrv_lsu_end_addr_m[19]),
+	.Y(n_29091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635935 (
+	.A1(n_28792),
+	.A2(n_33386),
+	.B1(n_24144),
+	.B2(n_33384),
+	.Y(n_29090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635936 (
+	.A1(brqrv_top_brqrv_lsu_lsu_addr_m[19]),
+	.A2(n_28835),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[20]),
+	.B2(n_28834),
+	.Y(n_29089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635937 (
+	.A1(n_28846),
+	.A2(brqrv_top_brqrv_lsu_end_addr_m[13]),
+	.B1(n_33384),
+	.B2(n_23407),
+	.Y(n_29088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635938 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_r[16]),
+	.A2_N(n_28848),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[15]),
+	.B2(n_28831),
+	.Y(n_29087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635939 (
+	.A1(brqrv_top_brqrv_lsu_lsu_addr_m[15]),
+	.A2(n_28847),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[16]),
+	.B2(n_28848),
+	.Y(n_29086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635940 (
+	.A1(n_28786),
+	.A2(n_30828),
+	.B1(n_28774),
+	.B2(n_30830),
+	.Y(n_29085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635941 (
+	.A1(n_28839),
+	.A2(n_30837),
+	.B1(n_28774),
+	.B2(n_32651),
+	.Y(n_29084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635942 (
+	.A1(brqrv_top_brqrv_lsu_lsu_addr_m[12]),
+	.A2(n_28785),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[11]),
+	.B2(n_24377),
+	.Y(n_29083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635943 (
+	.A1(n_28845),
+	.A2(brqrv_top_brqrv_lsu_lsu_addr_m[23]),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[24]),
+	.B2(n_28783),
+	.Y(n_29082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635944 (
+	.A1(n_28828),
+	.A2(brqrv_top_brqrv_lsu_lsu_addr_m[27]),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[28]),
+	.B2(n_28798),
+	.Y(n_29081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635945 (
+	.A1_N(n_33403),
+	.A2_N(n_23451),
+	.B1(n_33401),
+	.B2(n_23440),
+	.Y(n_29080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635946 (
+	.A1(n_28784),
+	.A2(brqrv_top_brqrv_lsu_end_addr_m[27]),
+	.B1(n_33403),
+	.B2(n_23451),
+	.Y(n_29079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635947 (
+	.A1_N(n_28842),
+	.A2_N(brqrv_top_brqrv_lsu_end_addr_m[19]),
+	.B1(n_28791),
+	.B2(brqrv_top_brqrv_lsu_end_addr_m[21]),
+	.Y(n_29078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635948 (
+	.A1(n_28842),
+	.A2(brqrv_top_brqrv_lsu_end_addr_m[19]),
+	.B1(n_33395),
+	.B2(n_23445),
+	.Y(n_29077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635949 (
+	.A1(n_28780),
+	.A2(n_30837),
+	.B1(n_28777),
+	.B2(n_32651),
+	.Y(n_29076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635950 (
+	.A1(n_28833),
+	.A2(n_30828),
+	.B1(n_28777),
+	.B2(n_30830),
+	.Y(n_29075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635951 (
+	.A1_N(n_28845),
+	.A2_N(brqrv_top_brqrv_lsu_lsu_addr_m[23]),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_m[24]),
+	.B2(n_28781),
+	.Y(n_29074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635952 (
+	.A1(n_28779),
+	.A2(n_30828),
+	.B1(n_28825),
+	.B2(n_30830),
+	.Y(n_29073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635953 (
+	.A1(n_28797),
+	.A2(n_30837),
+	.B1(n_28825),
+	.B2(n_32651),
+	.Y(n_29072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635954 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[18]),
+	.A2(n_24149),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[19]),
+	.B2(n_24143),
+	.Y(n_29071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635955 (
+	.A1(n_24152),
+	.A2(n_33382),
+	.B1(n_24149),
+	.B2(n_33380),
+	.Y(n_29070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635956 (
+	.A1(n_33380),
+	.A2(n_24149),
+	.B1(n_33382),
+	.B2(n_24152),
+	.X(n_29069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635957 (
+	.A1(n_28827),
+	.A2(n_30837),
+	.B1(n_28775),
+	.B2(n_32651),
+	.Y(n_29068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635958 (
+	.A1(n_24148),
+	.A2(n_33378),
+	.B1(brqrv_top_brqrv_lsu_end_addr_r[2]),
+	.B2(n_24373),
+	.Y(n_29067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635959 (
+	.A1(n_2633),
+	.A2(brqrv_top_brqrv_lsu_store_data_hi_r[23]),
+	.B1(n_2632),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[15]),
+	.Y(n_29066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635960 (
+	.A1(n_5424),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_byteen[39]),
+	.B1(n_463),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_812),
+	.Y(n_29065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635961 (
+	.A1(brqrv_top_brqrv_lsu_lsu_addr_r[2]),
+	.A2(n_23052),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[5]),
+	.B2(n_22565),
+	.Y(n_29064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635962 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[43]),
+	.A2(n_23411),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[45]),
+	.B2(n_23408),
+	.Y(n_29063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g635963 (
+	.A1_N(n_30844),
+	.A2_N(n_6032),
+	.B1(brqrv_top_brqrv_lsu_lsu_pkt_r[8]),
+	.B2(n_32658),
+	.Y(n_29062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635964 (
+	.A1(n_5325),
+	.A2(n_34855),
+	.B1(n_34824),
+	.B2(brqrv_top_brqrv_ifu_aln_q0ptr),
+	.X(brqrv_top_brqrv_ifu_i0_pc[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g635965 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_r[7]),
+	.A2_N(n_23411),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[9]),
+	.B2(n_23408),
+	.Y(n_29061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g635966 (
+	.A1(n_5457),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[34]),
+	.B1(n_465),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[66]),
+	.Y(n_29060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g635967 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[41]),
+	.A2_N(n_22565),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[38]),
+	.B2(n_23052),
+	.Y(n_29059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g635968 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[30]),
+	.A2(n_22553),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[31]),
+	.B2(n_23411),
+	.Y(n_29058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635969 (
+	.A1(n_5325),
+	.A2(n_34852),
+	.B1(n_34821),
+	.B2(brqrv_top_brqrv_ifu_aln_q0ptr),
+	.X(brqrv_top_brqrv_ifu_i0_pc[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635970 (
+	.A1(n_5325),
+	.A2(n_34853),
+	.B1(n_34822),
+	.B2(brqrv_top_brqrv_ifu_aln_q0ptr),
+	.X(brqrv_top_brqrv_ifu_i0_pc[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635971 (
+	.A1(n_5325),
+	.A2(n_34854),
+	.B1(n_34823),
+	.B2(brqrv_top_brqrv_ifu_aln_q0ptr),
+	.X(brqrv_top_brqrv_ifu_i0_pc[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635972 (
+	.A1(n_5325),
+	.A2(n_34857),
+	.B1(n_34826),
+	.B2(brqrv_top_brqrv_ifu_aln_q0ptr),
+	.X(brqrv_top_brqrv_ifu_i0_pc[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635973 (
+	.A1(n_5325),
+	.A2(n_34858),
+	.B1(n_34827),
+	.B2(brqrv_top_brqrv_ifu_aln_q0ptr),
+	.X(brqrv_top_brqrv_ifu_i0_pc[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635974 (
+	.A1(n_5325),
+	.A2(n_34856),
+	.B1(n_34825),
+	.B2(brqrv_top_brqrv_ifu_aln_q0ptr),
+	.X(brqrv_top_brqrv_ifu_i0_pc[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635975 (
+	.A1(n_5325),
+	.A2(n_34859),
+	.B1(n_34828),
+	.B2(brqrv_top_brqrv_ifu_aln_q0ptr),
+	.X(brqrv_top_brqrv_ifu_i0_pc[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635976 (
+	.A1(n_534),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[20]),
+	.B1(n_544),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[20]),
+	.X(n_33337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635977 (
+	.A1(n_534),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[4]),
+	.B1(n_544),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[4]),
+	.X(n_33321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635978 (
+	.A1(n_534),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[10]),
+	.B1(n_544),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[10]),
+	.X(n_33327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635979 (
+	.A1(n_534),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[18]),
+	.B1(n_544),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[18]),
+	.X(n_33335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635980 (
+	.A1(n_534),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[9]),
+	.B1(n_544),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[9]),
+	.X(n_33326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635981 (
+	.A1(n_534),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[17]),
+	.B1(n_544),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[17]),
+	.X(n_33334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635982 (
+	.A1(n_534),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[12]),
+	.B1(n_544),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[12]),
+	.X(n_33329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635983 (
+	.A1(n_534),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[8]),
+	.B1(n_544),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[8]),
+	.X(n_33325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635984 (
+	.A1(n_534),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[16]),
+	.B1(n_544),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[16]),
+	.X(n_33333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g635986 (
+	.A_N(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill[3]),
+	.B(brqrv_top_brqrv_lsu_addr_in_dccm_m),
+	.C(brqrv_top_brqrv_lsu_stbuf_stbuf_vld[3]),
+	.Y(n_29147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g635987 (
+	.A_N(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill[0]),
+	.B(brqrv_top_brqrv_lsu_addr_in_dccm_m),
+	.C(brqrv_top_brqrv_lsu_stbuf_stbuf_vld[0]),
+	.Y(n_29146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g635988 (
+	.A_N(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill[1]),
+	.B(brqrv_top_brqrv_lsu_addr_in_dccm_m),
+	.C(brqrv_top_brqrv_lsu_stbuf_stbuf_vld[1]),
+	.Y(n_29145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g635989 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_m[11]),
+	.B(n_30854),
+	.X(n_30853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g635990 (
+	.A(n_32683),
+	.B(n_32684),
+	.C(n_31726),
+	.Y(n_31610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g635991 (
+	.A_N(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill[2]),
+	.B(brqrv_top_brqrv_lsu_addr_in_dccm_m),
+	.C(brqrv_top_brqrv_lsu_stbuf_stbuf_vld[2]),
+	.Y(n_29144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635993 (
+	.A1(n_5325),
+	.A2(n_34839),
+	.B1(n_34808),
+	.B2(brqrv_top_brqrv_ifu_aln_q0ptr),
+	.X(brqrv_top_brqrv_ifu_i0_pc[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635994 (
+	.A1(n_5325),
+	.A2(n_34844),
+	.B1(n_34813),
+	.B2(brqrv_top_brqrv_ifu_aln_q0ptr),
+	.X(brqrv_top_brqrv_ifu_i0_pc[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635995 (
+	.A1(n_5325),
+	.A2(n_34832),
+	.B1(n_34801),
+	.B2(brqrv_top_brqrv_ifu_aln_q0ptr),
+	.X(brqrv_top_brqrv_ifu_i0_pc[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635996 (
+	.A1(n_5325),
+	.A2(n_34841),
+	.B1(n_34810),
+	.B2(brqrv_top_brqrv_ifu_aln_q0ptr),
+	.X(brqrv_top_brqrv_ifu_i0_pc[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635997 (
+	.A1(n_5325),
+	.A2(n_34838),
+	.B1(n_34807),
+	.B2(brqrv_top_brqrv_ifu_aln_q0ptr),
+	.X(brqrv_top_brqrv_ifu_i0_pc[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635998 (
+	.A1(n_534),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[7]),
+	.B1(n_544),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[7]),
+	.X(n_33324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g635999 (
+	.A1(n_5325),
+	.A2(n_34847),
+	.B1(n_34816),
+	.B2(brqrv_top_brqrv_ifu_aln_q0ptr),
+	.X(brqrv_top_brqrv_ifu_i0_pc[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g636000 (
+	.A1(n_5325),
+	.A2(n_34846),
+	.B1(n_34815),
+	.B2(brqrv_top_brqrv_ifu_aln_q0ptr),
+	.X(brqrv_top_brqrv_ifu_i0_pc[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g636001 (
+	.A1(n_5325),
+	.A2(n_34834),
+	.B1(n_34803),
+	.B2(brqrv_top_brqrv_ifu_aln_q0ptr),
+	.X(brqrv_top_brqrv_ifu_i0_pc[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g636002 (
+	.A1(n_5325),
+	.A2(n_34842),
+	.B1(n_34811),
+	.B2(brqrv_top_brqrv_ifu_aln_q0ptr),
+	.X(brqrv_top_brqrv_ifu_i0_pc[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g636003 (
+	.A1(n_5325),
+	.A2(n_34835),
+	.B1(n_34804),
+	.B2(brqrv_top_brqrv_ifu_aln_q0ptr),
+	.X(brqrv_top_brqrv_ifu_i0_pc[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g636004 (
+	.A1(n_5325),
+	.A2(n_34849),
+	.B1(n_34818),
+	.B2(brqrv_top_brqrv_ifu_aln_q0ptr),
+	.X(brqrv_top_brqrv_ifu_i0_pc[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g636005 (
+	.A1(n_5325),
+	.A2(n_34840),
+	.B1(n_34809),
+	.B2(brqrv_top_brqrv_ifu_aln_q0ptr),
+	.X(brqrv_top_brqrv_ifu_i0_pc[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g636006 (
+	.A1(n_5325),
+	.A2(n_34833),
+	.B1(n_34802),
+	.B2(brqrv_top_brqrv_ifu_aln_q0ptr),
+	.X(brqrv_top_brqrv_ifu_i0_pc[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g636007 (
+	.A1(n_5325),
+	.A2(n_34851),
+	.B1(n_34820),
+	.B2(brqrv_top_brqrv_ifu_aln_q0ptr),
+	.X(brqrv_top_brqrv_ifu_i0_pc[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g636008 (
+	.A1(n_5325),
+	.A2(n_34831),
+	.B1(n_34800),
+	.B2(brqrv_top_brqrv_ifu_aln_q0ptr),
+	.X(brqrv_top_brqrv_ifu_i0_pc[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g636009 (
+	.A1(n_30684),
+	.A2(n_28804),
+	.B1(n_30683),
+	.B2(n_28803),
+	.X(n_33231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g636010 (
+	.A1(n_5325),
+	.A2(n_34845),
+	.B1(n_34814),
+	.B2(brqrv_top_brqrv_ifu_aln_q0ptr),
+	.X(brqrv_top_brqrv_ifu_i0_pc[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g636011 (
+	.A1(n_5325),
+	.A2(n_34848),
+	.B1(n_34817),
+	.B2(brqrv_top_brqrv_ifu_aln_q0ptr),
+	.X(brqrv_top_brqrv_ifu_i0_pc[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g636012 (
+	.A1(n_5325),
+	.A2(n_34836),
+	.B1(n_34805),
+	.B2(brqrv_top_brqrv_ifu_aln_q0ptr),
+	.X(brqrv_top_brqrv_ifu_i0_pc[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g636013 (
+	.A1(n_5325),
+	.A2(n_34843),
+	.B1(n_34812),
+	.B2(brqrv_top_brqrv_ifu_aln_q0ptr),
+	.X(brqrv_top_brqrv_ifu_i0_pc[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g636014 (
+	.A1(n_5325),
+	.A2(n_34850),
+	.B1(n_34819),
+	.B2(brqrv_top_brqrv_ifu_aln_q0ptr),
+	.X(brqrv_top_brqrv_ifu_i0_pc[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g636015 (
+	.A1(n_534),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[23]),
+	.B1(n_544),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[23]),
+	.X(n_33340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g636016 (
+	.A1(n_5325),
+	.A2(n_34837),
+	.B1(n_34806),
+	.B2(brqrv_top_brqrv_ifu_aln_q0ptr),
+	.X(brqrv_top_brqrv_ifu_i0_pc[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g636017 (
+	.A1(brqrv_top_brqrv_lsu_lsu_pkt_r[9]),
+	.A2(n_28800),
+	.B1(brqrv_top_brqrv_lsu_lsu_pkt_r[8]),
+	.X(n_33310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g636018 (
+	.A1(brqrv_top_brqrv_lsu_lsu_pkt_r[9]),
+	.A2(brqrv_top_brqrv_lsu_lsu_addr_r[1]),
+	.B1(brqrv_top_brqrv_lsu_lsu_pkt_r[8]),
+	.Y(n_29143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g636019 (
+	.A1(brqrv_top_brqrv_lsu_lsu_pkt_r[8]),
+	.A2(brqrv_top_brqrv_lsu_stbuf_n_1475),
+	.B1_N(n_30844),
+	.Y(n_30765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g636020 (
+	.A1(n_30685),
+	.A2(n_28802),
+	.B1(n_30686),
+	.B2(n_6026),
+	.X(n_33230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636021 (
+	.A(n_30854),
+	.B(n_28820),
+	.Y(n_30661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g636023 (
+	.A(n_30818),
+	.B(n_33376),
+	.X(n_29140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636024 (
+	.A(n_30854),
+	.B(brqrv_top_brqrv_lsu_addr_external_m),
+	.Y(n_30662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636025 (
+	.A(n_32650),
+	.B(n_28920),
+	.Y(n_29139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636026 (
+	.A(n_31790),
+	.B(n_28920),
+	.Y(n_2655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636027 (
+	.A(n_31792),
+	.B(n_28920),
+	.Y(n_2649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636028 (
+	.A(n_32649),
+	.B(n_28920),
+	.Y(n_29136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636029 (
+	.A(n_31791),
+	.B(n_28920),
+	.Y(n_2666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g636031 (
+	.A1_N(n_28793),
+	.A2_N(brqrv_top_brqrv_lsu_lsu_addr_m[26]),
+	.B1(n_28793),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[26]),
+	.Y(n_29057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g636032 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[14]),
+	.A2(n_24373),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[15]),
+	.B2(n_24376),
+	.Y(n_29056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g636033 (
+	.A1(n_2633),
+	.A2(brqrv_top_brqrv_lsu_store_data_hi_r[8]),
+	.B1(n_2632),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[0]),
+	.X(n_29055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g636034 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[32]),
+	.A2_N(n_24152),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[31]),
+	.B2(n_24143),
+	.Y(n_29054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g636035 (
+	.A1(n_2749),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[120]),
+	.B1(n_28771),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[56]),
+	.Y(n_29053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g636036 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[27]),
+	.A2_N(n_24376),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[28]),
+	.B2(n_24148),
+	.Y(n_29052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g636037 (
+	.A1(n_2749),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[124]),
+	.B1(n_28771),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[60]),
+	.Y(n_29051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g636038 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[38]),
+	.A2(n_24373),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[39]),
+	.B2(n_24376),
+	.Y(n_29050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g636039 (
+	.A1(n_28780),
+	.A2(n_30839),
+	.B1(n_28777),
+	.B2(n_30843),
+	.Y(n_29049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g636040 (
+	.A1(n_24149),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[42]),
+	.B1(n_24143),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[43]),
+	.Y(n_29048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g636041 (
+	.A1(n_28777),
+	.A2(n_30839),
+	.B1(n_28833),
+	.B2(n_30843),
+	.Y(n_29047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g636042 (
+	.A1(n_28797),
+	.A2(n_30839),
+	.B1(n_28825),
+	.B2(n_30843),
+	.Y(n_29046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g636043 (
+	.A1(n_28825),
+	.A2(n_30839),
+	.B1(n_28779),
+	.B2(n_30843),
+	.Y(n_29045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g636044 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_n_596),
+	.A2(n_22553),
+	.B1(brqrv_top_brqrv_lsu_stbuf_n_608),
+	.B2(n_23408),
+	.Y(n_29044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g636045 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_n_580),
+	.A2_N(n_23052),
+	.B1(brqrv_top_brqrv_lsu_stbuf_n_584),
+	.B2(n_22551),
+	.Y(n_29043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g636046 (
+	.A1(n_2633),
+	.A2(brqrv_top_brqrv_lsu_store_data_hi_r[16]),
+	.B1(n_2632),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[8]),
+	.X(n_29042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g636047 (
+	.A(n_33397),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[23]),
+	.X(n_29041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g636048 (
+	.A1(n_5457),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_write[1]),
+	.B1(n_465),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_write[2]),
+	.Y(n_29040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g636049 (
+	.A(n_33399),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[25]),
+	.X(n_29039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g636050 (
+	.A1(n_5457),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_dbg[1]),
+	.B1(n_465),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_dbg[2]),
+	.Y(n_29038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g636051 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[18]),
+	.A2(n_22553),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[19]),
+	.B2(n_23411),
+	.Y(n_29037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g636052 (
+	.A1(n_5424),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_byteen[33]),
+	.B1(n_463),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_782),
+	.Y(n_29036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g636053 (
+	.A1_N(n_33400),
+	.A2_N(n_23449),
+	.B1(n_33400),
+	.B2(n_23449),
+	.Y(n_29035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g636054 (
+	.A1(n_5424),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_byteen[32]),
+	.B1(n_463),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_777),
+	.Y(n_29034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g636055 (
+	.A1(n_5457),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_byteen[10]),
+	.B1(n_465),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_byteen[18]),
+	.Y(n_29033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g636056 (
+	.A1(n_22551),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[15]),
+	.B1(n_22565),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[17]),
+	.Y(n_29032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g636057 (
+	.A1(n_5424),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_byteen[35]),
+	.B1(n_463),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_792),
+	.Y(n_29031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g636058 (
+	.A1(n_5424),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_byteen[38]),
+	.B1(n_463),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_807),
+	.Y(n_29030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g636059 (
+	.A1(n_5424),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_byteen[37]),
+	.B1(n_463),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_802),
+	.Y(n_29029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g636060 (
+	.A1(n_5424),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_byteen[36]),
+	.B1(n_463),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_797),
+	.Y(n_29028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g636061 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[38]),
+	.A2_N(n_23052),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[41]),
+	.B2(n_22565),
+	.Y(n_29027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g636062 (
+	.A1_N(n_34830),
+	.A2_N(n_5325),
+	.B1(n_34830),
+	.B2(n_5325),
+	.Y(brqrv_top_brqrv_ifu_i0_pc[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g636063 (
+	.A1(n_28775),
+	.A2(n_30839),
+	.B1(n_28826),
+	.B2(n_30843),
+	.Y(n_29026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g636064 (
+	.A(brqrv_top_brqrv_lsu_stbuf_n_588),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[4]),
+	.X(n_29025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g636065 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[34]),
+	.A2_N(n_23407),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[34]),
+	.B2(n_23407),
+	.Y(n_29024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g636066 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[16]),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[4]),
+	.X(n_29023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g636067 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_r[10]),
+	.A2_N(n_23407),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[10]),
+	.B2(n_23407),
+	.Y(n_29022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g636068 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[35]),
+	.A2_N(n_22554),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[35]),
+	.B2(n_22554),
+	.Y(n_29021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g636069 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[27]),
+	.A2_N(n_22551),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[27]),
+	.B2(n_22551),
+	.Y(n_29020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g636070 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[32]),
+	.A2_N(n_22563),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[32]),
+	.B2(n_22563),
+	.Y(n_29019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g636071 (
+	.A(brqrv_top_brqrv_lsu_end_addr_m[7]),
+	.B(n_33381),
+	.Y(n_29018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g636072 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[40]),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[4]),
+	.X(n_29017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g636073 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_n_612),
+	.A2_N(n_23407),
+	.B1(brqrv_top_brqrv_lsu_stbuf_n_612),
+	.B2(n_23407),
+	.Y(n_29016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g636074 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_r[8]),
+	.A2_N(n_22563),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[8]),
+	.B2(n_22563),
+	.Y(n_29015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g636075 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_r[6]),
+	.A2_N(n_22553),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[6]),
+	.B2(n_22553),
+	.Y(n_29014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g636076 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[42]),
+	.A2_N(n_22553),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[42]),
+	.B2(n_22553),
+	.Y(n_29013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g636077 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[4]),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[4]),
+	.Y(n_29012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g636078 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[44]),
+	.A2_N(n_22563),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[44]),
+	.B2(n_22563),
+	.Y(n_29011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g636079 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_r[3]),
+	.A2_N(n_22551),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[3]),
+	.B2(n_22551),
+	.Y(n_29010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g636080 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[33]),
+	.A2_N(n_23408),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[33]),
+	.B2(n_23408),
+	.Y(n_29009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g636081 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[14]),
+	.A2_N(n_23052),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[14]),
+	.B2(n_23052),
+	.Y(n_29008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g636082 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[5]),
+	.B(n_33379),
+	.X(n_29007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g636083 (
+	.A1_N(n_24143),
+	.A2_N(n_33381),
+	.B1(n_24143),
+	.B2(n_33381),
+	.Y(n_29006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g636084 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[9]),
+	.B(n_33383),
+	.X(n_29005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g636085 (
+	.A1_N(n_24377),
+	.A2_N(n_33385),
+	.B1(n_24377),
+	.B2(n_33385),
+	.Y(n_29004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g636086 (
+	.A1_N(n_33385),
+	.A2_N(n_22554),
+	.B1(n_33385),
+	.B2(n_22554),
+	.Y(n_29003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g636087 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_r[10]),
+	.A2_N(n_24144),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[10]),
+	.B2(n_24144),
+	.Y(n_29002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g636088 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[9]),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[9]),
+	.Y(n_29001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g636089 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_r[6]),
+	.A2_N(n_24149),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[6]),
+	.B2(n_24149),
+	.Y(n_29000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g636090 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[5]),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[5]),
+	.X(n_28999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g636091 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_r[2]),
+	.A2_N(n_24373),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[2]),
+	.B2(n_24373),
+	.Y(n_28998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g636092 (
+	.A1_N(n_22565),
+	.A2_N(n_33379),
+	.B1(n_22565),
+	.B2(n_33379),
+	.Y(n_28997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g636093 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_n_616),
+	.A2_N(n_24377),
+	.B1(brqrv_top_brqrv_lsu_stbuf_n_616),
+	.B2(n_24377),
+	.Y(n_28996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g636094 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_n_612),
+	.A2_N(n_24144),
+	.B1(brqrv_top_brqrv_lsu_stbuf_n_612),
+	.B2(n_24144),
+	.Y(n_28995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g636095 (
+	.A(n_33378),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[4]),
+	.Y(n_28994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g636096 (
+	.A(brqrv_top_brqrv_lsu_stbuf_n_608),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[9]),
+	.X(n_28993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g636097 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_n_600),
+	.A2_N(n_24143),
+	.B1(brqrv_top_brqrv_lsu_stbuf_n_600),
+	.B2(n_24143),
+	.Y(n_28992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g636098 (
+	.A(brqrv_top_brqrv_lsu_stbuf_n_592),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[5]),
+	.Y(n_28991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g636099 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_n_584),
+	.A2_N(n_24376),
+	.B1(brqrv_top_brqrv_lsu_stbuf_n_584),
+	.B2(n_24376),
+	.Y(n_28990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g636100 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[23]),
+	.A2_N(n_24377),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[23]),
+	.B2(n_24377),
+	.Y(n_28989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g636101 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[22]),
+	.A2_N(n_24144),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[22]),
+	.B2(n_24144),
+	.Y(n_28988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g636102 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[21]),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[9]),
+	.Y(n_28987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g636103 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[17]),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[5]),
+	.Y(n_28986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g636104 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[26]),
+	.A2_N(n_24373),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[26]),
+	.B2(n_24373),
+	.Y(n_28985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g636105 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[16]),
+	.A2_N(n_24148),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[16]),
+	.B2(n_24148),
+	.Y(n_28984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g636106 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[34]),
+	.A2_N(n_24144),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[34]),
+	.B2(n_24144),
+	.Y(n_28983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g636107 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[35]),
+	.A2_N(n_24377),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[35]),
+	.B2(n_24377),
+	.Y(n_28982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g636108 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[33]),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[9]),
+	.X(n_28981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g636109 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[30]),
+	.A2_N(n_24149),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[30]),
+	.B2(n_24149),
+	.Y(n_28980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g636110 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[29]),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[5]),
+	.Y(n_28979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g636111 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[47]),
+	.A2_N(n_24377),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[47]),
+	.B2(n_24377),
+	.Y(n_28978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g636112 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[46]),
+	.A2_N(n_24144),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[46]),
+	.B2(n_24144),
+	.Y(n_28977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g636113 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[45]),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[9]),
+	.X(n_28976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g636114 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[41]),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[5]),
+	.Y(n_28975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g636115 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[40]),
+	.A2_N(n_24148),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[40]),
+	.B2(n_24148),
+	.Y(n_28974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g636116 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[44]),
+	.A2_N(n_24152),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[44]),
+	.B2(n_24152),
+	.Y(n_28973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g636117 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_n_616),
+	.A2_N(n_22554),
+	.B1(brqrv_top_brqrv_lsu_stbuf_n_616),
+	.B2(n_22554),
+	.Y(n_28972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g636118 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_n_604),
+	.A2_N(n_22563),
+	.B1(brqrv_top_brqrv_lsu_stbuf_n_604),
+	.B2(n_22563),
+	.Y(n_28971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g636119 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_n_600),
+	.A2_N(n_23411),
+	.B1(brqrv_top_brqrv_lsu_stbuf_n_600),
+	.B2(n_23411),
+	.Y(n_28970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g636120 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[20]),
+	.A2_N(n_24152),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[20]),
+	.B2(n_24152),
+	.Y(n_28969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g636121 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[47]),
+	.A2_N(n_22554),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[47]),
+	.B2(n_22554),
+	.Y(n_28968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g636122 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_n_592),
+	.A2_N(n_22565),
+	.B1(brqrv_top_brqrv_lsu_stbuf_n_592),
+	.B2(n_22565),
+	.Y(n_28967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g636123 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[28]),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[4]),
+	.Y(n_28966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g636124 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[23]),
+	.A2_N(n_22554),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[23]),
+	.B2(n_22554),
+	.Y(n_28965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g636125 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[22]),
+	.A2_N(n_23407),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[22]),
+	.B2(n_23407),
+	.Y(n_28964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g636126 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[21]),
+	.A2_N(n_23408),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[21]),
+	.B2(n_23408),
+	.Y(n_28963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g636127 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[20]),
+	.A2_N(n_22563),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[20]),
+	.B2(n_22563),
+	.Y(n_28962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g636128 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[39]),
+	.A2_N(n_22551),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[39]),
+	.B2(n_22551),
+	.Y(n_28961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g636129 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[46]),
+	.A2_N(n_23407),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[46]),
+	.B2(n_23407),
+	.Y(n_28960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g636130 (
+	.A1_N(n_28785),
+	.A2_N(brqrv_top_brqrv_lsu_end_addr_m[12]),
+	.B1(n_28785),
+	.B2(brqrv_top_brqrv_lsu_end_addr_m[12]),
+	.Y(n_28959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g636131 (
+	.A1_N(n_33392),
+	.A2_N(n_23442),
+	.B1(n_33392),
+	.B2(n_23442),
+	.Y(n_28958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g636132 (
+	.A(n_33394),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[20]),
+	.X(n_28957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g636133 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[28]),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[28]),
+	.Y(n_28956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g636134 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[30]),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[30]),
+	.Y(n_28955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g636135 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[31]),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[31]),
+	.Y(n_28954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g636136 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[16]),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[16]),
+	.Y(n_28953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g636137 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[30]),
+	.B(n_33404),
+	.X(n_28952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g636138 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[31]),
+	.B(n_33405),
+	.X(n_28951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g636139 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[21]),
+	.B(n_33395),
+	.Y(n_28950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g636140 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[18]),
+	.B(n_33392),
+	.Y(n_28949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g636141 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[23]),
+	.B(n_33397),
+	.X(n_28948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g636142 (
+	.A1(n_28837),
+	.A2(n_33401),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_m[27]),
+	.B2(n_28784),
+	.Y(n_28947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g636143 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[25]),
+	.B(n_33399),
+	.Y(n_28946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g636144 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[22]),
+	.B(n_33396),
+	.Y(n_28945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g636145 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[29]),
+	.B(n_33403),
+	.Y(n_28944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g636146 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[26]),
+	.B(n_33400),
+	.Y(n_28943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g636147 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[20]),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[20]),
+	.X(n_28942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g636148 (
+	.A1(n_28841),
+	.A2(brqrv_top_brqrv_lsu_lsu_addr_m[15]),
+	.B1(n_33389),
+	.B2(n_28831),
+	.Y(n_28941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g636149 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[17]),
+	.B(n_33391),
+	.Y(n_28940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g636150 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[14]),
+	.B(n_33388),
+	.Y(n_28939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g636151 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[13]),
+	.B(n_33387),
+	.X(n_28938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g636152 (
+	.A(n_33386),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[12]),
+	.Y(n_28937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g636153 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_m[21]),
+	.A2_N(n_28836),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_m[21]),
+	.B2(n_28836),
+	.Y(n_28936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g636154 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[18]),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[18]),
+	.Y(n_28935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g636155 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[17]),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[17]),
+	.X(n_28934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g636156 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_m[14]),
+	.A2_N(n_28795),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_m[14]),
+	.B2(n_28795),
+	.Y(n_28933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g636157 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_m[13]),
+	.A2_N(n_28849),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_m[13]),
+	.B2(n_28849),
+	.Y(n_28932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g636158 (
+	.A(n_33390),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[16]),
+	.X(n_28931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g636159 (
+	.A1_N(n_33388),
+	.A2_N(n_23419),
+	.B1(n_33388),
+	.B2(n_23419),
+	.Y(n_28930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g636160 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_m[25]),
+	.A2_N(n_28794),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_m[25]),
+	.B2(n_28794),
+	.Y(n_28929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g636161 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_m[22]),
+	.A2_N(n_28830),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_m[22]),
+	.B2(n_28830),
+	.Y(n_28928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g636162 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[31]),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[31]),
+	.Y(n_28927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g636163 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[30]),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[30]),
+	.Y(n_28926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g636164 (
+	.A(n_33405),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[31]),
+	.Y(n_28925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g636165 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[29]),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[29]),
+	.X(n_28924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g636166 (
+	.A(n_33404),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[30]),
+	.X(n_28923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g636167 (
+	.A(n_33396),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[22]),
+	.X(n_28922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g636168 (
+	.A(n_33402),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[28]),
+	.X(n_28921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g636169 (
+	.A1(n_534),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[6]),
+	.B1(n_544),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[6]),
+	.X(n_33323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g636170 (
+	.A1(n_534),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[14]),
+	.B1(n_544),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[14]),
+	.X(n_33331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g636171 (
+	.A1(n_534),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[22]),
+	.B1(n_544),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[22]),
+	.X(n_33339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g636172 (
+	.A1(n_534),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[15]),
+	.B1(n_544),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[15]),
+	.X(n_33332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g636176 (
+	.A(n_28917),
+	.Y(n_30808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g636177 (
+	.A(n_2609),
+	.Y(n_30577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g636178 (
+	.A(n_2720),
+	.Y(n_30574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g636179 (
+	.A(n_2606),
+	.Y(n_30810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g636180 (
+	.A(n_28913),
+	.Y(n_30651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g636182 (
+	.A(n_30825),
+	.Y(n_28911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g636183 (
+	.A(n_487),
+	.Y(n_30704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g636187 (
+	.A(n_489),
+	.Y(n_30804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g636191 (
+	.A(n_33043),
+	.Y(n_24348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636194 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[27]),
+	.B(n_23440),
+	.Y(n_28899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636195 (
+	.A(n_24376),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[3]),
+	.Y(n_28898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636196 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[21]),
+	.B(n_23445),
+	.Y(n_28897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g636197 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[19]),
+	.B_N(brqrv_top_brqrv_lsu_end_addr_m[19]),
+	.Y(n_28896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636198 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[15]),
+	.B(n_23417),
+	.Y(n_28895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636199 (
+	.A(n_30840),
+	.B(n_30842),
+	.Y(n_28894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636200 (
+	.A(n_30607),
+	.B(n_6026),
+	.Y(n_28893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636201 (
+	.A(n_33402),
+	.B(n_28798),
+	.Y(n_28892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636202 (
+	.A(n_33398),
+	.B(n_28783),
+	.Y(n_28891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636203 (
+	.A(n_23411),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[7]),
+	.Y(n_28890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636204 (
+	.A(n_23052),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[26]),
+	.Y(n_28889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g636205 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[23]),
+	.B_N(brqrv_top_brqrv_lsu_end_addr_m[23]),
+	.Y(n_28888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636206 (
+	.A(n_33394),
+	.B(n_28834),
+	.Y(n_28887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g636207 (
+	.A(n_31745),
+	.B(n_33354),
+	.X(n_31614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g636208 (
+	.A_N(n_30443),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_r[6]),
+	.Y(n_30800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636209 (
+	.A(n_28820),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_m[9]),
+	.Y(n_30590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636210 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_m[9]),
+	.B(brqrv_top_brqrv_lsu_addr_external_m),
+	.Y(n_30591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g636211 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_m[10]),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_m[9]),
+	.X(n_30854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g636212 (
+	.A(n_28819),
+	.B(n_33373),
+	.X(n_28920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g636213 (
+	.A(n_32697),
+	.B(n_33354),
+	.X(n_31642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636216 (
+	.A(n_33374),
+	.B(n_31793),
+	.Y(n_28917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636217 (
+	.A(n_31775),
+	.B(n_33370),
+	.Y(n_2609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636218 (
+	.A(n_31776),
+	.B(n_33370),
+	.Y(n_2720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636219 (
+	.A(n_31796),
+	.B(n_33374),
+	.Y(n_2606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636220 (
+	.A(n_33372),
+	.B(n_31780),
+	.Y(n_28913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636221 (
+	.A(brqrv_top_brqrv_exu_mul_valid_x),
+	.B(brqrv_top_brqrv_exu_i_mul_low_x),
+	.Y(n_30705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636222 (
+	.A(n_33373),
+	.B(n_6026),
+	.Y(n_30825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636223 (
+	.A(brqrv_top_brqrv_exu_i_mul_low_x),
+	.B(n_342),
+	.Y(n_487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636224 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[0]),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[1]),
+	.Y(n_30803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g636225 (
+	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_n_267 ),
+	.B_N(\brqrv_top_mem_Gen_dccm_enable.dccm_n_266 ),
+	.Y(n_344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g636226 (
+	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_n_266 ),
+	.B_N(\brqrv_top_mem_Gen_dccm_enable.dccm_n_267 ),
+	.Y(n_345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636227 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[1]),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[0]),
+	.Y(n_489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636229 (
+	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_n_266 ),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_n_267 ),
+	.Y(n_488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g636230 (
+	.A_N(brqrv_top_brqrv_lsu_lsu_addr_m[1]),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[0]),
+	.Y(n_30801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636231 (
+	.A(brqrv_top_brqrv_ifu_i0_pc4),
+	.B(n_30517),
+	.Y(n_33043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636232 (
+	.A(brqrv_top_brqrv_dec_decode_x_d[3]),
+	.B(brqrv_top_brqrv_dec_decode_x_d[1]),
+	.Y(n_31484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636233 (
+	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_n_268 ),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_n_269 ),
+	.Y(n_399607_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g636234 (
+	.A(\brqrv_top_mem_iccm.iccm_iccm_rd_addr_lo_q [3]),
+	.B_N(\brqrv_top_mem_iccm.iccm_iccm_rd_addr_lo_q [2]),
+	.Y(n_2617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g636236 (
+	.A(n_2611),
+	.Y(n_30833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g636237 (
+	.A(n_30832),
+	.Y(n_28885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g636239 (
+	.A(n_30657),
+	.Y(n_28884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g636240 (
+	.A(n_2610),
+	.Y(n_30576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g636242 (
+	.A(n_2614),
+	.Y(n_30573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g636243 (
+	.A(n_2719),
+	.Y(n_30807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g636244 (
+	.A(n_2738),
+	.Y(n_30656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g636246 (
+	.A(n_2615),
+	.Y(n_30806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g636247 (
+	.A(n_28876),
+	.Y(n_30585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g636250 (
+	.A(n_28872),
+	.Y(n_30650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g636251 (
+	.A(n_28871),
+	.Y(n_30652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g636258 (
+	.A(n_28864),
+	.Y(n_32815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g636260 (
+	.A(n_32521),
+	.Y(n_24507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636263 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[42]),
+	.B(n_24149),
+	.Y(n_28859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636264 (
+	.A(n_30834),
+	.B(n_6026),
+	.Y(n_28858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636265 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[15]),
+	.B(n_22551),
+	.Y(n_28857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636266 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[27]),
+	.B(n_24376),
+	.Y(n_28856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636267 (
+	.A(n_33390),
+	.B(n_28848),
+	.Y(n_28855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636268 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_r[8]),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_r[9]),
+	.Y(n_28854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636269 (
+	.A(brqrv_top_brqrv_lsu_stbuf_n_580),
+	.B(n_24373),
+	.Y(n_28853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636270 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_r[11]),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_r[10]),
+	.Y(n_28852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636274 (
+	.A(n_31862),
+	.B(n_32559),
+	.Y(n_2611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636275 (
+	.A(n_32559),
+	.B(n_2710),
+	.Y(n_30832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636277 (
+	.A(n_32559),
+	.B(n_31862),
+	.Y(n_30657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636278 (
+	.A(n_31777),
+	.B(n_33370),
+	.Y(n_2610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636279 (
+	.A(n_33374),
+	.B(n_32652),
+	.Y(n_2618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636280 (
+	.A(n_31774),
+	.B(n_33370),
+	.Y(n_2614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636281 (
+	.A(n_33374),
+	.B(n_31795),
+	.Y(n_2719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636282 (
+	.A(n_2710),
+	.B(n_32559),
+	.Y(n_2738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636283 (
+	.A(n_31778),
+	.B(n_33370),
+	.Y(n_2726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636284 (
+	.A(n_33374),
+	.B(n_31794),
+	.Y(n_2615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636285 (
+	.A(n_31863),
+	.B(n_31862),
+	.Y(n_28876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636286 (
+	.A(n_2710),
+	.B(n_31863),
+	.Y(n_30850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636287 (
+	.A(brqrv_top_brqrv_lsu_addr_in_dccm_m),
+	.B(n_24301),
+	.Y(n_28874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636288 (
+	.A(n_31862),
+	.B(n_537),
+	.Y(n_30589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636289 (
+	.A(n_31863),
+	.B(n_31862),
+	.Y(n_30818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636291 (
+	.A(n_33372),
+	.B(n_32644),
+	.Y(n_28872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636292 (
+	.A(n_33372),
+	.B(n_31781),
+	.Y(n_28871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636293 (
+	.A(n_33372),
+	.B(n_31779),
+	.Y(n_2786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636294 (
+	.A(n_31784),
+	.B(n_33372),
+	.Y(n_2760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g636295 (
+	.A_N(brqrv_top_brqrv_lsu_lsu_addr_m[0]),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[1]),
+	.Y(n_30802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g636297 (
+	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_n_268 ),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_n_269 ),
+	.X(n_399608_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636299 (
+	.A(brqrv_top_brqrv_ifu_aln_n_2316),
+	.B(n_30516),
+	.Y(n_24216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636300 (
+	.A(brqrv_top_brqrv_ifu_aln_n_2310),
+	.B(n_30516),
+	.Y(n_28864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636301 (
+	.A(brqrv_top_brqrv_ifu_aln_n_2319),
+	.B(n_30516),
+	.Y(n_24204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636302 (
+	.A(\brqrv_top_mem_iccm.iccm_iccm_rd_addr_lo_q [3]),
+	.B(\brqrv_top_mem_iccm.iccm_iccm_rd_addr_lo_q [2]),
+	.Y(n_32521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636303 (
+	.A(\brqrv_top_mem_iccm.iccm_iccm_rd_addr_lo_q [2]),
+	.B(\brqrv_top_mem_iccm.iccm_iccm_rd_addr_lo_q [3]),
+	.Y(n_2722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g636304 (
+	.A(\brqrv_top_mem_iccm.iccm_iccm_rd_addr_lo_q [2]),
+	.B_N(\brqrv_top_mem_iccm.iccm_iccm_rd_addr_lo_q [3]),
+	.Y(n_2605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g636305 (
+	.A(brqrv_top_brqrv_lsu_stbuf_n_1475),
+	.Y(n_28851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g636307 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[13]),
+	.Y(n_28849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g636308 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[16]),
+	.Y(n_28848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g636309 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[15]),
+	.Y(n_28847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g636310 (
+	.A(n_33387),
+	.Y(n_28846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g636311 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[23]),
+	.Y(n_28845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g636314 (
+	.A(n_33393),
+	.Y(n_28842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g636315 (
+	.A(n_33389),
+	.Y(n_28841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g636317 (
+	.A(brqrv_top_brqrv_lsu_store_data_hi_r[6]),
+	.Y(n_28839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g636319 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[27]),
+	.Y(n_28837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g636320 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[21]),
+	.Y(n_28836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g636321 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[19]),
+	.Y(n_28835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g636322 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[20]),
+	.Y(n_28834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g636323 (
+	.A(brqrv_top_brqrv_lsu_store_data_lo_r[20]),
+	.Y(n_28833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g636324 (
+	.A(n_30827),
+	.Y(n_2650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g636325 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[15]),
+	.Y(n_28831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g636326 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[22]),
+	.Y(n_28830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g636327 (
+	.A(n_30836),
+	.Y(n_28829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g636328 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[27]),
+	.Y(n_28828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g636329 (
+	.A(brqrv_top_brqrv_lsu_store_data_hi_r[7]),
+	.Y(n_28827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g636330 (
+	.A(brqrv_top_brqrv_lsu_store_data_lo_r[23]),
+	.Y(n_28826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g636331 (
+	.A(brqrv_top_brqrv_lsu_store_data_lo_r[26]),
+	.Y(n_28825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g636332 (
+	.A(n_31788),
+	.Y(n_2677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g636333 (
+	.A(n_31786),
+	.Y(n_28823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g636335 (
+	.A(n_31789),
+	.Y(n_2678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g636338 (
+	.A(brqrv_top_brqrv_lsu_addr_external_m),
+	.Y(n_28820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g636339 (
+	.A(n_32537),
+	.Y(n_28819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g636344 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[8]),
+	.Y(n_24152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g636347 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[11]),
+	.Y(n_24377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g636349 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[2]),
+	.Y(n_24373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g636350 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[7]),
+	.Y(n_24143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g636351 (
+	.A(n_30843),
+	.Y(n_28807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g636354 (
+	.A(n_30831),
+	.Y(n_28804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g636355 (
+	.A(n_30846),
+	.Y(n_28803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g636356 (
+	.A(n_30848),
+	.Y(n_28802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g636357 (
+	.A(brqrv_top_brqrv_ifu_aln_q0ptr),
+	.Y(n_5325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g636358 (
+	.A(n_32658),
+	.Y(n_28800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g636360 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[28]),
+	.Y(n_28798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g636361 (
+	.A(brqrv_top_brqrv_lsu_store_data_hi_r[2]),
+	.Y(n_28797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g636363 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[14]),
+	.Y(n_28795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g636364 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[25]),
+	.Y(n_28794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g636365 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[26]),
+	.Y(n_28793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g636366 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[12]),
+	.Y(n_28792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g636367 (
+	.A(n_33395),
+	.Y(n_28791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g636369 (
+	.A(n_33391),
+	.Y(n_28789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g636373 (
+	.A(brqrv_top_brqrv_lsu_store_data_lo_r[22]),
+	.Y(n_28786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g636374 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[12]),
+	.Y(n_28785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g636375 (
+	.A(n_33401),
+	.Y(n_28784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g636376 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[24]),
+	.Y(n_28783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g636378 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[24]),
+	.Y(n_28781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g636379 (
+	.A(brqrv_top_brqrv_lsu_store_data_hi_r[4]),
+	.Y(n_28780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g636380 (
+	.A(brqrv_top_brqrv_lsu_store_data_lo_r[18]),
+	.Y(n_28779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g636382 (
+	.A(brqrv_top_brqrv_lsu_store_data_lo_r[28]),
+	.Y(n_28777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g636384 (
+	.A(brqrv_top_brqrv_lsu_store_data_lo_r[31]),
+	.Y(n_28775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g636385 (
+	.A(brqrv_top_brqrv_lsu_store_data_lo_r[30]),
+	.Y(n_28774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g636387 (
+	.A(n_31787),
+	.Y(n_2749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g636388 (
+	.A(n_32646),
+	.Y(n_28771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g636394 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[6]),
+	.Y(n_24149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g636395 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[4]),
+	.Y(n_24148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g636396 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[3]),
+	.Y(n_24376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g636398 (
+	.A(brqrv_top_brqrv_lsu_end_addr_m[2]),
+	.Y(n_23052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g636401 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[10]),
+	.Y(n_24144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g636402 (
+	.A(n_31863),
+	.Y(n_537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g636404 (
+	.A(n_30780),
+	.Y(n_544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g636405 (
+	.A(n_31862),
+	.Y(n_2710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g636406 (
+	.A(n_30847),
+	.Y(n_6026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g2 (
+	.A_N(n_31642),
+	.B(n_31610),
+	.Y(n_28752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g636410 (
+	.A1(n_28741),
+	.A2(n_28747),
+	.B1(n_30930),
+	.Y(n_31546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g636411 (
+	.A1(n_545),
+	.A2(n_28747),
+	.B1(n_28746),
+	.Y(brqrv_top_n_973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g636412 (
+	.A1(brqrv_top_brqrv_dma_iccm_req),
+	.A2(brqrv_top_brqrv_dma_mem_addr[2]),
+	.B1(n_31561),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[2]),
+	.X(brqrv_top_iccm_rw_addr[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g604577 (
+	.A1(brqrv_top_brqrv_dma_iccm_req),
+	.A2(n_33553),
+	.B1(n_31561),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[3]),
+	.X(brqrv_top_iccm_rw_addr[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g604578 (
+	.A_N(n_28747),
+	.B(brqrv_top_brqrv_dma_mem_sz[0]),
+	.Y(n_31549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g604579 (
+	.A1(brqrv_top_brqrv_dma_iccm_req),
+	.A2(n_32921),
+	.B1(n_31561),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[9]),
+	.X(brqrv_top_iccm_rw_addr[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g604580 (
+	.A1(brqrv_top_brqrv_dma_iccm_req),
+	.A2(n_32920),
+	.B1(n_31561),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[8]),
+	.X(brqrv_top_iccm_rw_addr[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g604581 (
+	.A1(brqrv_top_brqrv_dma_iccm_req),
+	.A2(n_32919),
+	.B1(n_31561),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[7]),
+	.X(brqrv_top_iccm_rw_addr[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g604582 (
+	.A1(brqrv_top_brqrv_dma_iccm_req),
+	.A2(n_32923),
+	.B1(n_31561),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[11]),
+	.X(brqrv_top_iccm_rw_addr[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g604583 (
+	.A1(brqrv_top_brqrv_dma_iccm_req),
+	.A2(n_32918),
+	.B1(n_31561),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[6]),
+	.X(brqrv_top_iccm_rw_addr[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g604584 (
+	.A1(brqrv_top_brqrv_dma_iccm_req),
+	.A2(n_32922),
+	.B1(n_31561),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[10]),
+	.X(brqrv_top_iccm_rw_addr[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g604585 (
+	.A1(brqrv_top_brqrv_dma_iccm_req),
+	.A2(n_32917),
+	.B1(n_31561),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[5]),
+	.X(brqrv_top_iccm_rw_addr[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g604586 (
+	.A1(brqrv_top_brqrv_dma_iccm_req),
+	.A2(n_32916),
+	.B1(n_31561),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[4]),
+	.X(brqrv_top_iccm_rw_addr[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g604587 (
+	.A(brqrv_top_brqrv_dma_iccm_req),
+	.B(n_28741),
+	.Y(n_32352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g604588 (
+	.A_N(n_11336),
+	.B(n_31561),
+	.C(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[1]),
+	.Y(n_28746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g604589 (
+	.A(brqrv_top_brqrv_dma_iccm_req),
+	.B(brqrv_top_core_rst),
+	.Y(n_28747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g604590 (
+	.A(brqrv_top_brqrv_dma_iccm_req),
+	.Y(n_31561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g604591 (
+	.A(n_28743),
+	.B(n_32565),
+	.C(n_31562),
+	.Y(brqrv_top_brqrv_dma_iccm_req), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g604592 (
+	.A(brqrv_top_brqrv_ifu_ifc_dma_iccm_stall_any_f),
+	.B(n_28744),
+	.Y(n_31562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g604593 (
+	.A1(brqrv_top_brqrv_ifu_ifc_iccm_access_bf),
+	.A2(n_377915_BAR),
+	.A3(n_31577),
+	.B1(brqrv_top_brqrv_exu_flush_final),
+	.Y(n_28744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g604594 (
+	.A(brqrv_top_brqrv_dma_ctrl_dma_mem_addr_in_iccm),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_ifc_dma_access_ok_prev),
+	.Y(n_28743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g604596 (
+	.A(brqrv_top_brqrv_dma_mem_write),
+	.Y(n_28741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636413 (
+	.A(n_28740),
+	.B(n_32604),
+	.Y(brqrv_top_brqrv_ifu_ic_fetch_val_f[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g636414 (
+	.A(n_328267_BAR),
+	.B(n_28738),
+	.C(n_328268_BAR),
+	.X(brqrv_top_brqrv_dec_tlu_dpc_ns[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g636415 (
+	.A(n_328279_BAR),
+	.B(n_28737),
+	.C(n_328280_BAR),
+	.X(brqrv_top_brqrv_dec_tlu_dpc_ns[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g636416 (
+	.A(n_328327_BAR),
+	.B(n_28739),
+	.C(n_328328_BAR),
+	.X(brqrv_top_brqrv_dec_tlu_dpc_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g636417 (
+	.A(n_328351_BAR),
+	.B(n_28736),
+	.C(n_328352_BAR),
+	.X(brqrv_top_brqrv_dec_tlu_dpc_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g636418 (
+	.A(n_328315_BAR),
+	.B(n_28735),
+	.C(n_328316_BAR),
+	.X(brqrv_top_brqrv_dec_tlu_dpc_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g636419 (
+	.A(n_328291_BAR),
+	.B(n_28734),
+	.C(n_328292_BAR),
+	.X(brqrv_top_brqrv_dec_tlu_dpc_ns[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g636420 (
+	.A1(n_28733),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_n_1839),
+	.A3(brqrv_top_brqrv_ifu_mem_ctl_ifc_iccm_access_f),
+	.B1(n_28733),
+	.B2(brqrv_top_brqrv_ifu_mem_ctl_ifc_region_acc_fault_final_f),
+	.Y(n_28740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g636421 (
+	.A(n_31313),
+	.B_N(brqrv_top_brqrv_dec_tlu_npc_r[3]),
+	.Y(n_28739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g636422 (
+	.A(n_31313),
+	.B_N(brqrv_top_brqrv_dec_tlu_npc_r[9]),
+	.Y(n_28738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g636423 (
+	.A(n_31313),
+	.B_N(brqrv_top_brqrv_dec_tlu_npc_r[8]),
+	.Y(n_28737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g636424 (
+	.A(n_31313),
+	.B_N(brqrv_top_brqrv_dec_tlu_npc_r[4]),
+	.Y(n_28736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g636425 (
+	.A(n_31313),
+	.B_N(brqrv_top_brqrv_dec_tlu_npc_r[5]),
+	.Y(n_28735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g636426 (
+	.A(n_31313),
+	.B_N(brqrv_top_brqrv_dec_tlu_npc_r[7]),
+	.Y(n_28734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g636427 (
+	.A(n_32596),
+	.B(n_32605),
+	.X(n_32604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g636428 (
+	.A(n_297024_BAR),
+	.B(n_32204),
+	.C(n_28728),
+	.Y(brqrv_top_brqrv_dec_tlu_npc_r[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636429 (
+	.A(n_28733),
+	.B(n_264676_BAR),
+	.Y(n_32605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636430 (
+	.A(n_28733),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_ifc_iccm_access_f),
+	.Y(n_32606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g636431 (
+	.A(n_359282_BAR),
+	.B(n_31043),
+	.C(n_28731),
+	.Y(brqrv_top_brqrv_dec_tlu_npc_r[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g636432 (
+	.A(n_359320_BAR),
+	.B(n_31041),
+	.C(n_28727),
+	.Y(brqrv_top_brqrv_dec_tlu_npc_r[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g636433 (
+	.A(n_297042_BAR),
+	.B(n_32203),
+	.C(n_28732),
+	.Y(brqrv_top_brqrv_dec_tlu_npc_r[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g636434 (
+	.A(n_297288_BAR),
+	.B(n_32201),
+	.C(n_28729),
+	.Y(brqrv_top_brqrv_dec_tlu_npc_r[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g636435 (
+	.A(n_297306_BAR),
+	.B(n_32200),
+	.C(n_28730),
+	.Y(brqrv_top_brqrv_dec_tlu_npc_r[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g636436 (
+	.A(n_28733),
+	.Y(n_32607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636437 (
+	.A(n_39138),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_upper_r[9]),
+	.Y(n_28732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636438 (
+	.A(n_39138),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_upper_r[3]),
+	.Y(n_28731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g636439 (
+	.A(brqrv_top_brqrv_exu_flush_final),
+	.B_N(brqrv_top_brqrv_ifu_mem_ctl_ifc_fetch_req_f_raw),
+	.Y(n_28733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636440 (
+	.A(n_39138),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_upper_r[4]),
+	.Y(n_28730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636441 (
+	.A(n_39138),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_upper_r[5]),
+	.Y(n_28729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636442 (
+	.A(n_39138),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_upper_r[8]),
+	.Y(n_28728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g636443 (
+	.A(n_39138),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_upper_r[7]),
+	.Y(n_28727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g636445 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_miss_pending),
+	.B(n_32605),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g605079 (
+	.A1(n_28709),
+	.A2(n_32292),
+	.B1(n_28725),
+	.C1(n_32297),
+	.X(n_32291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g605080 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder1_out [33]),
+	.A2(n_8252),
+	.B1(n_28724),
+	.C1(n_32295),
+	.Y(n_28725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g605081 (
+	.A(n_8252),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder1_out [33]),
+	.C(n_28723),
+	.Y(n_28724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g605082 (
+	.A(n_33940),
+	.B(n_33941),
+	.C(n_32301),
+	.D(n_28722),
+	.Y(n_28723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g605083 (
+	.A(n_33942),
+	.B(n_33947),
+	.C(n_28716),
+	.D(n_28721),
+	.X(n_28722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g605084 (
+	.A(n_28720),
+	.B(n_28714),
+	.C(n_28715),
+	.D(n_28712),
+	.Y(n_28721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g605085 (
+	.A(n_33954),
+	.B(n_33959),
+	.C(n_28719),
+	.Y(n_28720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g605086 (
+	.A(n_28718),
+	.B(n_28717),
+	.C(n_28713),
+	.D(n_28710),
+	.Y(n_28719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4b_2 g605087 (
+	.A(n_32570),
+	.B(n_32573),
+	.C(n_31711),
+	.D_N(n_32582),
+	.X(n_30499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g605088 (
+	.A(n_32790),
+	.B(n_32574),
+	.C(n_32580),
+	.D(n_32545),
+	.X(n_30510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g605089 (
+	.A(n_32578),
+	.B(n_32790),
+	.C(n_31698),
+	.D(n_31703),
+	.X(n_30506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g605090 (
+	.A(n_28711),
+	.B(n_32579),
+	.C(n_32790),
+	.Y(n_30488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_2 g605091 (
+	.A(n_31700),
+	.B(n_32552),
+	.C_N(n_32574),
+	.X(n_30492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g605092 (
+	.A(n_32345),
+	.B(n_32294),
+	.X(n_32289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g605093 (
+	.A(n_32581),
+	.B(n_31697),
+	.X(n_30486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g605094 (
+	.A_N(n_32345),
+	.B(n_32294),
+	.Y(n_32293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g605095 (
+	.A(n_31700),
+	.B(n_31702),
+	.X(n_30484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g605097 (
+	.A(n_32573),
+	.B(n_31696),
+	.X(n_30491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g605098 (
+	.A(n_33967),
+	.B(n_33968),
+	.C(n_33970),
+	.D(n_33972),
+	.Y(n_28718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g605099 (
+	.A(n_33966),
+	.B(n_33969),
+	.C(n_33971),
+	.Y(n_28717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g605100 (
+	.A(n_33943),
+	.B(n_33944),
+	.C(n_33945),
+	.D(n_33946),
+	.X(n_28716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g605101 (
+	.A(n_33949),
+	.B(n_33950),
+	.C(n_33951),
+	.D(n_33952),
+	.Y(n_28715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g605102 (
+	.A(n_33955),
+	.B(n_33956),
+	.C(n_33957),
+	.D(n_33958),
+	.Y(n_28714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g605103 (
+	.A(n_33961),
+	.B(n_33962),
+	.C(n_33963),
+	.D(n_33964),
+	.Y(n_28713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g605104 (
+	.A(n_32570),
+	.B(n_32790),
+	.C(n_32545),
+	.X(n_30487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g605106 (
+	.A_N(n_32783),
+	.B(n_32579),
+	.Y(n_30513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g605107 (
+	.A(n_33948),
+	.B(n_33953),
+	.Y(n_28712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g605108 (
+	.A_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.B(n_31488),
+	.Y(n_32345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g605109 (
+	.A(n_28711),
+	.Y(n_31702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g605110 (
+	.A(n_33960),
+	.B(n_33965),
+	.Y(n_28710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g605111 (
+	.A_N(n_31704),
+	.B(n_32582),
+	.Y(n_31696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g605112 (
+	.A_N(n_31701),
+	.B(brqrv_top_brqrv_dec_tlu_n_13117),
+	.Y(n_31697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g605113 (
+	.A(n_32581),
+	.B(n_32538),
+	.Y(n_28711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g605114 (
+	.A(n_32296),
+	.Y(n_28709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g636446 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_uncacheable_miss_ff),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_n_3477),
+	.C_N(brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f_delayed),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_reset_tag_valid_for_miss), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g742646 (
+	.A1(n_25370),
+	.A2(n_28706),
+	.B1(n_25376),
+	.B2(n_28703),
+	.C1(n_28104),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_byteenff_din_new [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g742647 (
+	.A1(n_25375),
+	.A2(n_28704),
+	.B1(n_25374),
+	.B2(n_28705),
+	.C1(n_28099),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_byteenff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g742648 (
+	.A1(n_25370),
+	.A2(n_28704),
+	.B1(n_25376),
+	.B2(n_28705),
+	.C1(n_28100),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_byteenff_din_new [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g742649 (
+	.A1(n_25380),
+	.A2(n_28703),
+	.B1(n_25369),
+	.B2(n_28706),
+	.C1(n_28106),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_byteenff_din_new [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g742650 (
+	.A1(n_25369),
+	.A2(n_28704),
+	.B1(n_25380),
+	.B2(n_28705),
+	.C1(n_28102),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_byteenff_din_new [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g742651 (
+	.A1(n_25374),
+	.A2(n_28703),
+	.B1(n_25375),
+	.B2(n_28706),
+	.C1(n_28103),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_byteenff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g742652 (
+	.A1(n_25373),
+	.A2(n_28703),
+	.B1(n_25377),
+	.B2(n_28706),
+	.C1(n_28105),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_byteenff_din_new [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g742653 (
+	.A1(n_25373),
+	.A2(n_28705),
+	.B1(n_25377),
+	.B2(n_28704),
+	.C1(n_28101),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_byteenff_din_new [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g742654 (
+	.A1(n_25369),
+	.A2(n_28698),
+	.B1(n_25380),
+	.B2(n_28700),
+	.C1(n_28109),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_byteenff_din_new [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g742655 (
+	.A1(n_25376),
+	.A2(n_28700),
+	.B1(n_25370),
+	.B2(n_28698),
+	.C1(n_28107),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_byteenff_din_new [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742656 (
+	.A1(n_25375),
+	.A2(n_28698),
+	.B1(n_28707),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_byteenff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g742657 (
+	.A1(n_25377),
+	.A2(n_28698),
+	.B1(n_25373),
+	.B2(n_28700),
+	.C1(n_28108),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_byteenff_din_new [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g742658 (
+	.A1(n_25380),
+	.A2(n_28697),
+	.B1(n_25369),
+	.B2(n_28699),
+	.C1(n_28113),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_byteenff_din_new [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g742659 (
+	.A1(n_25376),
+	.A2(n_28697),
+	.B1(n_25370),
+	.B2(n_28699),
+	.C1(n_28111),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_byteenff_din_new [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g742660 (
+	.A1(n_25374),
+	.A2(n_28697),
+	.B1(n_25375),
+	.B2(n_28699),
+	.C1(n_28110),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_byteenff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g742661 (
+	.A1(n_25373),
+	.A2(n_28697),
+	.B1(n_25377),
+	.B2(n_28699),
+	.C1(n_28112),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_byteenff_din_new [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742662 (
+	.A1_N(n_25374),
+	.A2_N(n_28700),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[8]),
+	.B2(n_28029),
+	.Y(n_28707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742663 (
+	.A1(n_28342),
+	.A2(n_28535),
+	.B1(n_28681),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[101]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742664 (
+	.A1(n_28407),
+	.A2(n_28515),
+	.B1(n_28669),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742665 (
+	.A1(n_28410),
+	.A2(n_28515),
+	.B1(n_28671),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742666 (
+	.A1(n_28403),
+	.A2(n_28515),
+	.B1(n_28672),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g742667 (
+	.A(n_28045),
+	.B(n_28047),
+	.C(n_28046),
+	.D(n_28553),
+	.X(\brqrv_top_brqrv_dec_decode_cam_array[0].cam_ff_en ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g742668 (
+	.A(n_28050),
+	.B(n_28052),
+	.C(n_28051),
+	.D(n_28556),
+	.X(\brqrv_top_brqrv_dec_decode_cam_array[2].cam_ff_en ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g742669 (
+	.A(n_28056),
+	.B(n_28057),
+	.C(n_28627),
+	.X(\brqrv_top_brqrv_dec_decode_cam_array[1].cam_ff_en ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742670 (
+	.A1(n_28440),
+	.A2(n_28541),
+	.B1(n_28693),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[124]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742671 (
+	.A1(n_28439),
+	.A2(n_28541),
+	.B1(n_28692),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[123]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742672 (
+	.A1(n_28438),
+	.A2(n_28541),
+	.B1(n_28691),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[122]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742673 (
+	.A1(n_28436),
+	.A2(n_28541),
+	.B1(n_28690),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[120]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742674 (
+	.A1(n_28403),
+	.A2(n_28539),
+	.B1(n_28689),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[119]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742675 (
+	.A1(n_28404),
+	.A2(n_28539),
+	.B1(n_28688),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[118]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742676 (
+	.A1(n_28410),
+	.A2(n_28539),
+	.B1(n_28687),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[116]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742677 (
+	.A1(n_28408),
+	.A2(n_28539),
+	.B1(n_28686),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[114]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742678 (
+	.A1(n_28450),
+	.A2(n_28537),
+	.B1(n_28685),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[110]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742679 (
+	.A1(n_28447),
+	.A2(n_28537),
+	.B1(n_28683),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[107]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742680 (
+	.A1(n_28340),
+	.A2(n_28535),
+	.B1(n_28682),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[103]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742681 (
+	.A1(n_28437),
+	.A2(n_28517),
+	.B1(n_28674),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742682 (
+	.A1(n_28197),
+	.A2(n_28532),
+	.B1(n_28679),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742683 (
+	.A1(n_28202),
+	.A2(n_28532),
+	.B1(n_28676),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742684 (
+	.A1(n_28201),
+	.A2(n_28532),
+	.B1(n_28675),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742685 (
+	.A1(n_28203),
+	.A2(n_28532),
+	.B1(n_28673),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742686 (
+	.A1(n_28351),
+	.A2(n_28530),
+	.B1(n_28670),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742687 (
+	.A1(n_28346),
+	.A2(n_28530),
+	.B1(n_28668),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742688 (
+	.A1(n_28345),
+	.A2(n_28530),
+	.B1(n_28667),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742689 (
+	.A1(n_28443),
+	.A2(n_28541),
+	.B1(n_28695),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[127]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742690 (
+	.A1(n_28442),
+	.A2(n_28541),
+	.B1(n_28694),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[125]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742691 (
+	.A1(n_28406),
+	.A2(n_28539),
+	.B1(n_28684),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[112]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742692 (
+	.A1(n_28442),
+	.A2(n_28517),
+	.B1(n_28678),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742693 (
+	.A1(n_28443),
+	.A2(n_28517),
+	.B1(n_28680),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742694 (
+	.A1(n_28440),
+	.A2(n_28517),
+	.B1(n_28677),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g742695 (
+	.A(n_28702),
+	.B(n_28470),
+	.Y(n_28706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g742696 (
+	.A(n_28701),
+	.B(n_28476),
+	.Y(n_28705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g742697 (
+	.A(n_28701),
+	.B(n_28477),
+	.Y(n_28704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g742698 (
+	.A(n_28702),
+	.B(n_28469),
+	.Y(n_28703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742699 (
+	.A1(n_28416),
+	.A2(n_28526),
+	.B1(n_28655),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g742700 (
+	.A1(n_28405),
+	.A2(n_28515),
+	.B1(n_28350),
+	.B2(n_28530),
+	.C1(n_28631),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742701 (
+	.A1(n_28450),
+	.A2(n_28513),
+	.B1(n_28665),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742702 (
+	.A1(n_28449),
+	.A2(n_28513),
+	.B1(n_28664),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742703 (
+	.A1(n_28445),
+	.A2(n_28513),
+	.B1(n_28660),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742704 (
+	.A1(n_28444),
+	.A2(n_28513),
+	.B1(n_28659),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742705 (
+	.A1(n_28340),
+	.A2(n_28543),
+	.B1(n_28658),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742706 (
+	.A1(n_28342),
+	.A2(n_28543),
+	.B1(n_28652),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742707 (
+	.A1(n_28337),
+	.A2(n_28543),
+	.B1(n_28651),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742708 (
+	.A1(n_28339),
+	.A2(n_28543),
+	.B1(n_28654),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g742709 (
+	.A1(n_28197),
+	.A2(n_28524),
+	.B1(n_28441),
+	.B2(n_28541),
+	.C1(n_28629),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[126]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g742710 (
+	.A1(n_28200),
+	.A2(n_28524),
+	.B1(n_28437),
+	.B2(n_28541),
+	.C1(n_28647),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[121]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g742711 (
+	.A1(n_28350),
+	.A2(n_28522),
+	.B1(n_28405),
+	.B2(n_28539),
+	.C1(n_28646),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[117]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g742712 (
+	.A1(n_28348),
+	.A2(n_28522),
+	.B1(n_28407),
+	.B2(n_28539),
+	.C1(n_28645),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[115]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g742713 (
+	.A1(n_28346),
+	.A2(n_28522),
+	.B1(n_28409),
+	.B2(n_28539),
+	.C1(n_28644),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[113]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742714 (
+	.A1(n_28429),
+	.A2(n_28555),
+	.B1(n_28696),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_miss_state_ff_n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742715 (
+	.A1(n_28412),
+	.A2(n_28526),
+	.B1(n_28657),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742716 (
+	.A1(n_28415),
+	.A2(n_28526),
+	.B1(n_28656),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g742717 (
+	.A1(n_28408),
+	.A2(n_28515),
+	.B1(n_28347),
+	.B2(n_28530),
+	.C1(n_28630),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742718 (
+	.A1(n_28418),
+	.A2(n_28526),
+	.B1(n_28653),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g742719 (
+	.A1(n_28412),
+	.A2(n_28518),
+	.B1(n_28343),
+	.B2(n_28535),
+	.C1(n_28637),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[102]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g742720 (
+	.A1(n_28415),
+	.A2(n_28518),
+	.B1(n_28341),
+	.B2(n_28535),
+	.C1(n_28636),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[100]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g742721 (
+	.A1(n_28416),
+	.A2(n_28518),
+	.B1(n_28344),
+	.B2(n_28535),
+	.C1(n_28635),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[99]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g742722 (
+	.A1(n_28417),
+	.A2(n_28518),
+	.B1(n_28339),
+	.B2(n_28535),
+	.C1(n_28634),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[98]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g742723 (
+	.A1(n_28418),
+	.A2(n_28518),
+	.B1(n_28338),
+	.B2(n_28535),
+	.C1(n_28633),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[97]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g742724 (
+	.A1(n_28419),
+	.A2(n_28518),
+	.B1(n_28337),
+	.B2(n_28535),
+	.C1(n_28632),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[96]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742725 (
+	.A1(n_28353),
+	.A2(n_28528),
+	.B1(n_28666),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742726 (
+	.A1(n_28356),
+	.A2(n_28528),
+	.B1(n_28663),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742727 (
+	.A1(n_28357),
+	.A2(n_28528),
+	.B1(n_28662),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742728 (
+	.A1(n_28358),
+	.A2(n_28528),
+	.B1(n_28661),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g742729 (
+	.A1(n_28356),
+	.A2(n_28520),
+	.B1(n_28448),
+	.B2(n_28537),
+	.C1(n_28641),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[108]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g742730 (
+	.A1(n_28353),
+	.A2(n_28520),
+	.B1(n_28451),
+	.B2(n_28537),
+	.C1(n_28643),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[111]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g742731 (
+	.A1(n_28358),
+	.A2(n_28520),
+	.B1(n_28446),
+	.B2(n_28537),
+	.C1(n_28640),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[106]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g742732 (
+	.A1(n_28359),
+	.A2(n_28520),
+	.B1(n_28445),
+	.B2(n_28537),
+	.C1(n_28638),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[105]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g742733 (
+	.A1(n_28355),
+	.A2(n_28520),
+	.B1(n_28449),
+	.B2(n_28537),
+	.C1(n_28642),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[109]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g742734 (
+	.A1(n_28360),
+	.A2(n_28520),
+	.B1(n_28444),
+	.B2(n_28537),
+	.C1(n_28639),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[104]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742735 (
+	.A1(n_25991),
+	.A2(n_28555),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_miss_state[0]),
+	.Y(n_28696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742736 (
+	.A1(n_28415),
+	.A2(n_28490),
+	.B1(n_28583),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[36]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742737 (
+	.A1(n_28412),
+	.A2(n_28490),
+	.B1(n_28585),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[38]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742738 (
+	.A1(n_28411),
+	.A2(n_28490),
+	.B1(n_28582),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[39]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742739 (
+	.A1(n_28348),
+	.A2(n_28502),
+	.B1(n_28616),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[83]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742740 (
+	.A1(n_28349),
+	.A2(n_28502),
+	.B1(n_28648),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[84]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742741 (
+	.A1(n_28350),
+	.A2(n_28502),
+	.B1(n_28628),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[85]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g742742 (
+	.A1(n_28554),
+	.A2(n_28382),
+	.B1(n_28555),
+	.B2(brqrv_top_brqrv_ifu_mem_ctl_miss_state[1]),
+	.X(brqrv_top_brqrv_ifu_mem_ctl_miss_state_ff_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g742743 (
+	.A1_N(brqrv_top_brqrv_ifu_mem_ctl_miss_state[2]),
+	.A2_N(n_28555),
+	.B1(n_28364),
+	.B2(n_28555),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_miss_state_ff_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742744 (
+	.A1(n_28443),
+	.A2(n_28489),
+	.B1(n_28624),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[95]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742745 (
+	.A1(n_28442),
+	.A2(n_28489),
+	.B1(n_28620),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[93]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742746 (
+	.A1(n_28438),
+	.A2(n_28489),
+	.B1(n_28619),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[90]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742747 (
+	.A1(n_28436),
+	.A2(n_28489),
+	.B1(n_28617),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[88]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742748 (
+	.A1(n_28403),
+	.A2(n_28487),
+	.B1(n_28625),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[87]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742749 (
+	.A1(n_28408),
+	.A2(n_28487),
+	.B1(n_28615),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[82]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742750 (
+	.A1(n_28409),
+	.A2(n_28487),
+	.B1(n_28614),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[81]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742751 (
+	.A1(n_28406),
+	.A2(n_28487),
+	.B1(n_28613),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[80]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742752 (
+	.A1(n_28450),
+	.A2(n_28485),
+	.B1(n_28612),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[78]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742753 (
+	.A1(n_28448),
+	.A2(n_28485),
+	.B1(n_28611),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[76]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742754 (
+	.A1(n_28446),
+	.A2(n_28485),
+	.B1(n_28610),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[74]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742755 (
+	.A1(n_28444),
+	.A2(n_28485),
+	.B1(n_28609),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[72]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742756 (
+	.A1(n_28343),
+	.A2(n_28483),
+	.B1(n_28608),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[70]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742757 (
+	.A1(n_28341),
+	.A2(n_28483),
+	.B1(n_28607),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[68]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742758 (
+	.A1(n_28443),
+	.A2(n_28481),
+	.B1(n_28606),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[63]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742759 (
+	.A1(n_28438),
+	.A2(n_28481),
+	.B1(n_28601),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[58]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742760 (
+	.A1(n_28437),
+	.A2(n_28481),
+	.B1(n_28600),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[57]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742761 (
+	.A1(n_28403),
+	.A2(n_28479),
+	.B1(n_28598),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[55]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742762 (
+	.A1(n_28404),
+	.A2(n_28479),
+	.B1(n_28597),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[54]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742763 (
+	.A1(n_28405),
+	.A2(n_28479),
+	.B1(n_28596),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[53]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742764 (
+	.A1(n_28410),
+	.A2(n_28479),
+	.B1(n_28595),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[52]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742765 (
+	.A1(n_28408),
+	.A2(n_28479),
+	.B1(n_28593),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[50]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742766 (
+	.A1(n_28451),
+	.A2(n_28507),
+	.B1(n_28590),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[47]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742767 (
+	.A1(n_28450),
+	.A2(n_28507),
+	.B1(n_28589),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[46]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742768 (
+	.A1(n_28448),
+	.A2(n_28507),
+	.B1(n_28588),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[44]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742769 (
+	.A1(n_28445),
+	.A2(n_28507),
+	.B1(n_28587),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[41]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742770 (
+	.A1(n_28444),
+	.A2(n_28507),
+	.B1(n_28586),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[40]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742771 (
+	.A1(n_28404),
+	.A2(n_28487),
+	.B1(n_28626),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[86]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742772 (
+	.A1(n_28442),
+	.A2(n_28481),
+	.B1(n_28604),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[61]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742773 (
+	.A1(n_28338),
+	.A2(n_28472),
+	.B1(n_28581),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[33]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742774 (
+	.A1(n_28337),
+	.A2(n_28472),
+	.B1(n_28580),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[32]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742775 (
+	.A1(n_28342),
+	.A2(n_28472),
+	.B1(n_28584),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[37]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742776 (
+	.A1(n_28339),
+	.A2(n_28472),
+	.B1(n_28579),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[34]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742777 (
+	.A1(n_28197),
+	.A2(n_28496),
+	.B1(n_28605),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[62]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742778 (
+	.A1(n_28199),
+	.A2(n_28496),
+	.B1(n_28603),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[60]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742779 (
+	.A1(n_28202),
+	.A2(n_28496),
+	.B1(n_28602),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[59]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742780 (
+	.A1(n_28203),
+	.A2(n_28496),
+	.B1(n_28599),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[56]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742781 (
+	.A1(n_28348),
+	.A2(n_28494),
+	.B1(n_28594),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[51]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742782 (
+	.A1(n_28345),
+	.A2(n_28494),
+	.B1(n_28591),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[48]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742783 (
+	.A1(n_28346),
+	.A2(n_28494),
+	.B1(n_28592),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[49]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742784 (
+	.A1(n_28199),
+	.A2(n_28504),
+	.B1(n_28622),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[92]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742785 (
+	.A1(n_28202),
+	.A2(n_28504),
+	.B1(n_28621),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[91]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742786 (
+	.A1(n_28200),
+	.A2(n_28504),
+	.B1(n_28618),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[89]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g742787 (
+	.A1(n_28197),
+	.A2(n_28504),
+	.B1(n_28623),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[94]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g742788 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_reset[0]),
+	.B_N(brqrv_top_brqrv_lsu_stbuf_stbuf_wr_en[0]),
+	.Y(n_28702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g742789 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_reset[3]),
+	.B_N(brqrv_top_brqrv_lsu_stbuf_stbuf_wr_en[3]),
+	.Y(n_28701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g742790 (
+	.A(n_28649),
+	.B(n_28460),
+	.Y(n_28700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g742791 (
+	.A(n_28650),
+	.B(n_28459),
+	.Y(n_28699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g742792 (
+	.A(n_28649),
+	.B(n_28461),
+	.Y(n_28698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g742793 (
+	.A(n_28650),
+	.B(n_28458),
+	.Y(n_28697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g742794 (
+	.A1(n_28416),
+	.A2(n_28490),
+	.B1(n_28344),
+	.B2(n_28472),
+	.C1(n_28557),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[35]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g742795 (
+	.A1(n_28411),
+	.A2(n_28499),
+	.B1(n_28340),
+	.B2(n_28483),
+	.C1(n_28566),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[71]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g742796 (
+	.A1(n_28413),
+	.A2(n_28499),
+	.B1(n_28342),
+	.B2(n_28483),
+	.C1(n_28565),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[69]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g742797 (
+	.A1(n_28416),
+	.A2(n_28499),
+	.B1(n_28344),
+	.B2(n_28483),
+	.C1(n_28564),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[67]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g742798 (
+	.A1(n_28417),
+	.A2(n_28499),
+	.B1(n_28339),
+	.B2(n_28483),
+	.C1(n_28563),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[66]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g742799 (
+	.A1(n_28419),
+	.A2(n_28499),
+	.B1(n_28337),
+	.B2(n_28483),
+	.C1(n_28561),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[64]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g742800 (
+	.A1(n_28418),
+	.A2(n_28499),
+	.B1(n_28338),
+	.B2(n_28483),
+	.C1(n_28562),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[65]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g742801 (
+	.A1(n_28355),
+	.A2(n_28492),
+	.B1(n_28449),
+	.B2(n_28507),
+	.C1(n_28560),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[45]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g742802 (
+	.A1(n_28357),
+	.A2(n_28492),
+	.B1(n_28447),
+	.B2(n_28507),
+	.C1(n_28559),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[43]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g742803 (
+	.A1(n_28358),
+	.A2(n_28492),
+	.B1(n_28446),
+	.B2(n_28507),
+	.C1(n_28558),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[42]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g742804 (
+	.A1(n_28353),
+	.A2(n_28500),
+	.B1(n_28451),
+	.B2(n_28485),
+	.C1(n_28570),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[79]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g742805 (
+	.A1(n_28355),
+	.A2(n_28500),
+	.B1(n_28449),
+	.B2(n_28485),
+	.C1(n_28569),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[77]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g742806 (
+	.A1(n_28357),
+	.A2(n_28500),
+	.B1(n_28447),
+	.B2(n_28485),
+	.C1(n_28568),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[75]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g742807 (
+	.A1(n_28359),
+	.A2(n_28500),
+	.B1(n_28445),
+	.B2(n_28485),
+	.C1(n_28567),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[73]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g742808 (
+	.A1(n_28577),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[127]),
+	.B1(n_28525),
+	.B2(n_28196),
+	.Y(n_28695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g742809 (
+	.A1(n_28577),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[125]),
+	.B1(n_28525),
+	.B2(n_28198),
+	.Y(n_28694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742810 (
+	.A1_N(n_28199),
+	.A2_N(n_28524),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[124]),
+	.B2(n_28577),
+	.Y(n_28693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742811 (
+	.A1_N(n_28202),
+	.A2_N(n_28524),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[123]),
+	.B2(n_28577),
+	.Y(n_28692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742812 (
+	.A1_N(n_28201),
+	.A2_N(n_28524),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[122]),
+	.B2(n_28577),
+	.Y(n_28691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742813 (
+	.A1_N(n_28203),
+	.A2_N(n_28524),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[120]),
+	.B2(n_28577),
+	.Y(n_28690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g742814 (
+	.A1(n_28523),
+	.A2(n_28352),
+	.B1(n_28576),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[119]),
+	.Y(n_28689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742815 (
+	.A1_N(n_28351),
+	.A2_N(n_28522),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[118]),
+	.B2(n_28576),
+	.Y(n_28688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742816 (
+	.A1_N(n_28349),
+	.A2_N(n_28522),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[116]),
+	.B2(n_28576),
+	.Y(n_28687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742817 (
+	.A1_N(n_28347),
+	.A2_N(n_28522),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[114]),
+	.B2(n_28576),
+	.Y(n_28686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g742818 (
+	.A1(n_28521),
+	.A2(n_28354),
+	.B1(n_28575),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[110]),
+	.Y(n_28685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742819 (
+	.A1_N(n_28345),
+	.A2_N(n_28522),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[112]),
+	.B2(n_28576),
+	.Y(n_28684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742820 (
+	.A1_N(n_28357),
+	.A2_N(n_28520),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[107]),
+	.B2(n_28575),
+	.Y(n_28683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742821 (
+	.A1_N(n_28411),
+	.A2_N(n_28518),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[103]),
+	.B2(n_28574),
+	.Y(n_28682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g742822 (
+	.A1(n_28519),
+	.A2(n_28414),
+	.B1(n_28574),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[101]),
+	.Y(n_28681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g742823 (
+	.A1(n_28572),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[31]),
+	.B1(n_28533),
+	.B2(n_28196),
+	.Y(n_28680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742824 (
+	.A1_N(n_28441),
+	.A2_N(n_28517),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[30]),
+	.B2(n_28572),
+	.Y(n_28679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g742825 (
+	.A1(n_28572),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[29]),
+	.B1(n_28533),
+	.B2(n_28198),
+	.Y(n_28678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742826 (
+	.A1_N(n_28199),
+	.A2_N(n_28532),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[28]),
+	.B2(n_28572),
+	.Y(n_28677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742827 (
+	.A1_N(n_28439),
+	.A2_N(n_28517),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[27]),
+	.B2(n_28572),
+	.Y(n_28676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742828 (
+	.A1_N(n_28438),
+	.A2_N(n_28517),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[26]),
+	.B2(n_28572),
+	.Y(n_28675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742829 (
+	.A1_N(n_28200),
+	.A2_N(n_28532),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[25]),
+	.B2(n_28572),
+	.Y(n_28674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742830 (
+	.A1_N(n_28436),
+	.A2_N(n_28517),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[24]),
+	.B2(n_28572),
+	.Y(n_28673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g742831 (
+	.A1(n_28531),
+	.A2(n_28352),
+	.B1(n_28573),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[23]),
+	.Y(n_28672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742832 (
+	.A1_N(n_28349),
+	.A2_N(n_28530),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[20]),
+	.B2(n_28573),
+	.Y(n_28671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742833 (
+	.A1_N(n_28404),
+	.A2_N(n_28515),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[22]),
+	.B2(n_28573),
+	.Y(n_28670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742834 (
+	.A1_N(n_28348),
+	.A2_N(n_28530),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[19]),
+	.B2(n_28573),
+	.Y(n_28669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742835 (
+	.A1_N(n_28409),
+	.A2_N(n_28515),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[17]),
+	.B2(n_28573),
+	.Y(n_28668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742836 (
+	.A1_N(n_28406),
+	.A2_N(n_28515),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[16]),
+	.B2(n_28573),
+	.Y(n_28667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742837 (
+	.A1_N(n_28451),
+	.A2_N(n_28513),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[15]),
+	.B2(n_28571),
+	.Y(n_28666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g742838 (
+	.A1(n_28529),
+	.A2(n_28354),
+	.B1(n_28571),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[14]),
+	.Y(n_28665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742839 (
+	.A1_N(n_28355),
+	.A2_N(n_28528),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[13]),
+	.B2(n_28571),
+	.Y(n_28664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742840 (
+	.A1_N(n_28448),
+	.A2_N(n_28513),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[12]),
+	.B2(n_28571),
+	.Y(n_28663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742841 (
+	.A1_N(n_28447),
+	.A2_N(n_28513),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[11]),
+	.B2(n_28571),
+	.Y(n_28662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742842 (
+	.A1_N(n_28446),
+	.A2_N(n_28513),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[10]),
+	.B2(n_28571),
+	.Y(n_28661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742843 (
+	.A1_N(n_28359),
+	.A2_N(n_28528),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[9]),
+	.B2(n_28571),
+	.Y(n_28660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742844 (
+	.A1_N(n_28360),
+	.A2_N(n_28528),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[8]),
+	.B2(n_28571),
+	.Y(n_28659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742845 (
+	.A1_N(n_28411),
+	.A2_N(n_28526),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[7]),
+	.B2(n_28578),
+	.Y(n_28658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742846 (
+	.A1_N(n_28343),
+	.A2_N(n_28543),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[6]),
+	.B2(n_28578),
+	.Y(n_28657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742847 (
+	.A1_N(n_28341),
+	.A2_N(n_28543),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[4]),
+	.B2(n_28578),
+	.Y(n_28656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742848 (
+	.A1_N(n_28344),
+	.A2_N(n_28543),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[3]),
+	.B2(n_28578),
+	.Y(n_28655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742849 (
+	.A1_N(n_28417),
+	.A2_N(n_28526),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[2]),
+	.B2(n_28578),
+	.Y(n_28654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742850 (
+	.A1_N(n_28338),
+	.A2_N(n_28543),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[1]),
+	.B2(n_28578),
+	.Y(n_28653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g742851 (
+	.A1(n_28527),
+	.A2(n_28414),
+	.B1(n_28578),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[5]),
+	.Y(n_28652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742852 (
+	.A1_N(n_28419),
+	.A2_N(n_28526),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[0]),
+	.B2(n_28578),
+	.Y(n_28651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742853 (
+	.A1_N(n_28410),
+	.A2_N(n_28487),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[84]),
+	.B2(n_28548),
+	.Y(n_28648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g742854 (
+	.A(n_28577),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[121]),
+	.Y(n_28647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g742855 (
+	.A(n_28576),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[117]),
+	.Y(n_28646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g742856 (
+	.A(n_28576),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[115]),
+	.Y(n_28645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g742857 (
+	.A(n_28576),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[113]),
+	.Y(n_28644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g742858 (
+	.A(n_28575),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[111]),
+	.Y(n_28643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g742859 (
+	.A(n_28575),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[109]),
+	.Y(n_28642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g742860 (
+	.A(n_28575),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[108]),
+	.Y(n_28641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g742861 (
+	.A(n_28575),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[106]),
+	.Y(n_28640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g742862 (
+	.A(n_28575),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[104]),
+	.Y(n_28639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g742863 (
+	.A(n_28575),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[105]),
+	.Y(n_28638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g742864 (
+	.A(n_28574),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[102]),
+	.Y(n_28637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g742865 (
+	.A(n_28574),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[100]),
+	.Y(n_28636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g742866 (
+	.A(n_28574),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[99]),
+	.Y(n_28635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g742867 (
+	.A(n_28574),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[98]),
+	.Y(n_28634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g742868 (
+	.A(n_28574),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[97]),
+	.Y(n_28633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g742869 (
+	.A(n_28574),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[96]),
+	.Y(n_28632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g742870 (
+	.A(n_28573),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[21]),
+	.Y(n_28631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g742871 (
+	.A(n_28573),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[18]),
+	.Y(n_28630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g742872 (
+	.A(n_28577),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[126]),
+	.Y(n_28629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742873 (
+	.A1_N(n_28405),
+	.A2_N(n_28487),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[85]),
+	.B2(n_28548),
+	.Y(n_28628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g742874 (
+	.A(n_28508),
+	.B(n_28055),
+	.C(n_28054),
+	.Y(n_28627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742875 (
+	.A1_N(n_28351),
+	.A2_N(n_28502),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[86]),
+	.B2(n_28548),
+	.Y(n_28626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g742876 (
+	.A(n_28093),
+	.B(n_28014),
+	.C(n_28062),
+	.D(n_28467),
+	.X(\brqrv_top_brqrv_dec_decode_cam_array[3].cam_ff_en ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g742877 (
+	.A1(n_28503),
+	.A2(n_28352),
+	.B1(n_28548),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[87]),
+	.Y(n_28625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g742878 (
+	.A1(n_28549),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[95]),
+	.B1(n_28505),
+	.B2(n_28196),
+	.Y(n_28624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742879 (
+	.A1_N(n_28441),
+	.A2_N(n_28489),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[94]),
+	.B2(n_28549),
+	.Y(n_28623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742880 (
+	.A1_N(n_28440),
+	.A2_N(n_28489),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[92]),
+	.B2(n_28549),
+	.Y(n_28622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742881 (
+	.A1_N(n_28439),
+	.A2_N(n_28489),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[91]),
+	.B2(n_28549),
+	.Y(n_28621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g742882 (
+	.A1(n_28549),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[93]),
+	.B1(n_28505),
+	.B2(n_28198),
+	.Y(n_28620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742883 (
+	.A1_N(n_28201),
+	.A2_N(n_28504),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[90]),
+	.B2(n_28549),
+	.Y(n_28619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742884 (
+	.A1_N(n_28437),
+	.A2_N(n_28489),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[89]),
+	.B2(n_28549),
+	.Y(n_28618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742885 (
+	.A1_N(n_28203),
+	.A2_N(n_28504),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[88]),
+	.B2(n_28549),
+	.Y(n_28617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g742886 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_reset[1]),
+	.B_N(brqrv_top_brqrv_lsu_stbuf_stbuf_wr_en[1]),
+	.Y(n_28650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a311o_1 g742887 (
+	.A1(n_28462),
+	.A2(n_24131),
+	.A3(n_24356),
+	.B1(n_28208),
+	.C1(n_28474),
+	.X(brqrv_top_brqrv_lsu_stbuf_stbuf_wr_en[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a311o_1 g742888 (
+	.A1(n_28462),
+	.A2(brqrv_top_brqrv_lsu_stbuf_WrPtr[1]),
+	.A3(n_34949),
+	.B1(n_28207),
+	.C1(n_28511),
+	.X(brqrv_top_brqrv_lsu_stbuf_stbuf_wr_en[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g742889 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_reset[2]),
+	.B_N(brqrv_top_brqrv_lsu_stbuf_stbuf_wr_en[2]),
+	.Y(n_28649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742890 (
+	.A1_N(n_28407),
+	.A2_N(n_28487),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[83]),
+	.B2(n_28548),
+	.Y(n_28616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742891 (
+	.A1_N(n_28347),
+	.A2_N(n_28502),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[82]),
+	.B2(n_28548),
+	.Y(n_28615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742892 (
+	.A1_N(n_28346),
+	.A2_N(n_28502),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[81]),
+	.B2(n_28548),
+	.Y(n_28614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742893 (
+	.A1_N(n_28345),
+	.A2_N(n_28502),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[80]),
+	.B2(n_28548),
+	.Y(n_28613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g742894 (
+	.A1(n_28501),
+	.A2(n_28354),
+	.B1(n_28547),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[78]),
+	.Y(n_28612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742895 (
+	.A1_N(n_28356),
+	.A2_N(n_28500),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[76]),
+	.B2(n_28547),
+	.Y(n_28611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742896 (
+	.A1_N(n_28358),
+	.A2_N(n_28500),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[74]),
+	.B2(n_28547),
+	.Y(n_28610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742897 (
+	.A1_N(n_28360),
+	.A2_N(n_28500),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[72]),
+	.B2(n_28547),
+	.Y(n_28609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742898 (
+	.A1_N(n_28412),
+	.A2_N(n_28499),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[70]),
+	.B2(n_28546),
+	.Y(n_28608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742899 (
+	.A1_N(n_28415),
+	.A2_N(n_28499),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[68]),
+	.B2(n_28546),
+	.Y(n_28607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g742900 (
+	.A1(n_28551),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[63]),
+	.B1(n_28497),
+	.B2(n_28196),
+	.Y(n_28606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742901 (
+	.A1_N(n_28441),
+	.A2_N(n_28481),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[62]),
+	.B2(n_28551),
+	.Y(n_28605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g742902 (
+	.A1(n_28551),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[61]),
+	.B1(n_28497),
+	.B2(n_28198),
+	.Y(n_28604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742903 (
+	.A1_N(n_28440),
+	.A2_N(n_28481),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[60]),
+	.B2(n_28551),
+	.Y(n_28603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742904 (
+	.A1_N(n_28439),
+	.A2_N(n_28481),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[59]),
+	.B2(n_28551),
+	.Y(n_28602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742905 (
+	.A1_N(n_28201),
+	.A2_N(n_28496),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[58]),
+	.B2(n_28551),
+	.Y(n_28601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742906 (
+	.A1_N(n_28200),
+	.A2_N(n_28496),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[57]),
+	.B2(n_28551),
+	.Y(n_28600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742907 (
+	.A1_N(n_28436),
+	.A2_N(n_28481),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[56]),
+	.B2(n_28551),
+	.Y(n_28599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g742908 (
+	.A1(n_28495),
+	.A2(n_28352),
+	.B1(n_28545),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[55]),
+	.Y(n_28598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742909 (
+	.A1_N(n_28351),
+	.A2_N(n_28494),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[54]),
+	.B2(n_28545),
+	.Y(n_28597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742910 (
+	.A1_N(n_28350),
+	.A2_N(n_28494),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[53]),
+	.B2(n_28545),
+	.Y(n_28596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742911 (
+	.A1_N(n_28349),
+	.A2_N(n_28494),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[52]),
+	.B2(n_28545),
+	.Y(n_28595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742912 (
+	.A1_N(n_28407),
+	.A2_N(n_28479),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[51]),
+	.B2(n_28545),
+	.Y(n_28594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742913 (
+	.A1_N(n_28347),
+	.A2_N(n_28494),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[50]),
+	.B2(n_28545),
+	.Y(n_28593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742914 (
+	.A1_N(n_28409),
+	.A2_N(n_28479),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[49]),
+	.B2(n_28545),
+	.Y(n_28592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742915 (
+	.A1_N(n_28406),
+	.A2_N(n_28479),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[48]),
+	.B2(n_28545),
+	.Y(n_28591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742916 (
+	.A1_N(n_28353),
+	.A2_N(n_28492),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[47]),
+	.B2(n_28544),
+	.Y(n_28590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g742917 (
+	.A1(n_28493),
+	.A2(n_28354),
+	.B1(n_28544),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[46]),
+	.Y(n_28589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742918 (
+	.A1_N(n_28356),
+	.A2_N(n_28492),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[44]),
+	.B2(n_28544),
+	.Y(n_28588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742919 (
+	.A1_N(n_28359),
+	.A2_N(n_28492),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[41]),
+	.B2(n_28544),
+	.Y(n_28587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742920 (
+	.A1_N(n_28360),
+	.A2_N(n_28492),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[40]),
+	.B2(n_28544),
+	.Y(n_28586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742921 (
+	.A1_N(n_28343),
+	.A2_N(n_28472),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[38]),
+	.B2(n_28550),
+	.Y(n_28585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g742922 (
+	.A1(n_28491),
+	.A2(n_28414),
+	.B1(n_28550),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[37]),
+	.Y(n_28584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742923 (
+	.A1_N(n_28341),
+	.A2_N(n_28472),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[36]),
+	.B2(n_28550),
+	.Y(n_28583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742924 (
+	.A1_N(n_28340),
+	.A2_N(n_28472),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[39]),
+	.B2(n_28550),
+	.Y(n_28582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742925 (
+	.A1_N(n_28418),
+	.A2_N(n_28490),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[33]),
+	.B2(n_28550),
+	.Y(n_28581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742926 (
+	.A1_N(n_28419),
+	.A2_N(n_28490),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[32]),
+	.B2(n_28550),
+	.Y(n_28580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g742927 (
+	.A1_N(n_28417),
+	.A2_N(n_28490),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[34]),
+	.B2(n_28550),
+	.Y(n_28579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g742928 (
+	.A(n_28547),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[79]),
+	.Y(n_28570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g742929 (
+	.A(n_28547),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[77]),
+	.Y(n_28569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g742930 (
+	.A(n_28547),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[75]),
+	.Y(n_28568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g742931 (
+	.A(n_28547),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[73]),
+	.Y(n_28567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g742932 (
+	.A(n_28546),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[71]),
+	.Y(n_28566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g742933 (
+	.A(n_28546),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[69]),
+	.Y(n_28565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g742934 (
+	.A(n_28546),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[67]),
+	.Y(n_28564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g742935 (
+	.A(n_28546),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[66]),
+	.Y(n_28563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g742936 (
+	.A(n_28546),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[65]),
+	.Y(n_28562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g742937 (
+	.A(n_28546),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[64]),
+	.Y(n_28561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g742938 (
+	.A(n_28544),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[45]),
+	.Y(n_28560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g742939 (
+	.A(n_28544),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[43]),
+	.Y(n_28559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g742940 (
+	.A(n_28544),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[42]),
+	.Y(n_28558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g742941 (
+	.A(n_28550),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[35]),
+	.Y(n_28557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g742942 (
+	.A(n_28509),
+	.B(n_28049),
+	.Y(n_28556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g742943 (
+	.A(n_28473),
+	.B(n_28475),
+	.C(n_28209),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_wr_en[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g742944 (
+	.A(n_28527),
+	.B(n_28542),
+	.Y(n_28578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g742945 (
+	.A(n_28525),
+	.B(n_28540),
+	.Y(n_28577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g742946 (
+	.A(n_28523),
+	.B(n_28538),
+	.Y(n_28576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g742947 (
+	.A(n_28521),
+	.B(n_28536),
+	.Y(n_28575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g742948 (
+	.A(n_28519),
+	.B(n_28534),
+	.Y(n_28574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g742949 (
+	.A(n_28531),
+	.B(n_28514),
+	.Y(n_28573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g742950 (
+	.A(n_28533),
+	.B(n_28516),
+	.Y(n_28572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g742951 (
+	.A(n_28529),
+	.B(n_28512),
+	.Y(n_28571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g742952 (
+	.A(n_28552),
+	.Y(brqrv_top_brqrv_lsu_stbuf_WrPtrff_n_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g742953 (
+	.A(n_28554),
+	.Y(n_28555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g742954 (
+	.A(n_28510),
+	.B(n_28044),
+	.Y(n_28553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g742955 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_WrPtr[1]),
+	.A2(n_38483),
+	.B1(n_28463),
+	.B2(n_26112),
+	.C1(n_28434),
+	.C2(n_24131),
+	.Y(n_28552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g742956 (
+	.A1(n_24346),
+	.A2(n_28476),
+	.B1(n_24097),
+	.B2(n_28477),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[38]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g742957 (
+	.A1(n_24104),
+	.A2(n_28476),
+	.B1(n_24344),
+	.B2(n_28477),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[39]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g742958 (
+	.A1(n_24106),
+	.A2(n_28469),
+	.B1(n_24099),
+	.B2(n_28470),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g742959 (
+	.A1(n_24109),
+	.A2(n_28469),
+	.B1(n_24343),
+	.B2(n_28470),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g742960 (
+	.A1(n_24107),
+	.A2(n_28469),
+	.B1(n_24100),
+	.B2(n_28470),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g742961 (
+	.A1(n_24111),
+	.A2(n_28469),
+	.B1(n_24101),
+	.B2(n_28470),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g742962 (
+	.A1(n_24105),
+	.A2(n_28469),
+	.B1(n_24102),
+	.B2(n_28470),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g742963 (
+	.A1(n_24110),
+	.A2(n_28469),
+	.B1(n_24103),
+	.B2(n_28470),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g742964 (
+	.A1(n_24108),
+	.A2(n_28469),
+	.B1(n_24345),
+	.B2(n_28470),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g742965 (
+	.A1(n_24112),
+	.A2(n_28469),
+	.B1(n_24098),
+	.B2(n_28470),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g742966 (
+	.A1(n_24104),
+	.A2(n_28469),
+	.B1(n_24344),
+	.B2(n_28470),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g742967 (
+	.A1(n_24346),
+	.A2(n_28469),
+	.B1(n_24097),
+	.B2(n_28470),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g742968 (
+	.A1(n_24106),
+	.A2(n_28476),
+	.B1(n_24099),
+	.B2(n_28477),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[47]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g742969 (
+	.A1(n_24109),
+	.A2(n_28476),
+	.B1(n_24343),
+	.B2(n_28477),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[46]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g742970 (
+	.A1(n_24107),
+	.A2(n_28476),
+	.B1(n_24100),
+	.B2(n_28477),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[45]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g742971 (
+	.A1(n_24111),
+	.A2(n_28476),
+	.B1(n_24101),
+	.B2(n_28477),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[44]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g742972 (
+	.A1(n_24105),
+	.A2(n_28476),
+	.B1(n_24102),
+	.B2(n_28477),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[43]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g742973 (
+	.A1(n_24110),
+	.A2(n_28476),
+	.B1(n_24103),
+	.B2(n_28477),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[42]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g742974 (
+	.A1(n_24108),
+	.A2(n_28476),
+	.B1(n_24345),
+	.B2(n_28477),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[41]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g742975 (
+	.A1(n_24112),
+	.A2(n_28476),
+	.B1(n_24098),
+	.B2(n_28477),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[40]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g742976 (
+	.A1(n_28474),
+	.A2(n_24356),
+	.B1(n_28462),
+	.B2(n_25445),
+	.C1(n_28210),
+	.X(brqrv_top_brqrv_lsu_stbuf_stbuf_wr_en[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g742977 (
+	.A1_N(brqrv_top_brqrv_ifu_mem_ctl_miss_state[0]),
+	.A2_N(n_26620),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_miss_state[0]),
+	.B2(n_28468),
+	.Y(n_28554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g742978 (
+	.A(n_28542),
+	.Y(n_28543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g742979 (
+	.A(n_28540),
+	.Y(n_28541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g742980 (
+	.A(n_28538),
+	.Y(n_28539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g742981 (
+	.A(n_28536),
+	.Y(n_28537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g742982 (
+	.A(n_28534),
+	.Y(n_28535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g742983 (
+	.A(n_28533),
+	.Y(n_28532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g742984 (
+	.A(n_28531),
+	.Y(n_28530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g742985 (
+	.A(n_28529),
+	.Y(n_28528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g742986 (
+	.A(n_28527),
+	.Y(n_28526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g742987 (
+	.A(n_28525),
+	.Y(n_28524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g742988 (
+	.A(n_28523),
+	.Y(n_28522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g742989 (
+	.A(n_28521),
+	.Y(n_28520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g742990 (
+	.A(n_28519),
+	.Y(n_28518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g742991 (
+	.A(n_28516),
+	.Y(n_28517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g742992 (
+	.A(n_28514),
+	.Y(n_28515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g742993 (
+	.A(n_28512),
+	.Y(n_28513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g742994 (
+	.A1_N(n_32395),
+	.A2_N(n_26000),
+	.B1(n_26000),
+	.B2(n_28440),
+	.Y(brqrv_top_brqrv_picm_wr_data[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g742995 (
+	.A(n_34949),
+	.B(n_28473),
+	.Y(n_28511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g742996 (
+	.A1(n_27920),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[1]),
+	.B1(n_28466),
+	.Y(n_28510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g742997 (
+	.A1(n_27925),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[21]),
+	.B1(n_28464),
+	.Y(n_28509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g742998 (
+	.A(n_28452),
+	.B(n_28019),
+	.C(n_28020),
+	.X(n_28508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g742999 (
+	.A1_N(n_31221),
+	.A2_N(n_26000),
+	.B1(n_26000),
+	.B2(n_28443),
+	.Y(brqrv_top_brqrv_picm_wr_data[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743000 (
+	.A1_N(n_32413),
+	.A2_N(n_26000),
+	.B1(n_26000),
+	.B2(n_28441),
+	.Y(brqrv_top_brqrv_picm_wr_data[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743001 (
+	.A1_N(n_32404),
+	.A2_N(n_26000),
+	.B1(n_26000),
+	.B2(n_28442),
+	.Y(brqrv_top_brqrv_picm_wr_data[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g743002 (
+	.A(n_28465),
+	.B(n_27818),
+	.Y(brqrv_top_brqrv_dbg_n_1032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g743003 (
+	.A(n_28497),
+	.B(n_28480),
+	.Y(n_28551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g743004 (
+	.A(n_28491),
+	.B(n_28471),
+	.Y(n_28550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g743005 (
+	.A(n_28505),
+	.B(n_28488),
+	.Y(n_28549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g743006 (
+	.A(n_28503),
+	.B(n_28486),
+	.Y(n_28548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g743007 (
+	.A(n_28501),
+	.B(n_28484),
+	.Y(n_28547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g743008 (
+	.A(n_28498),
+	.B(n_28482),
+	.Y(n_28546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g743009 (
+	.A(n_28495),
+	.B(n_28478),
+	.Y(n_28545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g743010 (
+	.A(n_28493),
+	.B(n_28506),
+	.Y(n_28544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743011 (
+	.A1(n_25374),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[0]),
+	.B1(n_28470),
+	.Y(n_28542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743012 (
+	.A1(n_25380),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[15]),
+	.B1(n_28477),
+	.Y(n_28540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743013 (
+	.A1(n_25373),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[14]),
+	.B1(n_28477),
+	.Y(n_28538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743014 (
+	.A1(n_25376),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[13]),
+	.B1(n_28477),
+	.Y(n_28536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743015 (
+	.A1(n_25374),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[12]),
+	.B1(n_28477),
+	.Y(n_28534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743016 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[3]),
+	.A2(n_25369),
+	.B1(n_28469),
+	.Y(n_28533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743017 (
+	.A1(n_25377),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[2]),
+	.B1(n_28469),
+	.Y(n_28531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743018 (
+	.A1(n_25370),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[1]),
+	.B1(n_28469),
+	.Y(n_28529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743019 (
+	.A1(n_25375),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[0]),
+	.B1(n_28469),
+	.Y(n_28527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743020 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[15]),
+	.A2(n_25369),
+	.B1(n_28476),
+	.Y(n_28525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743021 (
+	.A1(n_25377),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[14]),
+	.B1(n_28476),
+	.Y(n_28523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743022 (
+	.A1(n_25370),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[13]),
+	.B1(n_28476),
+	.Y(n_28521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743023 (
+	.A1(n_25375),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[12]),
+	.B1(n_28476),
+	.Y(n_28519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743024 (
+	.A1(n_25380),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[3]),
+	.B1(n_28470),
+	.Y(n_28516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743025 (
+	.A1(n_25373),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[2]),
+	.B1(n_28470),
+	.Y(n_28514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743026 (
+	.A1(n_25376),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[1]),
+	.B1(n_28470),
+	.Y(n_28512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743027 (
+	.A1(n_24110),
+	.A2(n_28458),
+	.B1(n_24103),
+	.B2(n_28459),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743028 (
+	.A1_N(n_31194),
+	.A2_N(n_26000),
+	.B1(n_26000),
+	.B2(n_28438),
+	.Y(brqrv_top_brqrv_picm_wr_data[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743029 (
+	.A1_N(n_31185),
+	.A2_N(n_26000),
+	.B1(n_26000),
+	.B2(n_28437),
+	.Y(brqrv_top_brqrv_picm_wr_data[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743030 (
+	.A1_N(n_31177),
+	.A2_N(n_26000),
+	.B1(n_26000),
+	.B2(n_28436),
+	.Y(brqrv_top_brqrv_picm_wr_data[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743031 (
+	.A1_N(n_31218),
+	.A2_N(n_26000),
+	.B1(n_26000),
+	.B2(n_28451),
+	.Y(brqrv_top_brqrv_picm_wr_data[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743032 (
+	.A1_N(n_32410),
+	.A2_N(n_26000),
+	.B1(n_26000),
+	.B2(n_28450),
+	.Y(brqrv_top_brqrv_picm_wr_data[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743033 (
+	.A1_N(n_32401),
+	.A2_N(n_26000),
+	.B1(n_26000),
+	.B2(n_28449),
+	.Y(brqrv_top_brqrv_picm_wr_data[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743034 (
+	.A1_N(n_31209),
+	.A2_N(n_26000),
+	.B1(n_26000),
+	.B2(n_28448),
+	.Y(brqrv_top_brqrv_picm_wr_data[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743035 (
+	.A1_N(n_31200),
+	.A2_N(n_26000),
+	.B1(n_26000),
+	.B2(n_28447),
+	.Y(brqrv_top_brqrv_picm_wr_data[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743036 (
+	.A1_N(n_31191),
+	.A2_N(n_26000),
+	.B1(n_26000),
+	.B2(n_28446),
+	.Y(brqrv_top_brqrv_picm_wr_data[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743037 (
+	.A1_N(n_31183),
+	.A2_N(n_26000),
+	.B1(n_26000),
+	.B2(n_28445),
+	.Y(brqrv_top_brqrv_picm_wr_data[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743038 (
+	.A1_N(n_31174),
+	.A2_N(n_26000),
+	.B1(n_26000),
+	.B2(n_28444),
+	.Y(brqrv_top_brqrv_picm_wr_data[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743039 (
+	.A1(n_24106),
+	.A2(n_28458),
+	.B1(n_24099),
+	.B2(n_28459),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743040 (
+	.A1(n_24107),
+	.A2(n_28458),
+	.B1(n_24100),
+	.B2(n_28459),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743041 (
+	.A1(n_24111),
+	.A2(n_28458),
+	.B1(n_24101),
+	.B2(n_28459),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743042 (
+	.A1(n_24105),
+	.A2(n_28458),
+	.B1(n_24102),
+	.B2(n_28459),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743043 (
+	.A1_N(n_31203),
+	.A2_N(n_26000),
+	.B1(n_26000),
+	.B2(n_28439),
+	.Y(brqrv_top_brqrv_picm_wr_data[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743044 (
+	.A1(n_24109),
+	.A2(n_28458),
+	.B1(n_24343),
+	.B2(n_28459),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743045 (
+	.A1(n_24112),
+	.A2(n_28458),
+	.B1(n_24098),
+	.B2(n_28459),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743046 (
+	.A1(n_24104),
+	.A2(n_28458),
+	.B1(n_24344),
+	.B2(n_28459),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743047 (
+	.A1(n_24108),
+	.A2(n_28458),
+	.B1(n_24345),
+	.B2(n_28459),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743048 (
+	.A1(n_24346),
+	.A2(n_28458),
+	.B1(n_24097),
+	.B2(n_28459),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743049 (
+	.A1(n_24106),
+	.A2(n_28460),
+	.B1(n_24099),
+	.B2(n_28461),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[35]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743050 (
+	.A1(n_24109),
+	.A2(n_28460),
+	.B1(n_24343),
+	.B2(n_28461),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[34]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743051 (
+	.A1(n_24107),
+	.A2(n_28460),
+	.B1(n_24100),
+	.B2(n_28461),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[33]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743052 (
+	.A1(n_24111),
+	.A2(n_28460),
+	.B1(n_24101),
+	.B2(n_28461),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[32]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743053 (
+	.A1(n_24105),
+	.A2(n_28460),
+	.B1(n_24102),
+	.B2(n_28461),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743054 (
+	.A1(n_24110),
+	.A2(n_28460),
+	.B1(n_24103),
+	.B2(n_28461),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743055 (
+	.A1(n_24108),
+	.A2(n_28460),
+	.B1(n_24345),
+	.B2(n_28461),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743056 (
+	.A1(n_24112),
+	.A2(n_28460),
+	.B1(n_24098),
+	.B2(n_28461),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743057 (
+	.A1(n_24104),
+	.A2(n_28460),
+	.B1(n_24344),
+	.B2(n_28461),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743058 (
+	.A1(n_24346),
+	.A2(n_28460),
+	.B1(n_24097),
+	.B2(n_28461),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g743059 (
+	.A(n_24356),
+	.B(n_28463),
+	.Y(brqrv_top_brqrv_lsu_stbuf_WrPtrff_n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g743060 (
+	.A(n_28506),
+	.Y(n_28507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g743061 (
+	.A(n_28505),
+	.Y(n_28504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g743062 (
+	.A(n_28503),
+	.Y(n_28502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g743063 (
+	.A(n_28501),
+	.Y(n_28500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g743064 (
+	.A(n_28498),
+	.Y(n_28499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g743065 (
+	.A(n_28497),
+	.Y(n_28496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g743066 (
+	.A(n_28495),
+	.Y(n_28494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g743067 (
+	.A(n_28493),
+	.Y(n_28492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g743068 (
+	.A(n_28491),
+	.Y(n_28490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g743069 (
+	.A(n_28488),
+	.Y(n_28489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g743070 (
+	.A(n_28486),
+	.Y(n_28487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g743071 (
+	.A(n_28484),
+	.Y(n_28485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g743072 (
+	.A(n_28482),
+	.Y(n_28483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g743073 (
+	.A(n_28480),
+	.Y(n_28481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g743074 (
+	.A(n_28478),
+	.Y(n_28479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g743075 (
+	.A(n_28477),
+	.Y(n_28476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g743076 (
+	.A(n_28455),
+	.B(n_28303),
+	.Y(brqrv_top_brqrv_dbg_n_1034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g743077 (
+	.A1(n_28080),
+	.A2(n_24037),
+	.B1(n_1333),
+	.B2(n_27860),
+	.C1(n_28457),
+	.Y(brqrv_top_brqrv_dbg_data0_din[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g743078 (
+	.A(n_28462),
+	.B(n_25444),
+	.Y(n_28475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743079 (
+	.A1(n_25376),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[5]),
+	.B1(n_28459),
+	.Y(n_28506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743080 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[11]),
+	.A2(n_25369),
+	.B1(n_28460),
+	.Y(n_28505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743081 (
+	.A1(n_25377),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[10]),
+	.B1(n_28460),
+	.Y(n_28503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743082 (
+	.A1(n_25370),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[9]),
+	.B1(n_28460),
+	.Y(n_28501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743083 (
+	.A1(n_25375),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[8]),
+	.B1(n_28460),
+	.Y(n_28498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743084 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[7]),
+	.A2(n_25369),
+	.B1(n_28458),
+	.Y(n_28497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743085 (
+	.A1(n_25377),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[6]),
+	.B1(n_28458),
+	.Y(n_28495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743086 (
+	.A1(n_25370),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[5]),
+	.B1(n_28458),
+	.Y(n_28493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743087 (
+	.A1(n_25375),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[4]),
+	.B1(n_28458),
+	.Y(n_28491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743088 (
+	.A1(n_25380),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[11]),
+	.B1(n_28461),
+	.Y(n_28488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743089 (
+	.A1(n_25373),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[10]),
+	.B1(n_28461),
+	.Y(n_28486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743090 (
+	.A1(n_25376),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[9]),
+	.B1(n_28461),
+	.Y(n_28484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743091 (
+	.A1(n_25374),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[8]),
+	.B1(n_28461),
+	.Y(n_28482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743092 (
+	.A1(n_25380),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[7]),
+	.B1(n_28459),
+	.Y(n_28480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743093 (
+	.A1(n_25373),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[6]),
+	.B1(n_28459),
+	.Y(n_28478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g743094 (
+	.A1(n_28389),
+	.A2(brqrv_top_brqrv_lsu_stbuf_WrPtr[1]),
+	.A3(n_34949),
+	.B1(n_28132),
+	.Y(n_28477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g743095 (
+	.A(n_28471),
+	.Y(n_28472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g743096 (
+	.A(n_28470),
+	.Y(n_28469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g743097 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_miss_state[1]),
+	.A2(n_28387),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f),
+	.B2(n_8148),
+	.C1(n_27455),
+	.C2(brqrv_top_brqrv_ifu_mem_ctl_miss_state[2]),
+	.Y(n_28468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g743098 (
+	.A1(n_28077),
+	.A2(n_24037),
+	.B1(n_1336),
+	.B2(n_27860),
+	.C1(n_28454),
+	.Y(brqrv_top_brqrv_dbg_data0_din[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g743099 (
+	.A1(n_28081),
+	.A2(n_24037),
+	.B1(n_28401),
+	.C1(n_28195),
+	.Y(brqrv_top_brqrv_dbg_data0_din[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743100 (
+	.A(n_28365),
+	.B(n_28144),
+	.C(n_28023),
+	.D(n_28061),
+	.Y(n_28467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g743101 (
+	.A(n_28013),
+	.B(n_28043),
+	.C(n_28402),
+	.X(n_28466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g743102 (
+	.A1(brqrv_top_brqrv_dbg_abstractcs_reg[8]),
+	.A2(n_28383),
+	.B1(n_28040),
+	.B2(n_27316),
+	.C1(n_28302),
+	.Y(n_28465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g743103 (
+	.A(n_28456),
+	.B(n_28303),
+	.Y(brqrv_top_brqrv_dbg_n_1033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743104 (
+	.A1_N(n_32393),
+	.A2_N(n_26000),
+	.B1(n_26000),
+	.B2(n_28409),
+	.Y(brqrv_top_brqrv_picm_wr_data[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743105 (
+	.A1_N(n_31192),
+	.A2_N(n_26000),
+	.B1(n_26000),
+	.B2(n_28408),
+	.Y(brqrv_top_brqrv_picm_wr_data[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743106 (
+	.A1_N(n_31175),
+	.A2_N(n_26000),
+	.B1(n_26000),
+	.B2(n_28406),
+	.Y(brqrv_top_brqrv_picm_wr_data[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g743107 (
+	.A1(n_24194),
+	.A2(\brqrv_top_brqrv_dec_decode_cam_in[3]_919 ),
+	.B1(n_28453),
+	.Y(n_28464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743108 (
+	.A1_N(n_31219),
+	.A2_N(n_26000),
+	.B1(n_26000),
+	.B2(n_28403),
+	.Y(brqrv_top_brqrv_picm_wr_data[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743109 (
+	.A1_N(n_32411),
+	.A2_N(n_26000),
+	.B1(n_26000),
+	.B2(n_28404),
+	.Y(brqrv_top_brqrv_picm_wr_data[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743110 (
+	.A1_N(n_32402),
+	.A2_N(n_26000),
+	.B1(n_26000),
+	.B2(n_28405),
+	.Y(brqrv_top_brqrv_picm_wr_data[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743111 (
+	.A1_N(n_31210),
+	.A2_N(n_26000),
+	.B1(n_26000),
+	.B2(n_28410),
+	.Y(brqrv_top_brqrv_picm_wr_data[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743112 (
+	.A1_N(n_31201),
+	.A2_N(n_26000),
+	.B1(n_26000),
+	.B2(n_28407),
+	.Y(brqrv_top_brqrv_picm_wr_data[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g743113 (
+	.A(n_26112),
+	.B(n_30797),
+	.C_N(n_28434),
+	.Y(n_28474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g743114 (
+	.A(n_28434),
+	.B(brqrv_top_brqrv_lsu_ldst_stbuf_reqvld_r),
+	.C(n_26112),
+	.Y(n_28473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743115 (
+	.A1(n_25374),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[4]),
+	.B1(n_28459),
+	.Y(n_28471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g743116 (
+	.A1(n_28389),
+	.A2(n_24131),
+	.A3(n_24356),
+	.B1(n_28136),
+	.Y(n_28470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g743117 (
+	.A(n_28461),
+	.Y(n_28460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g743118 (
+	.A(n_28459),
+	.Y(n_28458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g743119 (
+	.A1(n_28159),
+	.A2(n_28123),
+	.B1(n_27285),
+	.B2(brqrv_top_dmi_reg_wdata[4]),
+	.C1(n_28329),
+	.Y(n_28457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g743120 (
+	.A1(n_26727),
+	.A2(n_26054),
+	.B1(n_26351),
+	.B2(n_26053),
+	.C1(n_28433),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g743121 (
+	.A1(n_26727),
+	.A2(n_26059),
+	.B1(n_26351),
+	.B2(n_26058),
+	.C1(n_28432),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g743122 (
+	.A1(n_26723),
+	.A2(n_26028),
+	.B1(n_26351),
+	.B2(n_26027),
+	.C1(n_28431),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g743123 (
+	.A1(n_26723),
+	.A2(n_26044),
+	.B1(n_26348),
+	.B2(n_26046),
+	.C1(n_28392),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g743124 (
+	.A1(n_26723),
+	.A2(n_26040),
+	.B1(n_26351),
+	.B2(n_26047),
+	.C1(n_28428),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g743125 (
+	.A1(n_26727),
+	.A2(n_26052),
+	.B1(n_26351),
+	.B2(n_26051),
+	.C1(n_28393),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g743126 (
+	.A1(n_26727),
+	.A2(n_26023),
+	.B1(n_26351),
+	.B2(n_26063),
+	.C1(n_28391),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g743127 (
+	.A1(n_26723),
+	.A2(n_26033),
+	.B1(n_26348),
+	.B2(n_26032),
+	.C1(n_28427),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g743128 (
+	.A1(n_28078),
+	.A2(n_24037),
+	.B1(n_1334),
+	.B2(n_27860),
+	.C1(n_28397),
+	.Y(brqrv_top_brqrv_dbg_data0_din[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743129 (
+	.A1(brqrv_top_brqrv_dbg_abstractcs_reg[9]),
+	.A2(n_28384),
+	.B1(n_28134),
+	.B2(n_27818),
+	.Y(n_28456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g743130 (
+	.A1(n_28133),
+	.A2(n_26400),
+	.A3(n_27818),
+	.B1(brqrv_top_brqrv_dbg_abstractcs_reg[10]),
+	.B2(n_28385),
+	.Y(n_28455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g743131 (
+	.A1(n_24037),
+	.A2(n_28166),
+	.B1(n_28399),
+	.C1(n_27948),
+	.Y(brqrv_top_brqrv_dbg_data0_din[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g743132 (
+	.A1(n_28123),
+	.A2(n_28072),
+	.B1(n_27285),
+	.B2(brqrv_top_dmi_reg_wdata[1]),
+	.C1(n_28326),
+	.Y(n_28454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g743133 (
+	.A1(n_28086),
+	.A2(n_24037),
+	.B1(n_1335),
+	.B2(n_27860),
+	.C1(n_28398),
+	.Y(brqrv_top_brqrv_dbg_data0_din[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g743134 (
+	.A1(n_24037),
+	.A2(n_28163),
+	.B1(n_28396),
+	.C1(n_27963),
+	.Y(brqrv_top_brqrv_dbg_data0_din[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g743135 (
+	.A1(n_24037),
+	.A2(n_28165),
+	.B1(n_1337),
+	.B2(n_27860),
+	.C1(n_28400),
+	.Y(brqrv_top_brqrv_dbg_data0_din[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g743136 (
+	.A1(n_27810),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[27]),
+	.B1(n_28017),
+	.C1(n_28426),
+	.Y(n_28453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g743137 (
+	.A1(n_27929),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[14]),
+	.B1(n_27814),
+	.B2(brqrv_top_brqrv_dec_decode_cam_raw[17]),
+	.C1(n_28430),
+	.Y(n_28452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g743138 (
+	.A(n_38483),
+	.B(n_28434),
+	.Y(n_28463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743139 (
+	.A1(n_28390),
+	.A2(n_28300),
+	.B1(n_30797),
+	.Y(n_28462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743140 (
+	.A1(n_28389),
+	.A2(n_25444),
+	.B1(n_28128),
+	.Y(n_28461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743141 (
+	.A1(n_28389),
+	.A2(n_25445),
+	.B1(n_28130),
+	.Y(n_28459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743142 (
+	.A1_N(n_31144),
+	.A2_N(n_26000),
+	.B1(n_26000),
+	.B2(n_28337),
+	.Y(brqrv_top_brqrv_picm_wr_data[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743143 (
+	.A1_N(n_31153),
+	.A2_N(n_26000),
+	.B1(n_26000),
+	.B2(n_28343),
+	.Y(brqrv_top_brqrv_picm_wr_data[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743144 (
+	.A1_N(n_31150),
+	.A2_N(n_26000),
+	.B1(n_26000),
+	.B2(n_28342),
+	.Y(brqrv_top_brqrv_picm_wr_data[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743145 (
+	.A1_N(n_31147),
+	.A2_N(n_26000),
+	.B1(n_26000),
+	.B2(n_28344),
+	.Y(brqrv_top_brqrv_picm_wr_data[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743146 (
+	.A1_N(n_31156),
+	.A2_N(n_26000),
+	.B1(n_26000),
+	.B2(n_28340),
+	.Y(brqrv_top_brqrv_picm_wr_data[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743147 (
+	.A1(n_26000),
+	.A2(n_28341),
+	.B1(n_26794),
+	.B2(n_25999),
+	.Y(brqrv_top_brqrv_picm_wr_data[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743148 (
+	.A1(n_26000),
+	.A2(n_28339),
+	.B1(n_26793),
+	.B2(n_25999),
+	.Y(brqrv_top_brqrv_picm_wr_data[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743149 (
+	.A1(n_26000),
+	.A2(n_28338),
+	.B1(n_26792),
+	.B2(n_25999),
+	.Y(brqrv_top_brqrv_picm_wr_data[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g743150 (
+	.A1_N(n_26774),
+	.A2_N(n_28388),
+	.B1(brqrv_top_brqrv_lsu_store_data_lo_r[15]),
+	.B2(n_28388),
+	.Y(n_28451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g743151 (
+	.A1_N(n_26772),
+	.A2_N(n_28388),
+	.B1(brqrv_top_brqrv_lsu_store_data_lo_r[14]),
+	.B2(n_28388),
+	.Y(n_28450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g743152 (
+	.A1_N(n_26770),
+	.A2_N(n_28388),
+	.B1(brqrv_top_brqrv_lsu_store_data_lo_r[13]),
+	.B2(n_28388),
+	.Y(n_28449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g743153 (
+	.A1_N(n_26768),
+	.A2_N(n_28388),
+	.B1(brqrv_top_brqrv_lsu_store_data_lo_r[12]),
+	.B2(n_28388),
+	.Y(n_28448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g743154 (
+	.A1_N(n_26746),
+	.A2_N(n_28388),
+	.B1(brqrv_top_brqrv_lsu_store_data_lo_r[11]),
+	.B2(n_28388),
+	.Y(n_28447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g743155 (
+	.A1_N(n_26764),
+	.A2_N(n_28388),
+	.B1(brqrv_top_brqrv_lsu_store_data_lo_r[10]),
+	.B2(n_28388),
+	.Y(n_28446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g743156 (
+	.A1_N(n_26762),
+	.A2_N(n_28388),
+	.B1(brqrv_top_brqrv_lsu_store_data_lo_r[9]),
+	.B2(n_28388),
+	.Y(n_28445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g743157 (
+	.A1_N(n_26760),
+	.A2_N(n_28388),
+	.B1(brqrv_top_brqrv_lsu_store_data_lo_r[8]),
+	.B2(n_28388),
+	.Y(n_28444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g743158 (
+	.A1_N(n_26744),
+	.A2_N(n_28336),
+	.B1(brqrv_top_brqrv_lsu_store_data_lo_r[31]),
+	.B2(n_28336),
+	.Y(n_28443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g743159 (
+	.A1_N(n_26741),
+	.A2_N(n_28336),
+	.B1(brqrv_top_brqrv_lsu_store_data_lo_r[29]),
+	.B2(n_28336),
+	.Y(n_28442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g743160 (
+	.A1_N(n_26742),
+	.A2_N(n_28336),
+	.B1(brqrv_top_brqrv_lsu_store_data_lo_r[30]),
+	.B2(n_28336),
+	.Y(n_28441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g743161 (
+	.A1_N(n_26740),
+	.A2_N(n_28336),
+	.B1(brqrv_top_brqrv_lsu_store_data_lo_r[28]),
+	.B2(n_28336),
+	.Y(n_28440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g743162 (
+	.A1_N(n_26738),
+	.A2_N(n_28336),
+	.B1(brqrv_top_brqrv_lsu_store_data_lo_r[27]),
+	.B2(n_28336),
+	.Y(n_28439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g743163 (
+	.A1_N(n_26736),
+	.A2_N(n_28336),
+	.B1(brqrv_top_brqrv_lsu_store_data_lo_r[26]),
+	.B2(n_28336),
+	.Y(n_28438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g743164 (
+	.A1_N(n_26735),
+	.A2_N(n_28336),
+	.B1(brqrv_top_brqrv_lsu_store_data_lo_r[25]),
+	.B2(n_28336),
+	.Y(n_28437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g743165 (
+	.A1_N(n_26733),
+	.A2_N(n_28336),
+	.B1(brqrv_top_brqrv_lsu_store_data_lo_r[24]),
+	.B2(n_28336),
+	.Y(n_28436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g743166 (
+	.A(n_28425),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [35]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g743167 (
+	.A(n_28424),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [34]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g743168 (
+	.A(n_28423),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [33]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g743169 (
+	.A(n_28422),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [32]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g743170 (
+	.A(n_28421),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g743171 (
+	.A(n_28420),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_4 g743172 (
+	.A1(n_28152),
+	.A2(brqrv_top_dccm_rd_addr_hi[4]),
+	.B1(n_28313),
+	.X(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_4 g743173 (
+	.A1(n_28152),
+	.A2(brqrv_top_dccm_rd_addr_hi[5]),
+	.B1(n_28312),
+	.X(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g743174 (
+	.A1(n_26566),
+	.A2(n_28238),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_misaligned_fault_d),
+	.B2(n_26571),
+	.C1(n_24035),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_exc_mscause_d[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g743175 (
+	.A(brqrv_top_dmi_reg_en),
+	.B(brqrv_top_brqrv_dec_tlu_debug_mode),
+	.C(brqrv_top_brqrv_dec_tlu_dbg_halted),
+	.D(n_38484),
+	.X(brqrv_top_brqrv_dbg_dbg_free_clken), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g743176 (
+	.A1(n_26723),
+	.A2(n_26055),
+	.B1(n_28322),
+	.X(n_28433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g743177 (
+	.A1(n_26723),
+	.A2(n_26060),
+	.B1(n_28323),
+	.X(n_28432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g743178 (
+	.A1(n_26727),
+	.A2(n_26029),
+	.B1(n_28324),
+	.X(n_28431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g743179 (
+	.A1(\brqrv_top_brqrv_dec_decode_cam_in[1]_907 ),
+	.A2(n_24191),
+	.B1(\brqrv_top_brqrv_dec_decode_cam_in[6]_912 ),
+	.B2(n_24419),
+	.C1(n_28288),
+	.X(n_28430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g743180 (
+	.A1(n_26131),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_uncacheable_miss_ff),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f),
+	.C1(n_28304),
+	.Y(n_28429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g743181 (
+	.A1(n_26348),
+	.A2(n_26041),
+	.B1(n_28334),
+	.X(n_28428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743182 (
+	.A1(n_26034),
+	.A2(n_26352),
+	.B1(n_28333),
+	.Y(n_28427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g743183 (
+	.A1(n_24369),
+	.A2(n_28124),
+	.B1(n_28335),
+	.Y(brqrv_top_brqrv_dbg_dbg_state_reg_n_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o311ai_1 g743184 (
+	.A1(brqrv_top_brqrv_dbg_dmstatus_halted),
+	.A2(n_32732),
+	.A3(n_28125),
+	.B1(n_28172),
+	.C1(n_28289),
+	.Y(brqrv_top_brqrv_dbg_dbg_state_reg_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g743185 (
+	.A1(n_26717),
+	.A2(n_26042),
+	.B1(n_26360),
+	.B2(n_26040),
+	.C1(n_28381),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g743186 (
+	.A1(n_26717),
+	.A2(n_26050),
+	.B1(n_26360),
+	.B2(n_26044),
+	.C1(n_28380),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g743187 (
+	.A1(n_26717),
+	.A2(n_26052),
+	.B1(n_26360),
+	.B2(n_26037),
+	.C1(n_28379),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g743188 (
+	.A1(n_26717),
+	.A2(n_26054),
+	.B1(n_26360),
+	.B2(n_26055),
+	.C1(n_28378),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g743189 (
+	.A1(n_26717),
+	.A2(n_26059),
+	.B1(n_26360),
+	.B2(n_26060),
+	.C1(n_28377),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g743190 (
+	.A1(n_26717),
+	.A2(n_26023),
+	.B1(n_26360),
+	.B2(n_26026),
+	.C1(n_28376),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g743191 (
+	.A1(n_26717),
+	.A2(n_26029),
+	.B1(n_26360),
+	.B2(n_26028),
+	.C1(n_28375),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g743192 (
+	.A1(n_26717),
+	.A2(n_26036),
+	.B1(n_26360),
+	.B2(n_26033),
+	.C1(n_28374),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g743193 (
+	.A1(n_26722),
+	.A2(n_26050),
+	.B1(n_26348),
+	.B2(n_26049),
+	.C1(n_28372),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g743194 (
+	.A1(n_26722),
+	.A2(n_26054),
+	.B1(n_26348),
+	.B2(n_26053),
+	.C1(n_28370),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g743195 (
+	.A1(n_26722),
+	.A2(n_26059),
+	.B1(n_26348),
+	.B2(n_26058),
+	.C1(n_28369),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g743196 (
+	.A1(n_26722),
+	.A2(n_26023),
+	.B1(n_26348),
+	.B2(n_26063),
+	.C1(n_28368),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g743197 (
+	.A1(n_26722),
+	.A2(n_26029),
+	.B1(n_26348),
+	.B2(n_26027),
+	.C1(n_28367),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g743198 (
+	.A1(n_26722),
+	.A2(n_26036),
+	.B1(n_26348),
+	.B2(n_26035),
+	.C1(n_28366),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g743199 (
+	.A1(n_26722),
+	.A2(n_26042),
+	.B1(n_26348),
+	.B2(n_26047),
+	.C1(n_28373),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g743200 (
+	.A1(n_26722),
+	.A2(n_26052),
+	.B1(n_26348),
+	.B2(n_26051),
+	.C1(n_28371),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g743201 (
+	.A1(brqrv_top_brqrv_dec_decode_cam_raw[25]),
+	.A2(n_27924),
+	.B1(n_28016),
+	.C1(n_28070),
+	.D1(n_28218),
+	.Y(n_28426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g743202 (
+	.A1(brqrv_top_dccm_rd_addr_hi[11]),
+	.A2(n_28175),
+	.B1(n_28234),
+	.B2(brqrv_top_dccm_rd_addr_lo[11]),
+	.C1(n_28153),
+	.C2(n_26706),
+	.Y(n_28425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g743203 (
+	.A1(brqrv_top_dccm_rd_addr_hi[10]),
+	.A2(n_28175),
+	.B1(n_28234),
+	.B2(brqrv_top_dccm_rd_addr_lo[10]),
+	.C1(n_28153),
+	.C2(n_26696),
+	.Y(n_28424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g743204 (
+	.A1(brqrv_top_dccm_rd_addr_hi[9]),
+	.A2(n_28175),
+	.B1(n_28234),
+	.B2(brqrv_top_dccm_rd_addr_lo[9]),
+	.C1(n_28153),
+	.C2(n_26700),
+	.Y(n_28423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g743205 (
+	.A1(brqrv_top_dccm_rd_addr_hi[8]),
+	.A2(n_28175),
+	.B1(n_28234),
+	.B2(brqrv_top_dccm_rd_addr_lo[8]),
+	.C1(n_28153),
+	.C2(n_26702),
+	.Y(n_28422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g743206 (
+	.A1(brqrv_top_dccm_rd_addr_hi[7]),
+	.A2(n_28175),
+	.B1(n_28234),
+	.B2(brqrv_top_dccm_rd_addr_lo[7]),
+	.C1(n_28153),
+	.C2(n_26704),
+	.Y(n_28421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g743207 (
+	.A1(brqrv_top_dccm_rd_addr_hi[4]),
+	.A2(n_28175),
+	.B1(n_28234),
+	.B2(brqrv_top_dccm_rd_addr_lo[4]),
+	.C1(n_28153),
+	.C2(n_26709),
+	.Y(n_28420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_4 g743208 (
+	.A1(n_28152),
+	.A2(brqrv_top_dccm_rd_addr_hi[11]),
+	.B1(n_28308),
+	.X(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_4 g743209 (
+	.A1(n_28152),
+	.A2(brqrv_top_dccm_rd_addr_hi[10]),
+	.B1(n_28307),
+	.X(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_4 g743210 (
+	.A1(n_28152),
+	.A2(brqrv_top_dccm_rd_addr_hi[9]),
+	.B1(n_28306),
+	.X(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_4 g743211 (
+	.A1(n_28152),
+	.A2(brqrv_top_dccm_rd_addr_hi[8]),
+	.B1(n_28309),
+	.X(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_4 g743212 (
+	.A1(n_28152),
+	.A2(brqrv_top_dccm_rd_addr_hi[7]),
+	.B1(n_28310),
+	.X(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_4 g743213 (
+	.A1(n_28152),
+	.A2(brqrv_top_dccm_rd_addr_hi[6]),
+	.B1(n_28311),
+	.X(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g743215 (
+	.A(n_28300),
+	.B(n_28390),
+	.Y(n_28434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g743216 (
+	.A(n_28395),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g743217 (
+	.A(n_28394),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g743218 (
+	.A(n_28413),
+	.Y(n_28414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g743219 (
+	.A1(n_28177),
+	.A2(brqrv_top_dccm_rd_addr_hi[11]),
+	.B1(n_28145),
+	.B2(n_26706),
+	.C1(n_28297),
+	.X(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g743220 (
+	.A1(n_28177),
+	.A2(brqrv_top_dccm_rd_addr_hi[9]),
+	.B1(n_28145),
+	.B2(n_26700),
+	.C1(n_28295),
+	.X(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g743221 (
+	.A1(n_28177),
+	.A2(brqrv_top_dccm_rd_addr_hi[6]),
+	.B1(n_28145),
+	.B2(n_26698),
+	.C1(n_28292),
+	.X(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g743222 (
+	.A1(n_28177),
+	.A2(brqrv_top_dccm_rd_addr_hi[5]),
+	.B1(n_28145),
+	.B2(n_26711),
+	.C1(n_28291),
+	.X(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g743223 (
+	.A1(n_28177),
+	.A2(brqrv_top_dccm_rd_addr_hi[4]),
+	.B1(n_28145),
+	.B2(n_26709),
+	.C1(n_28290),
+	.X(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_4 g743224 (
+	.A1(n_28147),
+	.A2(brqrv_top_dccm_rd_addr_hi[11]),
+	.B1(n_28314),
+	.X(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_4 g743225 (
+	.A1(n_28147),
+	.A2(brqrv_top_dccm_rd_addr_hi[10]),
+	.B1(n_28316),
+	.X(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_4 g743226 (
+	.A1(n_28147),
+	.A2(brqrv_top_dccm_rd_addr_hi[9]),
+	.B1(n_28315),
+	.X(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_4 g743227 (
+	.A1(n_28147),
+	.A2(brqrv_top_dccm_rd_addr_hi[8]),
+	.B1(n_28317),
+	.X(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_4 g743228 (
+	.A1(n_28147),
+	.A2(brqrv_top_dccm_rd_addr_hi[7]),
+	.B1(n_28318),
+	.X(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_4 g743229 (
+	.A1(n_28147),
+	.A2(brqrv_top_dccm_rd_addr_hi[6]),
+	.B1(n_28319),
+	.X(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_4 g743230 (
+	.A1(n_28147),
+	.A2(brqrv_top_dccm_rd_addr_hi[5]),
+	.B1(n_28320),
+	.X(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g743231 (
+	.A1(n_28177),
+	.A2(brqrv_top_dccm_rd_addr_hi[7]),
+	.B1(n_28145),
+	.B2(n_26704),
+	.C1(n_28294),
+	.X(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_4 g743232 (
+	.A1(n_28147),
+	.A2(brqrv_top_dccm_rd_addr_hi[4]),
+	.B1(n_28321),
+	.X(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g743233 (
+	.A1(n_28177),
+	.A2(brqrv_top_dccm_rd_addr_hi[8]),
+	.B1(n_28145),
+	.B2(n_26702),
+	.C1(n_28293),
+	.X(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g743234 (
+	.A1(brqrv_top_brqrv_dec_decode_cam_in[6]),
+	.A2(n_24166),
+	.B1(n_27812),
+	.B2(brqrv_top_brqrv_dec_decode_cam_raw[7]),
+	.C1(n_28287),
+	.X(n_28402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743235 (
+	.A1(n_27285),
+	.A2(brqrv_top_dmi_reg_wdata[7]),
+	.B1(n_28332),
+	.Y(n_28401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g743236 (
+	.A1(n_28155),
+	.A2(n_28161),
+	.B1(n_27285),
+	.B2(brqrv_top_dmi_reg_wdata[6]),
+	.C1(n_28331),
+	.Y(n_28400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g743237 (
+	.A1(n_28123),
+	.A2(n_28157),
+	.B1(n_27285),
+	.B2(brqrv_top_dmi_reg_wdata[5]),
+	.C1(n_28330),
+	.Y(n_28399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g743238 (
+	.A1(n_28155),
+	.A2(n_28083),
+	.B1(n_27285),
+	.B2(brqrv_top_dmi_reg_wdata[3]),
+	.C1(n_28328),
+	.Y(n_28398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g743239 (
+	.A1(n_28123),
+	.A2(n_28158),
+	.B1(n_27285),
+	.B2(brqrv_top_dmi_reg_wdata[2]),
+	.C1(n_28327),
+	.Y(n_28397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g743240 (
+	.A1(n_28155),
+	.A2(n_28082),
+	.B1(n_27285),
+	.B2(brqrv_top_dmi_reg_wdata[0]),
+	.C1(n_28325),
+	.Y(n_28396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g743241 (
+	.A1(n_26698),
+	.A2(n_28153),
+	.B1(n_28234),
+	.B2(brqrv_top_dccm_rd_addr_lo[6]),
+	.C1(n_28175),
+	.C2(brqrv_top_dccm_rd_addr_hi[6]),
+	.Y(n_28395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g743242 (
+	.A1(n_26711),
+	.A2(n_28153),
+	.B1(n_28234),
+	.B2(brqrv_top_dccm_rd_addr_lo[5]),
+	.C1(n_28175),
+	.C2(brqrv_top_dccm_rd_addr_hi[5]),
+	.Y(n_28394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g743243 (
+	.A1(n_26038),
+	.A2(n_26349),
+	.B1(n_28181),
+	.B2(n_32903),
+	.C1(n_28281),
+	.Y(n_28393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g743244 (
+	.A1(n_26048),
+	.A2(n_26352),
+	.B1(n_28181),
+	.B2(n_32904),
+	.C1(n_28282),
+	.Y(n_28392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g743245 (
+	.A1(n_26024),
+	.A2(n_26349),
+	.B1(n_28181),
+	.B2(n_32900),
+	.C1(n_28280),
+	.Y(n_28391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g743246 (
+	.A1(n_28177),
+	.A2(brqrv_top_dccm_rd_addr_hi[10]),
+	.B1(n_28145),
+	.B2(n_26696),
+	.C1(n_28296),
+	.X(\brqrv_top_mem_Gen_dccm_enable.dccm_addr_bank [18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743247 (
+	.A1(n_28276),
+	.A2(n_26749),
+	.B1(n_28275),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[0]),
+	.Y(n_28419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743248 (
+	.A1(n_28276),
+	.A2(n_26750),
+	.B1(n_28275),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[1]),
+	.Y(n_28418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743249 (
+	.A1(n_28276),
+	.A2(n_26751),
+	.B1(n_28275),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[2]),
+	.Y(n_28417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743250 (
+	.A1(n_28276),
+	.A2(n_26752),
+	.B1(n_28275),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[3]),
+	.Y(n_28416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743251 (
+	.A1(n_28276),
+	.A2(n_26753),
+	.B1(n_28275),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[4]),
+	.Y(n_28415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743252 (
+	.A1(n_28276),
+	.A2(n_26754),
+	.B1(n_28275),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[5]),
+	.Y(n_28413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743253 (
+	.A1(n_28276),
+	.A2(n_26756),
+	.B1(n_28275),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[6]),
+	.Y(n_28412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743254 (
+	.A1(n_28276),
+	.A2(n_26758),
+	.B1(n_28275),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[7]),
+	.Y(n_28411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g743255 (
+	.A1_N(n_26779),
+	.A2_N(n_28299),
+	.B1(brqrv_top_brqrv_lsu_store_data_lo_r[20]),
+	.B2(n_28299),
+	.Y(n_28410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g743256 (
+	.A1_N(n_26776),
+	.A2_N(n_28299),
+	.B1(brqrv_top_brqrv_lsu_store_data_lo_r[17]),
+	.B2(n_28299),
+	.Y(n_28409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g743257 (
+	.A1_N(n_26777),
+	.A2_N(n_28299),
+	.B1(brqrv_top_brqrv_lsu_store_data_lo_r[18]),
+	.B2(n_28299),
+	.Y(n_28408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g743258 (
+	.A1_N(n_26778),
+	.A2_N(n_28299),
+	.B1(brqrv_top_brqrv_lsu_store_data_lo_r[19]),
+	.B2(n_28299),
+	.Y(n_28407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g743259 (
+	.A1_N(n_26775),
+	.A2_N(n_28299),
+	.B1(brqrv_top_brqrv_lsu_store_data_lo_r[16]),
+	.B2(n_28299),
+	.Y(n_28406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g743260 (
+	.A1_N(n_26780),
+	.A2_N(n_28299),
+	.B1(brqrv_top_brqrv_lsu_store_data_lo_r[21]),
+	.B2(n_28299),
+	.Y(n_28405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g743261 (
+	.A1_N(n_26782),
+	.A2_N(n_28299),
+	.B1(brqrv_top_brqrv_lsu_store_data_lo_r[22]),
+	.B2(n_28299),
+	.Y(n_28404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g743262 (
+	.A1_N(n_26732),
+	.A2_N(n_28299),
+	.B1(brqrv_top_brqrv_lsu_store_data_lo_r[23]),
+	.B2(n_28299),
+	.Y(n_28403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g743263 (
+	.A(n_28363),
+	.Y(brqrv_top_brqrv_dbg_data0_din[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g743264 (
+	.A(n_28362),
+	.Y(brqrv_top_brqrv_dbg_data0_din[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g743265 (
+	.A(n_28361),
+	.Y(brqrv_top_brqrv_dbg_data0_din[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g743266 (
+	.A(n_24035),
+	.B(n_28305),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_exc_mscause_d[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g743267 (
+	.A1(n_28081),
+	.A2(n_28149),
+	.B1(n_28118),
+	.B2(n_28074),
+	.C1(n_27974),
+	.Y(brqrv_top_brqrv_dbg_data0_din[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g743268 (
+	.A1(n_28149),
+	.A2(n_28163),
+	.B1(n_28118),
+	.B2(n_28073),
+	.C1(n_27972),
+	.Y(brqrv_top_brqrv_dbg_data0_din[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743269 (
+	.A1(n_28242),
+	.A2(n_8148),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_miss_state[2]),
+	.Y(n_28387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g743271 (
+	.A1(brqrv_top_dmi_reg_wdata[10]),
+	.A2(n_28240),
+	.B1(n_28301),
+	.Y(n_28385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g743272 (
+	.A1(brqrv_top_dmi_reg_wdata[9]),
+	.A2(n_28240),
+	.B1(n_28301),
+	.Y(n_28384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g743273 (
+	.A1(brqrv_top_dmi_reg_wdata[8]),
+	.A2(n_28240),
+	.B1(n_28301),
+	.Y(n_28383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743274 (
+	.A1(brqrv_top_brqrv_dec_tlu_force_halt),
+	.A2(n_28220),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_miss_pending),
+	.B2(brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f),
+	.Y(n_28382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g743275 (
+	.A1(n_27861),
+	.A2(n_33449),
+	.B1(n_27285),
+	.B2(brqrv_top_dmi_reg_wdata[9]),
+	.C1(n_28251),
+	.X(brqrv_top_brqrv_dbg_data0_din[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743276 (
+	.A1(n_28191),
+	.A2(n_32897),
+	.B1(n_28279),
+	.Y(n_28381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743277 (
+	.A1(n_28191),
+	.A2(n_32896),
+	.B1(n_28278),
+	.Y(n_28380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743278 (
+	.A1(n_28191),
+	.A2(n_32895),
+	.B1(n_28277),
+	.Y(n_28379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743279 (
+	.A1(n_28191),
+	.A2(n_32894),
+	.B1(n_28283),
+	.Y(n_28378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743280 (
+	.A1(n_28191),
+	.A2(n_32893),
+	.B1(n_28284),
+	.Y(n_28377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743281 (
+	.A1(n_28191),
+	.A2(n_32892),
+	.B1(n_28285),
+	.Y(n_28376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743282 (
+	.A1(n_28191),
+	.A2(n_32891),
+	.B1(n_28286),
+	.Y(n_28375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743283 (
+	.A1(n_28191),
+	.A2(n_32890),
+	.B1(n_28274),
+	.Y(n_28374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g743284 (
+	.A1(n_26725),
+	.A2(n_26042),
+	.B1(n_26718),
+	.B2(n_26040),
+	.C1(n_28273),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g743285 (
+	.A1(n_26725),
+	.A2(n_26050),
+	.B1(n_26718),
+	.B2(n_26044),
+	.C1(n_28272),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g743286 (
+	.A1(n_26725),
+	.A2(n_26052),
+	.B1(n_26718),
+	.B2(n_26037),
+	.C1(n_28271),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g743287 (
+	.A1(n_26725),
+	.A2(n_26054),
+	.B1(n_26718),
+	.B2(n_26055),
+	.C1(n_28270),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g743288 (
+	.A1(n_26725),
+	.A2(n_26059),
+	.B1(n_26718),
+	.B2(n_26060),
+	.C1(n_28269),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g743289 (
+	.A1(n_26725),
+	.A2(n_26023),
+	.B1(n_26718),
+	.B2(n_26026),
+	.C1(n_28268),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g743290 (
+	.A1(n_26725),
+	.A2(n_26029),
+	.B1(n_26718),
+	.B2(n_26028),
+	.C1(n_28267),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g743291 (
+	.A1(n_26725),
+	.A2(n_26036),
+	.B1(n_26718),
+	.B2(n_26033),
+	.C1(n_28266),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g743292 (
+	.A1(n_28185),
+	.A2(n_32881),
+	.B1(n_28182),
+	.B2(n_26758),
+	.C1(n_26619),
+	.X(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g743293 (
+	.A1(n_28185),
+	.A2(n_32880),
+	.B1(n_28182),
+	.B2(n_26756),
+	.C1(n_26618),
+	.X(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g743294 (
+	.A1(n_28185),
+	.A2(n_32878),
+	.B1(n_28182),
+	.B2(n_26753),
+	.C1(n_26616),
+	.X(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g743295 (
+	.A1(n_28185),
+	.A2(n_32877),
+	.B1(n_28182),
+	.B2(n_26752),
+	.C1(n_26615),
+	.X(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g743296 (
+	.A1(n_28185),
+	.A2(n_32876),
+	.B1(n_28182),
+	.B2(n_26751),
+	.C1(n_26614),
+	.X(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g743297 (
+	.A1(n_28185),
+	.A2(n_32875),
+	.B1(n_28182),
+	.B2(n_26750),
+	.C1(n_26613),
+	.X(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g743298 (
+	.A1(n_26776),
+	.A2(n_28180),
+	.B1(n_26347),
+	.B2(n_26027),
+	.C1(n_39193),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g743299 (
+	.A1(n_26775),
+	.A2(n_28180),
+	.B1(n_26347),
+	.B2(n_26035),
+	.C1(n_39192),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g743300 (
+	.A1(n_26353),
+	.A2(n_26047),
+	.B1(n_26347),
+	.B2(n_26041),
+	.C1(n_28265),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g743301 (
+	.A1(n_26353),
+	.A2(n_26049),
+	.B1(n_26347),
+	.B2(n_26046),
+	.C1(n_28264),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g743302 (
+	.A1(n_26353),
+	.A2(n_26051),
+	.B1(n_26347),
+	.B2(n_26039),
+	.C1(n_28263),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g743303 (
+	.A1(n_26780),
+	.A2(n_28180),
+	.B1(n_26347),
+	.B2(n_26051),
+	.C1(n_28226),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g743304 (
+	.A1(n_26353),
+	.A2(n_26058),
+	.B1(n_26347),
+	.B2(n_26062),
+	.C1(n_28261),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g743305 (
+	.A1(n_26353),
+	.A2(n_26063),
+	.B1(n_26347),
+	.B2(n_26025),
+	.C1(n_28260),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g743306 (
+	.A1(n_26353),
+	.A2(n_26027),
+	.B1(n_26347),
+	.B2(n_26031),
+	.C1(n_28259),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g743307 (
+	.A1(n_26779),
+	.A2(n_28180),
+	.B1(n_26347),
+	.B2(n_26053),
+	.C1(n_28225),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g743308 (
+	.A1(n_26353),
+	.A2(n_26035),
+	.B1(n_26347),
+	.B2(n_26032),
+	.C1(n_28258),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743309 (
+	.A1(n_28192),
+	.A2(n_32857),
+	.B1(n_28257),
+	.Y(n_28373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g743310 (
+	.A1(n_26353),
+	.A2(n_26053),
+	.B1(n_26347),
+	.B2(n_26057),
+	.C1(n_28262),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743311 (
+	.A1(n_28192),
+	.A2(n_32856),
+	.B1(n_28256),
+	.Y(n_28372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g743312 (
+	.A1(n_26754),
+	.A2(n_28186),
+	.B1(n_26038),
+	.B2(n_26354),
+	.C1(n_28192),
+	.C2(n_32855),
+	.Y(n_28371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g743313 (
+	.A1(n_26753),
+	.A2(n_28186),
+	.B1(n_26056),
+	.B2(n_26354),
+	.C1(n_28192),
+	.C2(n_32854),
+	.Y(n_28370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g743314 (
+	.A1(n_26752),
+	.A2(n_28186),
+	.B1(n_26061),
+	.B2(n_26354),
+	.C1(n_28192),
+	.C2(n_32853),
+	.Y(n_28369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g743315 (
+	.A1(n_26751),
+	.A2(n_28186),
+	.B1(n_26024),
+	.B2(n_26354),
+	.C1(n_28192),
+	.C2(n_32852),
+	.Y(n_28368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g743316 (
+	.A1(n_26750),
+	.A2(n_28186),
+	.B1(n_26030),
+	.B2(n_26354),
+	.C1(n_28192),
+	.C2(n_32851),
+	.Y(n_28367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743317 (
+	.A1(n_28192),
+	.A2(n_32850),
+	.B1(n_28255),
+	.Y(n_28366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g743318 (
+	.A1(n_27932),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[38]),
+	.B1(n_28060),
+	.C1(n_28211),
+	.Y(n_28365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g743319 (
+	.A1(n_32813),
+	.A2(n_26131),
+	.A3(n_24446),
+	.B1(n_28304),
+	.Y(n_28364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g743320 (
+	.A(n_28305),
+	.B(n_28298),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_exc_mscause_d[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g743321 (
+	.A1(n_33469),
+	.A2(n_27861),
+	.B1(n_28157),
+	.B2(n_28024),
+	.C1(n_27285),
+	.C2(brqrv_top_dmi_reg_wdata[29]),
+	.Y(n_28363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g743322 (
+	.A1(n_33462),
+	.A2(n_27861),
+	.B1(n_28024),
+	.B2(n_28161),
+	.C1(n_27285),
+	.C2(brqrv_top_dmi_reg_wdata[22]),
+	.Y(n_28362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g743323 (
+	.A1(n_33461),
+	.A2(n_27861),
+	.B1(n_28160),
+	.B2(n_28024),
+	.C1(n_27285),
+	.C2(brqrv_top_dmi_reg_wdata[21]),
+	.Y(n_28361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g743324 (
+	.A1(n_28149),
+	.A2(n_28165),
+	.B1(n_28118),
+	.B2(n_28075),
+	.C1(n_27971),
+	.Y(brqrv_top_brqrv_dbg_data0_din[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g743325 (
+	.A1(n_27861),
+	.A2(n_33453),
+	.B1(n_27285),
+	.B2(brqrv_top_dmi_reg_wdata[13]),
+	.C1(n_28254),
+	.X(brqrv_top_brqrv_dbg_data0_din[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g743326 (
+	.A1(n_27861),
+	.A2(n_33452),
+	.B1(n_27285),
+	.B2(brqrv_top_dmi_reg_wdata[12]),
+	.C1(n_28250),
+	.X(brqrv_top_brqrv_dbg_data0_din[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g743327 (
+	.A1(n_28086),
+	.A2(n_28149),
+	.B1(n_28118),
+	.B2(n_28076),
+	.C1(n_27973),
+	.Y(brqrv_top_brqrv_dbg_data0_din[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g743328 (
+	.A1(n_27861),
+	.A2(n_33450),
+	.B1(n_27285),
+	.B2(brqrv_top_dmi_reg_wdata[10]),
+	.C1(n_28249),
+	.X(brqrv_top_brqrv_dbg_data0_din[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743329 (
+	.A(n_28173),
+	.B(n_28085),
+	.C(n_24382),
+	.D(n_28084),
+	.Y(n_28390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743330 (
+	.A1(n_30797),
+	.A2(brqrv_top_brqrv_lsu_ldst_dual_r),
+	.B1(n_28300),
+	.Y(n_28389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g743331 (
+	.A1(n_28193),
+	.A2(brqrv_top_brqrv_lsu_lsu_addr_r[0]),
+	.B1(n_28126),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[1]),
+	.C1(n_28243),
+	.Y(n_28388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g743332 (
+	.A1(n_28182),
+	.A2(n_26749),
+	.B1(n_28185),
+	.B2(n_32874),
+	.C1(n_26612),
+	.X(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g743333 (
+	.A1(n_29871),
+	.A2(n_27860),
+	.B1(n_28248),
+	.Y(brqrv_top_brqrv_dbg_data0_din[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g743334 (
+	.A1(n_29882),
+	.A2(n_27860),
+	.B1(n_28253),
+	.Y(brqrv_top_brqrv_dbg_data0_din[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g743335 (
+	.A1(n_29878),
+	.A2(n_27860),
+	.B1(n_28252),
+	.Y(brqrv_top_brqrv_dbg_data0_din[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g743336 (
+	.A1(n_29879),
+	.A2(n_27860),
+	.B1(n_28247),
+	.Y(brqrv_top_brqrv_dbg_data0_din[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743337 (
+	.A1(n_28241),
+	.A2(n_26969),
+	.B1(n_28124),
+	.B2(n_26801),
+	.Y(n_28335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g743338 (
+	.A1(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[1]),
+	.A2(n_27496),
+	.B1(n_27728),
+	.C1(n_27823),
+	.D1(n_28140),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_fetchghr_en ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g743339 (
+	.A1(n_28182),
+	.A2(n_26754),
+	.B1(n_28185),
+	.B2(n_32879),
+	.C1(n_26617),
+	.X(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g743340 (
+	.A1(n_28184),
+	.A2(n_26743),
+	.B1(n_28181),
+	.B2(n_32905),
+	.C1(n_26966),
+	.Y(n_28334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g743341 (
+	.A1(n_26733),
+	.A2(n_28183),
+	.B1(n_26727),
+	.B2(n_26036),
+	.C1(n_39168),
+	.Y(n_28333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g743342 (
+	.A1(n_26777),
+	.A2(n_28180),
+	.B1(n_26347),
+	.B2(n_26063),
+	.C1(n_28223),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g743343 (
+	.A1(n_26778),
+	.A2(n_28180),
+	.B1(n_26347),
+	.B2(n_26058),
+	.C1(n_28224),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g743344 (
+	.A1(n_26782),
+	.A2(n_28180),
+	.B1(n_26347),
+	.B2(n_26049),
+	.C1(n_28227),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g743345 (
+	.A1(n_26732),
+	.A2(n_28180),
+	.B1(n_26347),
+	.B2(n_26047),
+	.C1(n_28228),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743346 (
+	.A1(n_28041),
+	.A2(n_28236),
+	.B1(n_28036),
+	.B2(n_28156),
+	.Y(n_28332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743347 (
+	.A1(n_28096),
+	.A2(n_28236),
+	.B1(n_28075),
+	.B2(n_28122),
+	.Y(n_28331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743348 (
+	.A1_N(n_28160),
+	.A2_N(n_28155),
+	.B1(n_28097),
+	.B2(n_28236),
+	.Y(n_28330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743349 (
+	.A1(n_28063),
+	.A2(n_28236),
+	.B1(n_28037),
+	.B2(n_28156),
+	.Y(n_28329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743350 (
+	.A1(n_28098),
+	.A2(n_28236),
+	.B1(n_28076),
+	.B2(n_28122),
+	.Y(n_28328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743351 (
+	.A1(n_28048),
+	.A2(n_28236),
+	.B1(n_28162),
+	.B2(n_28156),
+	.Y(n_28327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743352 (
+	.A1(n_28064),
+	.A2(n_28236),
+	.B1(n_28167),
+	.B2(n_28156),
+	.Y(n_28326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743353 (
+	.A1(n_28069),
+	.A2(n_28236),
+	.B1(n_28073),
+	.B2(n_28122),
+	.Y(n_28325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g743354 (
+	.A1(n_26734),
+	.A2(n_28184),
+	.B1(n_28181),
+	.B2(n_32899),
+	.C1(n_26030),
+	.C2(n_26349),
+	.Y(n_28324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g743355 (
+	.A1(n_26737),
+	.A2(n_28184),
+	.B1(n_28181),
+	.B2(n_32901),
+	.C1(n_26061),
+	.C2(n_26349),
+	.Y(n_28323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g743356 (
+	.A1(n_26739),
+	.A2(n_28184),
+	.B1(n_28181),
+	.B2(n_32902),
+	.C1(n_26056),
+	.C2(n_26349),
+	.Y(n_28322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743357 (
+	.A1(n_26249),
+	.A2(n_28235),
+	.B1(n_26710),
+	.B2(n_28150),
+	.Y(n_28321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743358 (
+	.A1(n_26559),
+	.A2(n_28235),
+	.B1(n_26712),
+	.B2(n_28150),
+	.Y(n_28320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743359 (
+	.A1(n_26250),
+	.A2(n_28235),
+	.B1(n_26699),
+	.B2(n_28150),
+	.Y(n_28319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743360 (
+	.A1(n_26955),
+	.A2(n_28235),
+	.B1(n_26705),
+	.B2(n_28150),
+	.Y(n_28318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743361 (
+	.A1(n_26248),
+	.A2(n_28235),
+	.B1(n_26703),
+	.B2(n_28150),
+	.Y(n_28317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743362 (
+	.A1(n_26251),
+	.A2(n_28235),
+	.B1(n_26697),
+	.B2(n_28150),
+	.Y(n_28316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743363 (
+	.A1(n_26561),
+	.A2(n_28235),
+	.B1(n_26701),
+	.B2(n_28150),
+	.Y(n_28315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743364 (
+	.A1(n_26954),
+	.A2(n_28235),
+	.B1(n_26707),
+	.B2(n_28150),
+	.Y(n_28314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743365 (
+	.A1(n_26249),
+	.A2(n_28237),
+	.B1(n_26710),
+	.B2(n_28154),
+	.Y(n_28313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743366 (
+	.A1(n_26559),
+	.A2(n_28237),
+	.B1(n_26712),
+	.B2(n_28154),
+	.Y(n_28312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743367 (
+	.A1(n_26250),
+	.A2(n_28237),
+	.B1(n_26699),
+	.B2(n_28154),
+	.Y(n_28311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743368 (
+	.A1(n_26955),
+	.A2(n_28237),
+	.B1(n_26705),
+	.B2(n_28154),
+	.Y(n_28310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743369 (
+	.A1(n_26248),
+	.A2(n_28237),
+	.B1(n_26703),
+	.B2(n_28154),
+	.Y(n_28309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743370 (
+	.A1(n_26954),
+	.A2(n_28237),
+	.B1(n_26707),
+	.B2(n_28154),
+	.Y(n_28308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743371 (
+	.A1(n_26251),
+	.A2(n_28237),
+	.B1(n_26697),
+	.B2(n_28154),
+	.Y(n_28307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743372 (
+	.A1(n_26561),
+	.A2(n_28237),
+	.B1(n_26701),
+	.B2(n_28154),
+	.Y(n_28306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g743373 (
+	.A1_N(n_26760),
+	.A2_N(n_28232),
+	.B1(brqrv_top_brqrv_lsu_store_data_hi_r[8]),
+	.B2(n_28232),
+	.Y(n_28360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g743374 (
+	.A1_N(n_26762),
+	.A2_N(n_28232),
+	.B1(brqrv_top_brqrv_lsu_store_data_hi_r[9]),
+	.B2(n_28232),
+	.Y(n_28359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g743375 (
+	.A1_N(n_26764),
+	.A2_N(n_28232),
+	.B1(brqrv_top_brqrv_lsu_store_data_hi_r[10]),
+	.B2(n_28232),
+	.Y(n_28358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g743376 (
+	.A1_N(n_26746),
+	.A2_N(n_28232),
+	.B1(brqrv_top_brqrv_lsu_store_data_hi_r[11]),
+	.B2(n_28232),
+	.Y(n_28357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g743377 (
+	.A1_N(n_26768),
+	.A2_N(n_28232),
+	.B1(brqrv_top_brqrv_lsu_store_data_hi_r[12]),
+	.B2(n_28232),
+	.Y(n_28356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g743378 (
+	.A1_N(n_26770),
+	.A2_N(n_28232),
+	.B1(brqrv_top_brqrv_lsu_store_data_hi_r[13]),
+	.B2(n_28232),
+	.Y(n_28355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743379 (
+	.A1_N(brqrv_top_brqrv_lsu_store_data_hi_r[14]),
+	.A2_N(n_28232),
+	.B1(n_26772),
+	.B2(n_28232),
+	.Y(n_28354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g743380 (
+	.A1_N(n_26774),
+	.A2_N(n_28232),
+	.B1(brqrv_top_brqrv_lsu_store_data_hi_r[15]),
+	.B2(n_28232),
+	.Y(n_28353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743381 (
+	.A1_N(brqrv_top_brqrv_lsu_store_data_hi_r[23]),
+	.A2_N(n_28233),
+	.B1(n_26732),
+	.B2(n_28233),
+	.Y(n_28352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g743382 (
+	.A1_N(n_26782),
+	.A2_N(n_28233),
+	.B1(brqrv_top_brqrv_lsu_store_data_hi_r[22]),
+	.B2(n_28233),
+	.Y(n_28351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g743383 (
+	.A1_N(n_26780),
+	.A2_N(n_28233),
+	.B1(brqrv_top_brqrv_lsu_store_data_hi_r[21]),
+	.B2(n_28233),
+	.Y(n_28350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g743384 (
+	.A1_N(n_26779),
+	.A2_N(n_28233),
+	.B1(brqrv_top_brqrv_lsu_store_data_hi_r[20]),
+	.B2(n_28233),
+	.Y(n_28349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g743385 (
+	.A1_N(n_26778),
+	.A2_N(n_28233),
+	.B1(brqrv_top_brqrv_lsu_store_data_hi_r[19]),
+	.B2(n_28233),
+	.Y(n_28348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g743386 (
+	.A1_N(n_26777),
+	.A2_N(n_28233),
+	.B1(brqrv_top_brqrv_lsu_store_data_hi_r[18]),
+	.B2(n_28233),
+	.Y(n_28347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g743387 (
+	.A1_N(n_26776),
+	.A2_N(n_28233),
+	.B1(brqrv_top_brqrv_lsu_store_data_hi_r[17]),
+	.B2(n_28233),
+	.Y(n_28346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g743388 (
+	.A1_N(n_26775),
+	.A2_N(n_28233),
+	.B1(brqrv_top_brqrv_lsu_store_data_hi_r[16]),
+	.B2(n_28233),
+	.Y(n_28345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743389 (
+	.A1(n_28230),
+	.A2(n_26752),
+	.B1(n_28231),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[3]),
+	.Y(n_28344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743390 (
+	.A1(n_28230),
+	.A2(n_26756),
+	.B1(n_28231),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[6]),
+	.Y(n_28343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743391 (
+	.A1(n_28230),
+	.A2(n_26754),
+	.B1(n_28231),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[5]),
+	.Y(n_28342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743392 (
+	.A1(n_28230),
+	.A2(n_26753),
+	.B1(n_28231),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[4]),
+	.Y(n_28341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743393 (
+	.A1(n_28230),
+	.A2(n_26758),
+	.B1(n_28231),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[7]),
+	.Y(n_28340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743394 (
+	.A1(n_28230),
+	.A2(n_26751),
+	.B1(n_28231),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[2]),
+	.Y(n_28339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743395 (
+	.A1(n_28230),
+	.A2(n_26750),
+	.B1(n_28231),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[1]),
+	.Y(n_28338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743396 (
+	.A1(n_28230),
+	.A2(n_26749),
+	.B1(n_28231),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[0]),
+	.Y(n_28337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g743397 (
+	.A1(n_28193),
+	.A2(n_25403),
+	.B1(n_28243),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[1]),
+	.C1(n_28205),
+	.Y(n_28336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g743398 (
+	.A(n_28302),
+	.Y(n_28303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g743399 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_misaligned_fault_d),
+	.B(n_26566),
+	.Y(n_28298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g743400 (
+	.A(n_28204),
+	.B(n_28026),
+	.Y(brqrv_top_brqrv_lsu_stbuf_RdPtrff_n_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g743401 (
+	.A(n_26954),
+	.B(n_24038),
+	.Y(n_28297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g743402 (
+	.A(n_26251),
+	.B(n_24038),
+	.Y(n_28296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g743403 (
+	.A(n_26561),
+	.B(n_24038),
+	.Y(n_28295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g743404 (
+	.A(n_26955),
+	.B(n_24038),
+	.Y(n_28294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g743405 (
+	.A(n_26248),
+	.B(n_24038),
+	.Y(n_28293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g743406 (
+	.A(n_26250),
+	.B(n_24038),
+	.Y(n_28292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g743407 (
+	.A(n_26559),
+	.B(n_24038),
+	.Y(n_28291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g743408 (
+	.A(n_26249),
+	.B(n_24038),
+	.Y(n_28290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g743409 (
+	.A1(brqrv_top_brqrv_dbg_dmcontrol_reg[31]),
+	.A2(brqrv_top_brqrv_dbg_dmstatus_halted),
+	.A3(n_26377),
+	.B1(n_28241),
+	.Y(n_28289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g743410 (
+	.A(n_28217),
+	.B(n_28053),
+	.Y(n_28288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g743411 (
+	.A(n_28219),
+	.B(n_28042),
+	.Y(n_28287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g743412 (
+	.A1(n_27629),
+	.A2(n_28030),
+	.B1(n_28141),
+	.C1(n_27657),
+	.Y(brqrv_top_brqrv_dbg_dbg_state_reg_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743413 (
+	.A1(n_26776),
+	.A2(n_24033),
+	.B1(n_26351),
+	.B2(n_26031),
+	.Y(n_28286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743414 (
+	.A1(n_26777),
+	.A2(n_24033),
+	.B1(n_26351),
+	.B2(n_26025),
+	.Y(n_28285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743415 (
+	.A1(n_26778),
+	.A2(n_24033),
+	.B1(n_26351),
+	.B2(n_26062),
+	.Y(n_28284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743416 (
+	.A1(n_26779),
+	.A2(n_24033),
+	.B1(n_26351),
+	.B2(n_26057),
+	.Y(n_28283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743417 (
+	.A(n_28066),
+	.B(n_27631),
+	.C(n_25837),
+	.D(n_27880),
+	.Y(brqrv_top_brqrv_exu_i_alu_result[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g743418 (
+	.A1(n_27861),
+	.A2(n_33471),
+	.B1(n_27285),
+	.B2(brqrv_top_dmi_reg_wdata[31]),
+	.C1(n_28171),
+	.X(brqrv_top_brqrv_dbg_data0_din[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g743419 (
+	.A1(n_27861),
+	.A2(n_33470),
+	.B1(n_27285),
+	.B2(brqrv_top_dmi_reg_wdata[30]),
+	.C1(n_28174),
+	.X(brqrv_top_brqrv_dbg_data0_din[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743420 (
+	.A1(n_26742),
+	.A2(n_28183),
+	.B1(n_26727),
+	.B2(n_26050),
+	.Y(n_28282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743421 (
+	.A1(n_26741),
+	.A2(n_28183),
+	.B1(n_26723),
+	.B2(n_26037),
+	.Y(n_28281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743422 (
+	.A1(n_26736),
+	.A2(n_28183),
+	.B1(n_26723),
+	.B2(n_26026),
+	.Y(n_28280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743423 (
+	.A1(n_26732),
+	.A2(n_24033),
+	.B1(n_26351),
+	.B2(n_26041),
+	.Y(n_28279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743424 (
+	.A1(n_26782),
+	.A2(n_24033),
+	.B1(n_26351),
+	.B2(n_26046),
+	.Y(n_28278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743425 (
+	.A1(n_26780),
+	.A2(n_24033),
+	.B1(n_26351),
+	.B2(n_26039),
+	.Y(n_28277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g743426 (
+	.A(n_26571),
+	.B(n_28238),
+	.C(n_26575),
+	.Y(n_28305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g743428 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt),
+	.B(n_28242),
+	.Y(n_28304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g743429 (
+	.A(n_28240),
+	.B(n_25392),
+	.C(n_26293),
+	.D(n_33474),
+	.Y(n_28302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g743430 (
+	.A1(n_31517),
+	.A2(n_26363),
+	.B1(n_28239),
+	.Y(n_28301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g743431 (
+	.A(n_28128),
+	.B(n_28132),
+	.C(n_28130),
+	.D(n_28136),
+	.X(n_28300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743432 (
+	.A1(n_28193),
+	.A2(brqrv_top_brqrv_lsu_lsu_addr_r[1]),
+	.B1(n_28168),
+	.Y(n_28299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g743433 (
+	.A(n_28246),
+	.Y(brqrv_top_brqrv_dbg_data0_din[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g743434 (
+	.A(n_28245),
+	.Y(brqrv_top_brqrv_dbg_data0_din[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g743435 (
+	.A(n_28244),
+	.Y(brqrv_top_brqrv_dbg_data0_din[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g743436 (
+	.A(n_28276),
+	.Y(n_28275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743437 (
+	.A1(n_26775),
+	.A2(n_24033),
+	.B1(n_26351),
+	.B2(n_26032),
+	.Y(n_28274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743438 (
+	.A1(n_28189),
+	.A2(n_26773),
+	.B1(n_28190),
+	.B2(n_32889),
+	.Y(n_28273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743439 (
+	.A1(n_28189),
+	.A2(n_26771),
+	.B1(n_28190),
+	.B2(n_32888),
+	.Y(n_28272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743440 (
+	.A1(n_28189),
+	.A2(n_26769),
+	.B1(n_28190),
+	.B2(n_32887),
+	.Y(n_28271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743441 (
+	.A1(n_28189),
+	.A2(n_26767),
+	.B1(n_28190),
+	.B2(n_32886),
+	.Y(n_28270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743442 (
+	.A1(n_28189),
+	.A2(n_26745),
+	.B1(n_28190),
+	.B2(n_32885),
+	.Y(n_28269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743443 (
+	.A1(n_28189),
+	.A2(n_26763),
+	.B1(n_28190),
+	.B2(n_32884),
+	.Y(n_28268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743444 (
+	.A1(n_28189),
+	.A2(n_26761),
+	.B1(n_28190),
+	.B2(n_32883),
+	.Y(n_28267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743445 (
+	.A1(n_28189),
+	.A2(n_26759),
+	.B1(n_28190),
+	.B2(n_32882),
+	.Y(n_28266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g743446 (
+	.A1_N(n_26774),
+	.A2_N(n_28188),
+	.B1(n_32865),
+	.B2(n_28178),
+	.Y(n_28265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g743447 (
+	.A1_N(n_26772),
+	.A2_N(n_28188),
+	.B1(n_32864),
+	.B2(n_28178),
+	.Y(n_28264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g743448 (
+	.A1_N(n_26770),
+	.A2_N(n_28188),
+	.B1(n_32863),
+	.B2(n_28178),
+	.Y(n_28263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g743449 (
+	.A1_N(n_26768),
+	.A2_N(n_28188),
+	.B1(n_32862),
+	.B2(n_28178),
+	.Y(n_28262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g743450 (
+	.A1_N(n_26746),
+	.A2_N(n_28188),
+	.B1(n_32861),
+	.B2(n_28178),
+	.Y(n_28261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g743451 (
+	.A1_N(n_26764),
+	.A2_N(n_28188),
+	.B1(n_32860),
+	.B2(n_28178),
+	.Y(n_28260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g743452 (
+	.A1_N(n_26762),
+	.A2_N(n_28188),
+	.B1(n_32859),
+	.B2(n_28178),
+	.Y(n_28259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g743453 (
+	.A1_N(n_26760),
+	.A2_N(n_28188),
+	.B1(n_32858),
+	.B2(n_28178),
+	.Y(n_28258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743454 (
+	.A1(n_26757),
+	.A2(n_28187),
+	.B1(n_26353),
+	.B2(n_26041),
+	.Y(n_28257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743455 (
+	.A1(n_26755),
+	.A2(n_28187),
+	.B1(n_26353),
+	.B2(n_26046),
+	.Y(n_28256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743456 (
+	.A1(n_26748),
+	.A2(n_28187),
+	.B1(n_26353),
+	.B2(n_26032),
+	.Y(n_28255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g743457 (
+	.A1(n_28149),
+	.A2(n_28166),
+	.B1(n_28216),
+	.Y(n_28254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743458 (
+	.A1(n_28158),
+	.A2(n_28024),
+	.B1(n_27285),
+	.B2(brqrv_top_dmi_reg_wdata[26]),
+	.Y(n_28253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743459 (
+	.A1(n_27285),
+	.A2(brqrv_top_dmi_reg_wdata[17]),
+	.B1(n_28212),
+	.Y(n_28252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743460 (
+	.A1(n_28077),
+	.A2(n_28149),
+	.B1(n_28118),
+	.B2(n_28071),
+	.Y(n_28251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g743461 (
+	.A1(n_28080),
+	.A2(n_28149),
+	.B1(n_28215),
+	.Y(n_28250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g743462 (
+	.A1(n_28078),
+	.A2(n_28149),
+	.B1(n_28214),
+	.Y(n_28249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743463 (
+	.A1(n_28159),
+	.A2(n_28024),
+	.B1(n_27285),
+	.B2(brqrv_top_dmi_reg_wdata[28]),
+	.Y(n_28248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743464 (
+	.A1(n_27285),
+	.A2(brqrv_top_dmi_reg_wdata[18]),
+	.B1(n_28213),
+	.Y(n_28247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g743465 (
+	.A1(n_27861),
+	.A2(n_33467),
+	.B1(n_27285),
+	.B2(brqrv_top_dmi_reg_wdata[27]),
+	.C1(n_28170),
+	.X(brqrv_top_brqrv_dbg_data0_din[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g743466 (
+	.A1(n_33465),
+	.A2(n_27861),
+	.B1(n_28072),
+	.B2(n_28024),
+	.C1(n_27285),
+	.C2(brqrv_top_dmi_reg_wdata[25]),
+	.Y(n_28246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g743467 (
+	.A1(n_27861),
+	.A2(n_33464),
+	.B1(n_27285),
+	.B2(brqrv_top_dmi_reg_wdata[24]),
+	.C1(n_28169),
+	.X(brqrv_top_brqrv_dbg_data0_din[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g743468 (
+	.A1(n_33459),
+	.A2(n_27861),
+	.B1(n_28083),
+	.B2(n_28024),
+	.C1(n_27285),
+	.C2(brqrv_top_dmi_reg_wdata[19]),
+	.Y(n_28245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g743469 (
+	.A1(n_33456),
+	.A2(n_27861),
+	.B1(n_28024),
+	.B2(n_28082),
+	.C1(n_27285),
+	.C2(brqrv_top_dmi_reg_wdata[16]),
+	.Y(n_28244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743470 (
+	.A1(n_26256),
+	.A2(n_28117),
+	.B1(n_25403),
+	.B2(n_28194),
+	.Y(n_28276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g743471 (
+	.A(n_28239),
+	.Y(n_28240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g743472 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_misaligned_fault_d),
+	.Y(n_28238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g743473 (
+	.A(n_28231),
+	.Y(n_28230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g743475 (
+	.A(n_28179),
+	.B(n_32873),
+	.Y(n_28228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g743476 (
+	.A(n_28179),
+	.B(n_32872),
+	.Y(n_28227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g743477 (
+	.A(n_28179),
+	.B(n_32871),
+	.Y(n_28226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g743478 (
+	.A(n_28179),
+	.B(n_32870),
+	.Y(n_28225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g743479 (
+	.A(n_28179),
+	.B(n_32869),
+	.Y(n_28224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g743480 (
+	.A(n_28179),
+	.B(n_32868),
+	.Y(n_28223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743483 (
+	.A(n_27996),
+	.B(n_27378),
+	.C(n_25841),
+	.D(n_27892),
+	.Y(brqrv_top_brqrv_exu_i_alu_result[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g743484 (
+	.A(n_28164),
+	.B(n_27326),
+	.Y(n_28220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g743485 (
+	.A1(n_27861),
+	.A2(n_33463),
+	.B1(n_27285),
+	.B2(brqrv_top_dmi_reg_wdata[23]),
+	.C1(n_28095),
+	.X(brqrv_top_brqrv_dbg_data0_din[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743486 (
+	.A1(n_28033),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[9]),
+	.B1(n_28139),
+	.Y(n_28219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743487 (
+	.A1(n_28034),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[29]),
+	.B1(n_28143),
+	.Y(n_28218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743488 (
+	.A1(n_28031),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[19]),
+	.B1(n_28142),
+	.Y(n_28217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g743489 (
+	.A(n_28119),
+	.B(n_28157),
+	.Y(n_28216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g743490 (
+	.A(n_28159),
+	.B(n_28119),
+	.Y(n_28215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g743491 (
+	.A(n_28119),
+	.B(n_28158),
+	.Y(n_28214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g743492 (
+	.A(n_28025),
+	.B(n_28162),
+	.Y(n_28213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g743493 (
+	.A(n_28025),
+	.B(n_28167),
+	.Y(n_28212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g743494 (
+	.A(n_28059),
+	.B(n_28058),
+	.C(n_28065),
+	.Y(n_28211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g743495 (
+	.A1(n_28124),
+	.A2(n_27240),
+	.B1(n_28125),
+	.B2(brqrv_top_brqrv_dbg_dbg_state[3]),
+	.X(brqrv_top_brqrv_dbg_dbg_state_reg_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743496 (
+	.A1(n_28129),
+	.A2(n_28079),
+	.B1(n_30797),
+	.Y(n_28210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g743497 (
+	.A1(n_28128),
+	.A2(n_28137),
+	.B1(brqrv_top_brqrv_lsu_ldst_stbuf_reqvld_r),
+	.Y(n_28209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743498 (
+	.A1(n_28135),
+	.A2(n_28085),
+	.B1(n_30797),
+	.Y(n_28208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743499 (
+	.A1(n_28131),
+	.A2(n_28084),
+	.B1(n_30797),
+	.Y(n_28207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743501 (
+	.A(n_27348),
+	.B(n_27748),
+	.C(n_27981),
+	.D(n_25171),
+	.Y(brqrv_top_brqrv_exu_i_alu_result[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743502 (
+	.A(n_27723),
+	.B(n_28009),
+	.C(n_25994),
+	.D(n_26221),
+	.Y(brqrv_top_brqrv_exu_i_alu_result[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g743503 (
+	.A(n_28126),
+	.B(n_25417),
+	.C(n_23067),
+	.X(n_28205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g743504 (
+	.A1(n_24132),
+	.A2(n_27966),
+	.B1(brqrv_top_brqrv_lsu_stbuf_RdPtr[1]),
+	.Y(n_28204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743505 (
+	.A(n_27725),
+	.B(n_28010),
+	.C(n_25995),
+	.D(n_26222),
+	.Y(brqrv_top_brqrv_exu_i_alu_result[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g743506 (
+	.A(n_28126),
+	.B(n_25418),
+	.C(n_23068),
+	.X(n_28243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g743507 (
+	.A(n_25951),
+	.B(n_28164),
+	.Y(n_28242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g743508 (
+	.A(n_24122),
+	.B(brqrv_top_brqrv_dbg_dbg_state[0]),
+	.C(n_28125),
+	.Y(n_28241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g743509 (
+	.A(n_26400),
+	.B(n_28134),
+	.C_N(n_27818),
+	.Y(n_28239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g743510 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.B(n_28138),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_misaligned_fault_d), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g743511 (
+	.A(n_28154),
+	.B(n_28151),
+	.Y(n_28237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743512 (
+	.A1(n_28035),
+	.A2(n_27305),
+	.B1(n_28148),
+	.Y(n_28236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g743514 (
+	.A(n_28150),
+	.B(n_28146),
+	.Y(n_28235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g743515 (
+	.A(n_28175),
+	.B(n_28153),
+	.Y(n_28234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g743516 (
+	.A1(n_25403),
+	.A2(n_28117),
+	.B1(n_28194),
+	.X(n_28233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g743517 (
+	.A1(brqrv_top_brqrv_lsu_lsu_addr_r[1]),
+	.A2(n_28117),
+	.B1(n_28194),
+	.X(n_28232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743518 (
+	.A1(n_28126),
+	.A2(n_25425),
+	.B1(n_28193),
+	.Y(n_28231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743519 (
+	.A(n_27724),
+	.B(n_28008),
+	.C(n_27891),
+	.D(n_27376),
+	.Y(brqrv_top_brqrv_exu_i_alu_result[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743520 (
+	.A(n_27722),
+	.B(n_28007),
+	.C(n_27890),
+	.D(n_27375),
+	.Y(brqrv_top_brqrv_exu_i_alu_result[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743521 (
+	.A(n_27721),
+	.B(n_28006),
+	.C(n_27889),
+	.D(n_27374),
+	.Y(brqrv_top_brqrv_exu_i_alu_result[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743522 (
+	.A(n_27720),
+	.B(n_28005),
+	.C(n_27888),
+	.D(n_27445),
+	.Y(brqrv_top_brqrv_exu_i_alu_result[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743523 (
+	.A(n_27719),
+	.B(n_28004),
+	.C(n_27887),
+	.D(n_27446),
+	.Y(brqrv_top_brqrv_exu_i_alu_result[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743524 (
+	.A(n_28003),
+	.B(n_27718),
+	.C(n_27886),
+	.D(n_27447),
+	.Y(brqrv_top_brqrv_exu_i_alu_result[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743525 (
+	.A(n_28002),
+	.B(n_27716),
+	.C(n_27885),
+	.D(n_27449),
+	.Y(brqrv_top_brqrv_exu_i_alu_result[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743526 (
+	.A(n_28001),
+	.B(n_27715),
+	.C(n_27884),
+	.D(n_27393),
+	.Y(brqrv_top_brqrv_exu_i_alu_result[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743527 (
+	.A(n_28000),
+	.B(n_27714),
+	.C(n_27883),
+	.D(n_27450),
+	.Y(brqrv_top_brqrv_exu_i_alu_result[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743528 (
+	.A(n_27999),
+	.B(n_27713),
+	.C(n_27882),
+	.D(n_27454),
+	.Y(brqrv_top_brqrv_exu_i_alu_result[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743529 (
+	.A(n_27998),
+	.B(n_27741),
+	.C(n_27881),
+	.D(n_27457),
+	.Y(brqrv_top_brqrv_exu_i_alu_result[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743530 (
+	.A(n_27997),
+	.B(n_27746),
+	.C(n_27879),
+	.D(n_27458),
+	.Y(brqrv_top_brqrv_exu_i_alu_result[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743531 (
+	.A(n_26439),
+	.B(n_27995),
+	.C(n_27878),
+	.D(n_27463),
+	.Y(brqrv_top_brqrv_exu_i_alu_result[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743532 (
+	.A(n_27479),
+	.B(n_27994),
+	.C(n_27877),
+	.D(n_27465),
+	.Y(brqrv_top_brqrv_exu_i_alu_result[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743533 (
+	.A(n_27252),
+	.B(n_27993),
+	.C(n_27876),
+	.D(n_27372),
+	.Y(brqrv_top_brqrv_exu_i_alu_result[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743534 (
+	.A(n_27155),
+	.B(n_27992),
+	.C(n_27875),
+	.D(n_27371),
+	.Y(brqrv_top_brqrv_exu_i_alu_result[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743535 (
+	.A(n_26894),
+	.B(n_27991),
+	.C(n_27874),
+	.D(n_27370),
+	.Y(brqrv_top_brqrv_exu_i_alu_result[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743536 (
+	.A(n_27365),
+	.B(n_27990),
+	.C(n_27873),
+	.D(n_27367),
+	.Y(brqrv_top_brqrv_exu_i_alu_result[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743537 (
+	.A(n_27154),
+	.B(n_27989),
+	.C(n_27872),
+	.D(n_27363),
+	.Y(brqrv_top_brqrv_exu_i_alu_result[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743538 (
+	.A(n_27360),
+	.B(n_27988),
+	.C(n_27893),
+	.D(n_27361),
+	.Y(brqrv_top_brqrv_exu_i_alu_result[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743539 (
+	.A(n_26468),
+	.B(n_27987),
+	.C(n_27871),
+	.D(n_27359),
+	.Y(brqrv_top_brqrv_exu_i_alu_result[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743540 (
+	.A(n_27357),
+	.B(n_27986),
+	.C(n_27870),
+	.D(n_27358),
+	.Y(brqrv_top_brqrv_exu_i_alu_result[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743541 (
+	.A(n_27251),
+	.B(n_27985),
+	.C(n_27869),
+	.D(n_27355),
+	.Y(brqrv_top_brqrv_exu_i_alu_result[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743542 (
+	.A(n_27382),
+	.B(n_27984),
+	.C(n_27868),
+	.D(n_27354),
+	.Y(brqrv_top_brqrv_exu_i_alu_result[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743543 (
+	.A(n_27350),
+	.B(n_27351),
+	.C(n_27866),
+	.D(n_27982),
+	.Y(brqrv_top_brqrv_exu_i_alu_result[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743544 (
+	.A(n_26888),
+	.B(n_27983),
+	.C(n_27867),
+	.D(n_27352),
+	.Y(brqrv_top_brqrv_exu_i_alu_result[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743545 (
+	.A(n_27148),
+	.B(n_27349),
+	.C(n_27865),
+	.D(n_27975),
+	.Y(brqrv_top_brqrv_exu_i_alu_result[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743546 (
+	.A1_N(n_32431),
+	.A2_N(n_28115),
+	.B1(n_26744),
+	.B2(n_28115),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743547 (
+	.A1_N(n_31273),
+	.A2_N(n_28115),
+	.B1(n_26741),
+	.B2(n_28115),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743549 (
+	.A1_N(n_31270),
+	.A2_N(n_28115),
+	.B1(n_26736),
+	.B2(n_28115),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743550 (
+	.A1_N(n_31269),
+	.A2_N(n_28115),
+	.B1(n_26735),
+	.B2(n_28115),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743551 (
+	.A1_N(n_31268),
+	.A2_N(n_28115),
+	.B1(n_26733),
+	.B2(n_28115),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743552 (
+	.A1_N(n_31274),
+	.A2_N(n_28115),
+	.B1(n_26742),
+	.B2(n_28115),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743553 (
+	.A1_N(n_31271),
+	.A2_N(n_28115),
+	.B1(n_26738),
+	.B2(n_28115),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g743554 (
+	.A1(n_29884),
+	.A2(n_27860),
+	.B1(n_28037),
+	.B2(n_28025),
+	.C1(n_27464),
+	.Y(brqrv_top_brqrv_dbg_data0_din[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g743555 (
+	.A1_N(n_28122),
+	.A2_N(n_28074),
+	.B1(n_33447),
+	.B2(n_27861),
+	.Y(n_28195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g743556 (
+	.A1_N(n_26733),
+	.A2_N(n_28117),
+	.B1(brqrv_top_brqrv_lsu_store_data_hi_r[24]),
+	.B2(n_28117),
+	.Y(n_28203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743557 (
+	.A1(n_28116),
+	.A2(n_26737),
+	.B1(n_28117),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[27]),
+	.Y(n_28202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g743558 (
+	.A1_N(n_26736),
+	.A2_N(n_28117),
+	.B1(brqrv_top_brqrv_lsu_store_data_hi_r[26]),
+	.B2(n_28117),
+	.Y(n_28201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743559 (
+	.A1(n_28116),
+	.A2(n_26734),
+	.B1(n_28117),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[25]),
+	.Y(n_28200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743560 (
+	.A1(n_28116),
+	.A2(n_26739),
+	.B1(n_28117),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[28]),
+	.Y(n_28199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743561 (
+	.A1_N(brqrv_top_brqrv_lsu_store_data_hi_r[29]),
+	.A2_N(n_28117),
+	.B1(n_26741),
+	.B2(n_28117),
+	.Y(n_28198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g743562 (
+	.A1_N(n_26742),
+	.A2_N(n_28117),
+	.B1(brqrv_top_brqrv_lsu_store_data_hi_r[30]),
+	.B2(n_28117),
+	.Y(n_28197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743563 (
+	.A1_N(brqrv_top_brqrv_lsu_store_data_hi_r[31]),
+	.A2_N(n_28117),
+	.B1(n_26744),
+	.B2(n_28117),
+	.Y(n_28196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g743564 (
+	.A(n_28187),
+	.Y(n_28186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g743565 (
+	.A(n_28184),
+	.Y(n_28183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g743566 (
+	.A(n_28176),
+	.Y(n_28177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g743567 (
+	.A(n_28025),
+	.B(n_28075),
+	.Y(n_28174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41a_1 g743568 (
+	.A1(n_26330),
+	.A2(n_26500),
+	.A3(n_27170),
+	.A4(n_27828),
+	.B1(brqrv_top_brqrv_dec_ctl_en[1]),
+	.X(brqrv_top_brqrv_dec_decode_e1ff_dff_left_final_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g743569 (
+	.A(n_28137),
+	.B_N(n_28079),
+	.Y(n_28173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g743570 (
+	.A(n_28125),
+	.B(brqrv_top_brqrv_dbg_dbg_state[0]),
+	.Y(n_28172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g743571 (
+	.A(n_28025),
+	.B(n_28074),
+	.Y(n_28171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g743572 (
+	.A(brqrv_top_brqrv_dbg_n_4554),
+	.B(n_28067),
+	.Y(brqrv_top_brqrv_dbg_sb_free_clken), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g743573 (
+	.A(n_28025),
+	.B(n_28076),
+	.Y(n_28170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g743574 (
+	.A(n_28025),
+	.B(n_28073),
+	.Y(n_28169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743575 (
+	.A1(n_26169),
+	.A2(n_25402),
+	.B1(n_28127),
+	.Y(n_28168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g743576 (
+	.A(n_28116),
+	.B(n_25417),
+	.Y(n_28194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743577 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_n_1475),
+	.A2(brqrv_top_brqrv_lsu_lsu_pkt_r[6]),
+	.B1(n_28127),
+	.Y(n_28193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g743578 (
+	.A(n_26830),
+	.B(n_28114),
+	.Y(n_28192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g743579 (
+	.A(n_24031),
+	.B(n_28120),
+	.Y(n_28191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g743580 (
+	.A(n_26376),
+	.B(n_28120),
+	.Y(n_28190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g743581 (
+	.A(n_26376),
+	.B(n_28121),
+	.Y(n_28189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g743582 (
+	.A(n_28114),
+	.B(n_26091),
+	.Y(n_28188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g743583 (
+	.A(n_28114),
+	.B(n_26829),
+	.Y(n_28187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g743585 (
+	.A(n_26357),
+	.B(n_28120),
+	.Y(n_28185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g743586 (
+	.A(n_26812),
+	.B(n_28121),
+	.Y(n_28184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g743587 (
+	.A(n_26357),
+	.B(n_28121),
+	.Y(n_28182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g743588 (
+	.A(n_26812),
+	.B(n_28120),
+	.Y(n_28181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g743589 (
+	.A(n_28114),
+	.B(n_26347),
+	.Y(n_28180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g743590 (
+	.A(n_26346),
+	.B(n_28114),
+	.Y(n_28179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g743591 (
+	.A(n_26090),
+	.B(n_28114),
+	.Y(n_28178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g743592 (
+	.A1(\brqrv_top_mem_Gen_dccm_enable.dccm_n_314 ),
+	.A2(n_27492),
+	.A3(n_24020),
+	.B1(n_27803),
+	.Y(n_28176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g743593 (
+	.A(n_27806),
+	.B(n_39247),
+	.Y(n_28175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g743594 (
+	.A(n_28156),
+	.Y(n_28155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g743595 (
+	.A(n_28151),
+	.Y(n_28152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g743596 (
+	.A(n_28148),
+	.Y(n_28149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g743597 (
+	.A(n_28146),
+	.Y(n_28147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743599 (
+	.A1(n_28032),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[39]),
+	.B1(n_28022),
+	.Y(n_28144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g743600 (
+	.A1(brqrv_top_brqrv_dec_decode_cam_raw[29]),
+	.A2(n_28034),
+	.B1(n_28015),
+	.Y(n_28143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743601 (
+	.A1(n_24419),
+	.A2(\brqrv_top_brqrv_dec_decode_cam_in[6]_912 ),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[19]),
+	.B2(n_28031),
+	.Y(n_28142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o311ai_1 g743602 (
+	.A1(n_27071),
+	.A2(n_27858),
+	.A3(n_27065),
+	.B1(n_32350),
+	.C1(n_27078),
+	.Y(brqrv_top_brqrv_dec_decode_d_t[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g743603 (
+	.A_N(n_32738),
+	.B(n_27970),
+	.C(brqrv_top_brqrv_dbg_dbg_state[0]),
+	.D(n_24122),
+	.Y(n_28141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743604 (
+	.A1(n_27495),
+	.A2(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[0]),
+	.B1(n_28094),
+	.Y(n_28140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743605 (
+	.A1(n_24166),
+	.A2(brqrv_top_brqrv_dec_decode_cam_in[6]),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[9]),
+	.B2(n_28033),
+	.Y(n_28139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743606 (
+	.A1(n_39248),
+	.A2(n_27073),
+	.B1(n_26963),
+	.Y(n_28138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g743607 (
+	.A1(n_26334),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1244),
+	.B1(n_26333),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[113]),
+	.C1(n_28087),
+	.Y(n_28167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g743608 (
+	.A1(n_26334),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1224),
+	.B1(n_26338),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[173]),
+	.C1(n_28091),
+	.Y(n_28166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g743609 (
+	.A1(n_26334),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1229),
+	.B1(n_26333),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[110]),
+	.C1(n_28090),
+	.Y(n_28165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g743610 (
+	.A1(n_27955),
+	.A2(n_24446),
+	.B1(n_31608),
+	.C1(n_32605),
+	.Y(n_28164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g743611 (
+	.A1(n_26334),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1199),
+	.B1(n_26338),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[168]),
+	.C1(n_28092),
+	.Y(n_28163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g743612 (
+	.A1(n_26334),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1249),
+	.B1(n_26333),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[114]),
+	.C1(n_28088),
+	.Y(n_28162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743613 (
+	.A(n_27942),
+	.B(n_27696),
+	.C(n_26856),
+	.D(n_27650),
+	.Y(n_28161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743614 (
+	.A(n_27941),
+	.B(n_27695),
+	.C(n_26855),
+	.D(n_27649),
+	.Y(n_28160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743615 (
+	.A(n_27964),
+	.B(n_27706),
+	.C(n_26869),
+	.D(n_27652),
+	.Y(n_28159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743616 (
+	.A(n_27946),
+	.B(n_27703),
+	.C(n_26866),
+	.D(n_27651),
+	.Y(n_28158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743617 (
+	.A(n_27949),
+	.B(n_27707),
+	.C(n_26872),
+	.D(n_27626),
+	.Y(n_28157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743618 (
+	.A1(n_28035),
+	.A2(n_27306),
+	.B1(n_28119),
+	.Y(n_28156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g743619 (
+	.A(n_26002),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_n_271 ),
+	.C(n_27801),
+	.X(n_28154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g743620 (
+	.A(n_26002),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_n_398 ),
+	.C(n_27807),
+	.Y(n_28153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g743621 (
+	.A1(\brqrv_top_mem_Gen_dccm_enable.dccm_n_271 ),
+	.A2(n_27492),
+	.A3(n_25451),
+	.B1(n_27801),
+	.Y(n_28151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g743622 (
+	.A(n_26002),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_n_356 ),
+	.C(n_27805),
+	.X(n_28150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g743623 (
+	.A1(n_27306),
+	.A2(n_28039),
+	.B1(n_28025),
+	.Y(n_28148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g743624 (
+	.A1(\brqrv_top_mem_Gen_dccm_enable.dccm_n_356 ),
+	.A2(n_27492),
+	.A3(n_25447),
+	.B1(n_27805),
+	.Y(n_28146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g743625 (
+	.A(n_26002),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_n_314 ),
+	.C(n_27803),
+	.Y(n_28145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g743626 (
+	.A(n_28135),
+	.Y(n_28136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g743627 (
+	.A(n_28133),
+	.Y(n_28134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g743628 (
+	.A(n_28132),
+	.Y(n_28131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g743629 (
+	.A(n_28129),
+	.Y(n_28130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g743630 (
+	.A(n_28127),
+	.Y(n_28126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g743631 (
+	.A(n_28125),
+	.Y(n_28124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g743632 (
+	.A(n_28123),
+	.Y(n_28122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g743633 (
+	.A(n_28121),
+	.Y(n_28120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g743634 (
+	.A(n_28119),
+	.Y(n_28118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g743635 (
+	.A(n_28117),
+	.Y(n_28116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g743636 (
+	.A(n_28115),
+	.Y(n_28114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g743637 (
+	.A1(n_27439),
+	.A2(n_27760),
+	.B1(\brqrv_top_mem_Gen_dccm_enable.dccm_n_398 ),
+	.X(\brqrv_top_mem_Gen_dccm_enable.dccm_n_397 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g743638 (
+	.A(n_28026),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[7]),
+	.Y(n_28113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g743639 (
+	.A(n_28026),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[6]),
+	.Y(n_28112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g743640 (
+	.A(n_28026),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[5]),
+	.Y(n_28111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g743641 (
+	.A(n_28026),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[4]),
+	.Y(n_28110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g743642 (
+	.A(n_28029),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[11]),
+	.Y(n_28109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g743643 (
+	.A(n_28029),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[10]),
+	.Y(n_28108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g743644 (
+	.A(n_28029),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[9]),
+	.Y(n_28107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g743645 (
+	.A(n_28027),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[3]),
+	.Y(n_28106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g743646 (
+	.A(n_28027),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[2]),
+	.Y(n_28105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g743647 (
+	.A(n_28027),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[1]),
+	.Y(n_28104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g743648 (
+	.A(n_28027),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[0]),
+	.Y(n_28103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g743649 (
+	.A(n_28028),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[15]),
+	.Y(n_28102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g743650 (
+	.A(n_28028),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[14]),
+	.Y(n_28101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g743651 (
+	.A(n_28028),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[13]),
+	.Y(n_28100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g743652 (
+	.A(n_28028),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[12]),
+	.Y(n_28099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g743653 (
+	.A1(n_26333),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[99]),
+	.B1(n_26338),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[163]),
+	.C1(n_27978),
+	.Y(n_28098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g743654 (
+	.A1(n_26333),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[101]),
+	.B1(n_26338),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[165]),
+	.C1(n_27979),
+	.Y(n_28097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g743655 (
+	.A1(n_26333),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[102]),
+	.B1(n_26338),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[166]),
+	.C1(n_27980),
+	.Y(n_28096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g743656 (
+	.A(n_28036),
+	.B(n_28025),
+	.Y(n_28095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g743657 (
+	.A1(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[2]),
+	.A2(n_27604),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_leak_one_f_d1 ),
+	.B2(n_24480),
+	.C1(n_28011),
+	.Y(n_28094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g743658 (
+	.A1(brqrv_top_brqrv_exu_ghr_d[3]),
+	.A2(n_26565),
+	.B1(n_27032),
+	.C1(n_27259),
+	.D1(n_27957),
+	.Y(brqrv_top_brqrv_exu_i_misc_ff_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g743659 (
+	.A1(n_27452),
+	.A2(n_27760),
+	.B1(\brqrv_top_mem_Gen_dccm_enable.dccm_n_356 ),
+	.X(\brqrv_top_mem_Gen_dccm_enable.dccm_n_355 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g743660 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[39]),
+	.B(n_28032),
+	.Y(n_28093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g743661 (
+	.A(n_27913),
+	.B(n_27674),
+	.C(n_27644),
+	.Y(n_28092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g743662 (
+	.A(n_27933),
+	.B(n_27683),
+	.C(n_27645),
+	.Y(n_28091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g743663 (
+	.A(n_27934),
+	.B(n_27684),
+	.C(n_27646),
+	.Y(n_28090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g743664 (
+	.A1(n_27451),
+	.A2(n_27760),
+	.B1(\brqrv_top_mem_Gen_dccm_enable.dccm_n_271 ),
+	.X(\brqrv_top_mem_Gen_dccm_enable.dccm_n_270 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g743665 (
+	.A1(n_27448),
+	.A2(n_27760),
+	.B1(\brqrv_top_mem_Gen_dccm_enable.dccm_n_314 ),
+	.X(\brqrv_top_mem_Gen_dccm_enable.dccm_n_313 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g743667 (
+	.A(n_27938),
+	.B(n_27690),
+	.C(n_27648),
+	.Y(n_28088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g743668 (
+	.A(n_27937),
+	.B(n_27689),
+	.C(n_27647),
+	.Y(n_28087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g743669 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill[2]),
+	.B(n_27864),
+	.C(n_30796),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_reset[2]),
+	.Y(n_28137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g743670 (
+	.A(n_28027),
+	.B(n_27438),
+	.C(brqrv_top_brqrv_lsu_stbuf_stbuf_vld[0]),
+	.Y(n_28135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g743671 (
+	.A(n_28040),
+	.B_N(n_27316),
+	.Y(n_28133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g743672 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill[3]),
+	.B(n_27167),
+	.C(brqrv_top_brqrv_lsu_stbuf_stbuf_reset[3]),
+	.D_N(brqrv_top_brqrv_lsu_stbuf_stbuf_vld[3]),
+	.Y(n_28132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g743673 (
+	.A(n_28026),
+	.B(n_27580),
+	.C(brqrv_top_brqrv_lsu_stbuf_stbuf_vld[1]),
+	.Y(n_28129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g743674 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill[2]),
+	.B(n_27168),
+	.C(brqrv_top_brqrv_lsu_stbuf_stbuf_reset[2]),
+	.D_N(brqrv_top_brqrv_lsu_stbuf_stbuf_vld[2]),
+	.Y(n_28128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g743675 (
+	.A1(n_24343),
+	.A2(n_26696),
+	.B1(brqrv_top_brqrv_lsu_addr_in_dccm_r),
+	.C1(n_27821),
+	.D1(n_27863),
+	.Y(n_28127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g743676 (
+	.A_N(n_28030),
+	.B(n_27657),
+	.Y(n_28125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g743677 (
+	.A(n_27305),
+	.B(n_28038),
+	.Y(n_28123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743679 (
+	.A(n_27863),
+	.B(n_27826),
+	.C(brqrv_top_brqrv_lsu_addr_in_dccm_m),
+	.D(n_27092),
+	.Y(n_28121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g743680 (
+	.A(n_27305),
+	.B(n_28039),
+	.Y(n_28119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g743681 (
+	.A(n_27863),
+	.B(n_27954),
+	.C(brqrv_top_brqrv_lsu_addr_in_dccm_r),
+	.Y(n_28117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743682 (
+	.A(n_27863),
+	.B(n_27824),
+	.C(brqrv_top_brqrv_lsu_addr_in_dccm_m),
+	.D(n_27253),
+	.Y(n_28115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g743683 (
+	.A(n_28071),
+	.Y(n_28072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g743684 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[26]),
+	.B(\brqrv_top_brqrv_dec_decode_cam_in[6]_922 ),
+	.Y(n_28070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g743685 (
+	.A1(n_26333),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[96]),
+	.B1(n_26338),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[160]),
+	.C1(n_27976),
+	.Y(n_28069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g743687 (
+	.A(brqrv_top_dmi_reg_en),
+	.B(brqrv_top_brqrv_dbg_n_4598),
+	.C(brqrv_top_brqrv_dbg_execute_command),
+	.D(brqrv_top_brqrv_dec_tlu_misc_clk_override),
+	.Y(n_28067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g743688 (
+	.A1(n_27036),
+	.A2(n_33061),
+	.B1(n_27845),
+	.B2(brqrv_top_brqrv_exu_i0_rs1_d[17]),
+	.C1(n_34078),
+	.C2(n_26960),
+	.Y(n_28066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743689 (
+	.A1_N(brqrv_top_brqrv_dec_decode_cam_raw[34]),
+	.A2_N(brqrv_top_brqrv_dec_decode_cam_in[34]),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[34]),
+	.B2(brqrv_top_brqrv_dec_decode_cam_in[34]),
+	.Y(n_28065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g743690 (
+	.A1(n_27597),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[129]),
+	.B1(n_27598),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[193]),
+	.C1(n_27916),
+	.Y(n_28064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g743691 (
+	.A1(n_27599),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[68]),
+	.B1(n_27595),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1019),
+	.C1(n_27917),
+	.Y(n_28063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743692 (
+	.A1(brqrv_top_brqrv_dec_decode_cam_raw[36]),
+	.A2(n_27918),
+	.B1(n_24163),
+	.B2(brqrv_top_brqrv_dec_decode_cam_in[30]),
+	.Y(n_28062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g743693 (
+	.A1(n_24386),
+	.A2(brqrv_top_brqrv_dec_decode_cam_in[36]),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[38]),
+	.B2(n_27932),
+	.X(n_28061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g743694 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[32]),
+	.B(brqrv_top_brqrv_dec_decode_cam_in[32]),
+	.X(n_28060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g743695 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[33]),
+	.B(brqrv_top_brqrv_dec_decode_cam_in[33]),
+	.Y(n_28059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g743696 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[35]),
+	.B(brqrv_top_brqrv_dec_decode_cam_in[35]),
+	.Y(n_28058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743697 (
+	.A1(brqrv_top_brqrv_dec_decode_cam_raw[10]),
+	.A2(n_27930),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[13]),
+	.B2(n_27931),
+	.Y(n_28057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743698 (
+	.A1(n_24132),
+	.A2(n_27965),
+	.B1(brqrv_top_brqrv_lsu_stbuf_RdPtr[0]),
+	.B2(n_27966),
+	.Y(brqrv_top_brqrv_lsu_stbuf_RdPtrff_n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743699 (
+	.A1(brqrv_top_brqrv_dec_decode_cam_raw[12]),
+	.A2(n_27928),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[14]),
+	.B2(n_27929),
+	.Y(n_28056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743700 (
+	.A1(n_27930),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[10]),
+	.B1(n_28021),
+	.Y(n_28055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g743701 (
+	.A1(n_24165),
+	.A2(\brqrv_top_brqrv_dec_decode_cam_in[2]_908 ),
+	.B1(n_24164),
+	.B2(\brqrv_top_brqrv_dec_decode_cam_in[3]_909 ),
+	.X(n_28054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g743702 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[18]),
+	.B(\brqrv_top_brqrv_dec_decode_cam_in[8]_914 ),
+	.Y(n_28053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g743703 (
+	.A1(\brqrv_top_brqrv_dec_decode_cam_in[3]_919 ),
+	.A2(n_24194),
+	.B1(\brqrv_top_brqrv_dec_decode_cam_in[4]_920 ),
+	.B2(n_24197),
+	.X(n_28052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743704 (
+	.A1(n_24184),
+	.A2(\brqrv_top_brqrv_dec_decode_cam_in[0]_916 ),
+	.B1(n_24167),
+	.B2(\brqrv_top_brqrv_dec_decode_cam_in[2]_918 ),
+	.Y(n_28051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743705 (
+	.A1(brqrv_top_brqrv_dec_decode_cam_raw[20]),
+	.A2(n_27926),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[21]),
+	.B2(n_27925),
+	.Y(n_28050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743706 (
+	.A1(n_27927),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[24]),
+	.B1(n_28018),
+	.Y(n_28049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g743707 (
+	.A1(n_26333),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[98]),
+	.B1(n_26338),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[162]),
+	.C1(n_27977),
+	.Y(n_28048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743708 (
+	.A1(brqrv_top_brqrv_dec_decode_cam_raw[5]),
+	.A2(n_27922),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[0]),
+	.B2(n_27923),
+	.Y(n_28047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743709 (
+	.A1(n_24404),
+	.A2(brqrv_top_brqrv_dec_decode_cam_in[0]),
+	.B1(n_24401),
+	.B2(brqrv_top_brqrv_dec_decode_cam_in[4]),
+	.Y(n_28046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743710 (
+	.A1(brqrv_top_brqrv_dec_decode_cam_raw[1]),
+	.A2(n_27920),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[3]),
+	.B2(n_27921),
+	.Y(n_28045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g743711 (
+	.A1(n_24160),
+	.A2(brqrv_top_brqrv_dec_decode_cam_in[2]),
+	.B1(n_24407),
+	.B2(brqrv_top_brqrv_dec_decode_cam_in[3]),
+	.X(n_28044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743712 (
+	.A1(n_24423),
+	.A2(brqrv_top_brqrv_dec_decode_cam_in[5]),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[2]),
+	.B2(n_27919),
+	.Y(n_28043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g743713 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[8]),
+	.B(brqrv_top_brqrv_dec_decode_cam_in[8]),
+	.Y(n_28042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g743714 (
+	.A1(n_27598),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[199]),
+	.B1(n_27597),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[135]),
+	.C1(n_27912),
+	.Y(n_28041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g743715 (
+	.A1(n_27599),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[75]),
+	.B1(n_27595),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1054),
+	.C1(n_27953),
+	.Y(n_28086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743716 (
+	.A(n_28027),
+	.B(n_24382),
+	.C(brqrv_top_brqrv_lsu_stbuf_stbuf_vld[0]),
+	.D(n_27428),
+	.Y(n_28085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743717 (
+	.A(n_28028),
+	.B(n_24382),
+	.C(brqrv_top_brqrv_lsu_stbuf_stbuf_vld[3]),
+	.D(n_27427),
+	.Y(n_28084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g743718 (
+	.A1(n_27597),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[147]),
+	.B1(n_27598),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[211]),
+	.C1(n_27939),
+	.X(n_28083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g743719 (
+	.A1(n_27595),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1079),
+	.B1(n_27599),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[80]),
+	.C1(n_27936),
+	.X(n_28082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g743720 (
+	.A1(n_27599),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[79]),
+	.B1(n_27595),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1074),
+	.C1(n_27935),
+	.Y(n_28081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g743721 (
+	.A1(n_27595),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1059),
+	.B1(n_27599),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[76]),
+	.C1(n_27952),
+	.Y(n_28080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743722 (
+	.A(n_28026),
+	.B(n_24382),
+	.C(brqrv_top_brqrv_lsu_stbuf_stbuf_vld[1]),
+	.D(n_27425),
+	.Y(n_28079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g743723 (
+	.A1(n_27597),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[138]),
+	.B1(n_27598),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[202]),
+	.C1(n_27961),
+	.Y(n_28078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g743724 (
+	.A1(n_27598),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[201]),
+	.B1(n_27597),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[137]),
+	.C1(n_27962),
+	.Y(n_28077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g743725 (
+	.A1(n_27598),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[219]),
+	.B1(n_27597),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[155]),
+	.C1(n_27947),
+	.Y(n_28076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g743726 (
+	.A1(n_27597),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[158]),
+	.B1(n_27598),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[222]),
+	.C1(n_27950),
+	.Y(n_28075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g743727 (
+	.A1(n_27597),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[159]),
+	.B1(n_27598),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[223]),
+	.C1(n_27951),
+	.Y(n_28074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g743728 (
+	.A1(n_27598),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[216]),
+	.B1(n_27597),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[152]),
+	.C1(n_27944),
+	.Y(n_28073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g743729 (
+	.A1(n_27599),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[89]),
+	.B1(n_27595),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1124),
+	.C1(n_27945),
+	.Y(n_28071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g743731 (
+	.A(\brqrv_top_brqrv_dec_decode_cam_in[9]_925 ),
+	.Y(n_28034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g743732 (
+	.A(brqrv_top_brqrv_dec_decode_cam_in[9]),
+	.Y(n_28033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g743733 (
+	.A(\brqrv_top_brqrv_dec_decode_cam_in[9]_927 ),
+	.Y(n_28032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g743734 (
+	.A(\brqrv_top_brqrv_dec_decode_cam_in[9]_915 ),
+	.Y(n_28031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g743735 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_reset[2]),
+	.Y(n_28029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g743736 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_reset[3]),
+	.Y(n_28028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g743737 (
+	.A(n_28027),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_reset[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g743738 (
+	.A(n_28026),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_reset[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g743739 (
+	.A(n_28025),
+	.Y(n_28024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743740 (
+	.A1_N(n_32388),
+	.A2_N(n_27902),
+	.B1(n_27195),
+	.B2(n_27807),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [134]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g743741 (
+	.A_N(brqrv_top_brqrv_dec_decode_cam_in[31]),
+	.B(brqrv_top_brqrv_dec_decode_cam_raw[31]),
+	.Y(n_28023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g743742 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[30]),
+	.B_N(brqrv_top_brqrv_dec_decode_cam_in[30]),
+	.Y(n_28022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g743743 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[15]),
+	.B_N(\brqrv_top_brqrv_dec_decode_cam_in[5]_911 ),
+	.Y(n_28021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g743744 (
+	.A_N(\brqrv_top_brqrv_dec_decode_cam_in[5]_911 ),
+	.B(brqrv_top_brqrv_dec_decode_cam_raw[15]),
+	.Y(n_28020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g743745 (
+	.A(n_24191),
+	.B(\brqrv_top_brqrv_dec_decode_cam_in[1]_907 ),
+	.X(n_28019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g743746 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[22]),
+	.B_N(\brqrv_top_brqrv_dec_decode_cam_in[2]_918 ),
+	.Y(n_28018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g743747 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[28]),
+	.B_N(\brqrv_top_brqrv_dec_decode_cam_in[8]_924 ),
+	.Y(n_28017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g743748 (
+	.A(n_27924),
+	.B(brqrv_top_brqrv_dec_decode_cam_raw[25]),
+	.Y(n_28016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g743749 (
+	.A_N(\brqrv_top_brqrv_dec_decode_cam_in[8]_924 ),
+	.B(brqrv_top_brqrv_dec_decode_cam_raw[28]),
+	.Y(n_28015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g743750 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[31]),
+	.B_N(brqrv_top_brqrv_dec_decode_cam_in[31]),
+	.Y(n_28014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g743751 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[4]),
+	.B_N(brqrv_top_brqrv_dec_decode_cam_in[4]),
+	.Y(n_28013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743752 (
+	.A1_N(n_31161),
+	.A2_N(n_27902),
+	.B1(n_27194),
+	.B2(n_27807),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [135]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743753 (
+	.A1_N(n_32386),
+	.A2_N(n_27902),
+	.B1(n_27193),
+	.B2(n_27807),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [136]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743754 (
+	.A1_N(n_39256),
+	.A2_N(n_27902),
+	.B1(n_27192),
+	.B2(n_27807),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [137]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743755 (
+	.A1_N(n_31159),
+	.A2_N(n_27902),
+	.B1(n_27191),
+	.B2(n_27807),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [138]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743756 (
+	.A1_N(n_31158),
+	.A2_N(n_27902),
+	.B1(n_27190),
+	.B2(n_27807),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [139]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743757 (
+	.A1_N(n_31157),
+	.A2_N(n_27902),
+	.B1(n_27189),
+	.B2(n_27807),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [140]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g743758 (
+	.A1(n_27806),
+	.A2(n_27310),
+	.B1(n_27910),
+	.B2(n_31188),
+	.X(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [143]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g743759 (
+	.A1(n_27806),
+	.A2(n_27309),
+	.B1(n_27910),
+	.B2(n_31206),
+	.X(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [145]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743760 (
+	.A1_N(n_31143),
+	.A2_N(n_27901),
+	.B1(n_27209),
+	.B2(n_27805),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [78]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743761 (
+	.A1_N(n_31146),
+	.A2_N(n_27901),
+	.B1(n_27208),
+	.B2(n_27805),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [81]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743762 (
+	.A1_N(n_31149),
+	.A2_N(n_27901),
+	.B1(n_27207),
+	.B2(n_27805),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [83]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743763 (
+	.A1_N(n_31152),
+	.A2_N(n_27901),
+	.B1(n_27206),
+	.B2(n_27805),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [84]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743764 (
+	.A1_N(n_31155),
+	.A2_N(n_27901),
+	.B1(n_27205),
+	.B2(n_27805),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [85]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743765 (
+	.A1_N(n_31170),
+	.A2_N(n_27901),
+	.B1(n_27204),
+	.B2(n_27805),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [86]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743767 (
+	.A1(n_27604),
+	.A2(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[2]),
+	.B1(n_27956),
+	.Y(n_28011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743768 (
+	.A1_N(n_31179),
+	.A2_N(n_27901),
+	.B1(n_27203),
+	.B2(n_27805),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [87]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743769 (
+	.A1_N(n_31187),
+	.A2_N(n_27901),
+	.B1(n_27202),
+	.B2(n_27805),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [88]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743770 (
+	.A1_N(n_31196),
+	.A2_N(n_27901),
+	.B1(n_27201),
+	.B2(n_27805),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [89]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g743771 (
+	.A1(n_33127),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[30]),
+	.A3(n_27486),
+	.B1(n_27915),
+	.Y(n_28010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g743772 (
+	.A1(n_33123),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[28]),
+	.A3(n_27486),
+	.B1(n_27914),
+	.Y(n_28009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743773 (
+	.A1(n_27856),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[29]),
+	.B1(n_34090),
+	.B2(n_26916),
+	.Y(n_28008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743774 (
+	.A1(n_27855),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[27]),
+	.B1(n_34088),
+	.B2(n_26515),
+	.Y(n_28007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743775 (
+	.A1(n_27854),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[26]),
+	.B1(n_34087),
+	.B2(n_27231),
+	.Y(n_28006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743776 (
+	.A1(n_27853),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[25]),
+	.B1(n_34086),
+	.B2(n_26917),
+	.Y(n_28005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743777 (
+	.A1(n_27852),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[24]),
+	.B1(n_34085),
+	.B2(n_27219),
+	.Y(n_28004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743778 (
+	.A1(n_27851),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[23]),
+	.B1(n_34084),
+	.B2(n_26236),
+	.Y(n_28003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743779 (
+	.A1(n_27850),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[22]),
+	.B1(n_34083),
+	.B2(n_27235),
+	.Y(n_28002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743780 (
+	.A1(n_27849),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[21]),
+	.B1(n_34082),
+	.B2(n_26906),
+	.Y(n_28001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743781 (
+	.A1(n_27848),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[20]),
+	.B1(n_34081),
+	.B2(n_27230),
+	.Y(n_28000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743782 (
+	.A1(n_27847),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[19]),
+	.B1(n_34080),
+	.B2(n_26513),
+	.Y(n_27999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743783 (
+	.A1(n_27846),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[18]),
+	.B1(n_34079),
+	.B2(n_27233),
+	.Y(n_27998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743784 (
+	.A1(n_27844),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[16]),
+	.B1(n_34077),
+	.B2(n_27234),
+	.Y(n_27997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743785 (
+	.A1_N(n_31205),
+	.A2_N(n_27901),
+	.B1(n_27200),
+	.B2(n_27805),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [90]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743786 (
+	.A1(n_27857),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[31]),
+	.B1(n_34155),
+	.B2(n_27567),
+	.Y(n_27996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743787 (
+	.A1(n_27843),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[15]),
+	.B1(n_34138),
+	.B2(n_27567),
+	.Y(n_27995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743788 (
+	.A1(n_27842),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[14]),
+	.B1(n_34137),
+	.B2(n_27567),
+	.Y(n_27994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743789 (
+	.A1(n_27841),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[13]),
+	.B1(n_34136),
+	.B2(n_27567),
+	.Y(n_27993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743790 (
+	.A1(n_27840),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[12]),
+	.B1(n_34135),
+	.B2(n_27567),
+	.Y(n_27992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743791 (
+	.A1(n_27839),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[11]),
+	.B1(n_34134),
+	.B2(n_27567),
+	.Y(n_27991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743792 (
+	.A1(n_27838),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[10]),
+	.B1(n_34133),
+	.B2(n_27567),
+	.Y(n_27990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743793 (
+	.A1(n_27837),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[9]),
+	.B1(n_34132),
+	.B2(n_27567),
+	.Y(n_27989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743794 (
+	.A1(n_27836),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[8]),
+	.B1(n_34131),
+	.B2(n_27567),
+	.Y(n_27988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743795 (
+	.A1(n_27859),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[7]),
+	.B1(n_34130),
+	.B2(n_27567),
+	.Y(n_27987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743796 (
+	.A1(n_27834),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[6]),
+	.B1(n_34129),
+	.B2(n_27567),
+	.Y(n_27986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743797 (
+	.A1(n_27833),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[5]),
+	.B1(n_34128),
+	.B2(n_27567),
+	.Y(n_27985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743798 (
+	.A1(n_27832),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[4]),
+	.B1(n_34127),
+	.B2(n_27567),
+	.Y(n_27984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743799 (
+	.A1(n_27831),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[3]),
+	.B1(n_34126),
+	.B2(n_27567),
+	.Y(n_27983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743800 (
+	.A1(brqrv_top_brqrv_exu_i0_rs1_d[2]),
+	.A2(n_27830),
+	.B1(n_34125),
+	.B2(n_27567),
+	.Y(n_27982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743801 (
+	.A1(n_27829),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[0]),
+	.B1(brqrv_top_brqrv_exu_i0_rs2_d[0]),
+	.B2(n_27809),
+	.Y(n_27981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743802 (
+	.A1_N(n_32397),
+	.A2_N(n_27901),
+	.B1(n_27199),
+	.B2(n_27805),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [91]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743803 (
+	.A(n_27670),
+	.B(n_27671),
+	.C(n_27643),
+	.D(n_27772),
+	.Y(n_27980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743804 (
+	.A(n_27668),
+	.B(n_27669),
+	.C(n_27642),
+	.D(n_27771),
+	.Y(n_27979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743805 (
+	.A(n_27770),
+	.B(n_27664),
+	.C(n_27641),
+	.D(n_27665),
+	.Y(n_27978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743806 (
+	.A(n_27769),
+	.B(n_27663),
+	.C(n_27640),
+	.D(n_27662),
+	.Y(n_27977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743807 (
+	.A(n_27768),
+	.B(n_27659),
+	.C(n_27639),
+	.D(n_27658),
+	.Y(n_27976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g743808 (
+	.A1(n_27804),
+	.A2(n_27309),
+	.B1(n_27909),
+	.B2(n_31206),
+	.X(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [106]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g743809 (
+	.A1(n_27804),
+	.A2(n_27310),
+	.B1(n_27909),
+	.B2(n_31188),
+	.X(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [104]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743810 (
+	.A1_N(n_31157),
+	.A2_N(n_27911),
+	.B1(n_27189),
+	.B2(n_27805),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [101]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743811 (
+	.A1_N(n_31158),
+	.A2_N(n_27911),
+	.B1(n_27190),
+	.B2(n_27805),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [100]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743812 (
+	.A1_N(n_31159),
+	.A2_N(n_27911),
+	.B1(n_27191),
+	.B2(n_27805),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [99]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743813 (
+	.A1_N(n_39256),
+	.A2_N(n_27911),
+	.B1(n_27192),
+	.B2(n_27805),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [98]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743814 (
+	.A1_N(n_32386),
+	.A2_N(n_27911),
+	.B1(n_27193),
+	.B2(n_27805),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [97]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743815 (
+	.A1_N(n_31161),
+	.A2_N(n_27911),
+	.B1(n_27194),
+	.B2(n_27805),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [96]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743816 (
+	.A1_N(n_32388),
+	.A2_N(n_27911),
+	.B1(n_27195),
+	.B2(n_27805),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [95]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743817 (
+	.A1_N(n_32391),
+	.A2_N(n_27911),
+	.B1(n_27196),
+	.B2(n_27805),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [94]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743818 (
+	.A1_N(n_31211),
+	.A2_N(n_27901),
+	.B1(n_27197),
+	.B2(n_27805),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [93]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743819 (
+	.A1_N(n_32406),
+	.A2_N(n_27901),
+	.B1(n_27198),
+	.B2(n_27805),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [92]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g743820 (
+	.A1(n_25392),
+	.A2(brqrv_top_brqrv_dbg_n_4261),
+	.A3(n_27797),
+	.B1(n_32776),
+	.B2(n_27751),
+	.Y(n_28040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g743821 (
+	.A(n_27969),
+	.B(n_27314),
+	.Y(n_28039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g743822 (
+	.A(n_27969),
+	.B(n_27320),
+	.C(n_27315),
+	.Y(n_28038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g743823 (
+	.A1(n_27595),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1099),
+	.B1(n_27599),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[84]),
+	.C1(n_27940),
+	.Y(n_28037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g743824 (
+	.A1(n_27597),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[151]),
+	.B1(n_27598),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[215]),
+	.C1(n_27943),
+	.Y(n_28036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g743825 (
+	.A(n_27320),
+	.B(n_27968),
+	.Y(n_28035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743826 (
+	.A1(n_27825),
+	.A2(n_26368),
+	.B1(brqrv_top_brqrv_dec_tlu_force_halt),
+	.Y(\brqrv_top_brqrv_dec_decode_cam_in[9]_925 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g743827 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt),
+	.B(n_27959),
+	.Y(brqrv_top_brqrv_dec_decode_cam_in[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g743828 (
+	.A1(n_27827),
+	.A2(n_26781),
+	.B1(brqrv_top_brqrv_dec_tlu_force_halt),
+	.Y(\brqrv_top_brqrv_dec_decode_cam_in[9]_927 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g743829 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt),
+	.B(n_27960),
+	.Y(\brqrv_top_brqrv_dec_decode_cam_in[9]_915 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g743830 (
+	.A(brqrv_top_brqrv_dbg_dbg_state[1]),
+	.B(n_27970),
+	.Y(n_28030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g743831 (
+	.A(n_27967),
+	.B(n_27504),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_n_314 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g743832 (
+	.A(n_27967),
+	.B(n_27501),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_n_356 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g743833 (
+	.A(n_27967),
+	.B(n_27508),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_n_398 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g743834 (
+	.A(n_27967),
+	.B(n_27505),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_n_271 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g743837 (
+	.A(n_27965),
+	.B(n_25345),
+	.Y(n_28027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g743838 (
+	.A(n_27965),
+	.B(n_25343),
+	.Y(n_28026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743839 (
+	.A(n_27819),
+	.B(brqrv_top_brqrv_dma_dbg_cmd_done),
+	.C(n_27322),
+	.D(n_27315),
+	.Y(n_28025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743840 (
+	.A1_N(n_32391),
+	.A2_N(n_27902),
+	.B1(n_27196),
+	.B2(n_27807),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [133]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743841 (
+	.A1_N(n_31211),
+	.A2_N(n_27895),
+	.B1(n_27197),
+	.B2(n_27807),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [132]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743842 (
+	.A1_N(n_32406),
+	.A2_N(n_27895),
+	.B1(n_27198),
+	.B2(n_27807),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [131]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743843 (
+	.A1_N(n_32397),
+	.A2_N(n_27895),
+	.B1(n_27199),
+	.B2(n_27807),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [130]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743844 (
+	.A1_N(n_31205),
+	.A2_N(n_27895),
+	.B1(n_27200),
+	.B2(n_27807),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [129]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743845 (
+	.A1_N(n_31196),
+	.A2_N(n_27895),
+	.B1(n_27201),
+	.B2(n_27807),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [128]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743846 (
+	.A1_N(n_31187),
+	.A2_N(n_27895),
+	.B1(n_27202),
+	.B2(n_27807),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [127]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743847 (
+	.A1_N(n_31179),
+	.A2_N(n_27895),
+	.B1(n_27203),
+	.B2(n_27807),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [126]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743848 (
+	.A1_N(n_31170),
+	.A2_N(n_27895),
+	.B1(n_27204),
+	.B2(n_27807),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [125]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743849 (
+	.A1_N(n_31155),
+	.A2_N(n_27895),
+	.B1(n_27205),
+	.B2(n_27807),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [124]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743850 (
+	.A1_N(n_31152),
+	.A2_N(n_27895),
+	.B1(n_27206),
+	.B2(n_27807),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [123]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743851 (
+	.A1_N(n_31149),
+	.A2_N(n_27895),
+	.B1(n_27207),
+	.B2(n_27807),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [122]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743852 (
+	.A1_N(n_31146),
+	.A2_N(n_27895),
+	.B1(n_27208),
+	.B2(n_27807),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [120]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743853 (
+	.A1_N(n_31143),
+	.A2_N(n_27895),
+	.B1(n_27209),
+	.B2(n_27807),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [117]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g743854 (
+	.A1(n_27800),
+	.A2(n_27309),
+	.B1(n_27906),
+	.B2(n_31206),
+	.X(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g743855 (
+	.A1(n_27800),
+	.A2(n_27310),
+	.B1(n_27906),
+	.B2(n_31188),
+	.X(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743856 (
+	.A1_N(n_31157),
+	.A2_N(n_27903),
+	.B1(n_27189),
+	.B2(n_27801),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743857 (
+	.A1_N(n_31158),
+	.A2_N(n_27903),
+	.B1(n_27190),
+	.B2(n_27801),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743858 (
+	.A1_N(n_31159),
+	.A2_N(n_27903),
+	.B1(n_27191),
+	.B2(n_27801),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743859 (
+	.A1_N(n_39256),
+	.A2_N(n_27903),
+	.B1(n_27192),
+	.B2(n_27801),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743860 (
+	.A1_N(n_32386),
+	.A2_N(n_27903),
+	.B1(n_27193),
+	.B2(n_27801),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743861 (
+	.A1_N(n_31161),
+	.A2_N(n_27903),
+	.B1(n_27194),
+	.B2(n_27801),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743862 (
+	.A1_N(n_32388),
+	.A2_N(n_27903),
+	.B1(n_27195),
+	.B2(n_27801),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743863 (
+	.A1_N(n_32391),
+	.A2_N(n_27903),
+	.B1(n_27196),
+	.B2(n_27801),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743864 (
+	.A1_N(n_31211),
+	.A2_N(n_27899),
+	.B1(n_27197),
+	.B2(n_27801),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743865 (
+	.A1_N(n_32406),
+	.A2_N(n_27899),
+	.B1(n_27198),
+	.B2(n_27801),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743866 (
+	.A1_N(n_32397),
+	.A2_N(n_27899),
+	.B1(n_27199),
+	.B2(n_27801),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743867 (
+	.A1_N(n_31205),
+	.A2_N(n_27899),
+	.B1(n_27200),
+	.B2(n_27801),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743868 (
+	.A1_N(n_31196),
+	.A2_N(n_27899),
+	.B1(n_27201),
+	.B2(n_27801),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743869 (
+	.A1_N(n_31187),
+	.A2_N(n_27899),
+	.B1(n_27202),
+	.B2(n_27801),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743870 (
+	.A1_N(n_31179),
+	.A2_N(n_27899),
+	.B1(n_27203),
+	.B2(n_27801),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743871 (
+	.A1_N(n_31170),
+	.A2_N(n_27899),
+	.B1(n_27204),
+	.B2(n_27801),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743872 (
+	.A1_N(n_31155),
+	.A2_N(n_27899),
+	.B1(n_27205),
+	.B2(n_27801),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743873 (
+	.A1_N(n_31152),
+	.A2_N(n_27899),
+	.B1(n_27206),
+	.B2(n_27801),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743874 (
+	.A1_N(n_31149),
+	.A2_N(n_27899),
+	.B1(n_27207),
+	.B2(n_27801),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743875 (
+	.A1_N(n_31146),
+	.A2_N(n_27899),
+	.B1(n_27208),
+	.B2(n_27801),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743876 (
+	.A1_N(n_31143),
+	.A2_N(n_27899),
+	.B1(n_27209),
+	.B2(n_27801),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g743877 (
+	.A1(n_27802),
+	.A2(n_27309),
+	.B1(n_27908),
+	.B2(n_31206),
+	.X(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [67]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g743878 (
+	.A1(n_27802),
+	.A2(n_27310),
+	.B1(n_27908),
+	.B2(n_31188),
+	.X(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [65]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743879 (
+	.A1_N(n_31157),
+	.A2_N(n_27904),
+	.B1(n_27189),
+	.B2(n_27803),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [62]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743880 (
+	.A1_N(n_31158),
+	.A2_N(n_27904),
+	.B1(n_27190),
+	.B2(n_27803),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [61]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743881 (
+	.A1_N(n_31159),
+	.A2_N(n_27904),
+	.B1(n_27191),
+	.B2(n_27803),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [60]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743882 (
+	.A1_N(n_39256),
+	.A2_N(n_27904),
+	.B1(n_27192),
+	.B2(n_27803),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [59]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743883 (
+	.A1_N(n_32386),
+	.A2_N(n_27904),
+	.B1(n_27193),
+	.B2(n_27803),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [58]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743884 (
+	.A1_N(n_31161),
+	.A2_N(n_27904),
+	.B1(n_27194),
+	.B2(n_27803),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [57]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743885 (
+	.A1_N(n_32388),
+	.A2_N(n_27904),
+	.B1(n_27195),
+	.B2(n_27803),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [56]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743886 (
+	.A1_N(n_32391),
+	.A2_N(n_27904),
+	.B1(n_27196),
+	.B2(n_27803),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [55]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743887 (
+	.A1_N(n_31211),
+	.A2_N(n_27897),
+	.B1(n_27197),
+	.B2(n_27803),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [54]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743888 (
+	.A1_N(n_32406),
+	.A2_N(n_27897),
+	.B1(n_27198),
+	.B2(n_27803),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [53]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743889 (
+	.A1_N(n_32397),
+	.A2_N(n_27897),
+	.B1(n_27199),
+	.B2(n_27803),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [52]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743890 (
+	.A1_N(n_31205),
+	.A2_N(n_27897),
+	.B1(n_27200),
+	.B2(n_27803),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [51]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743891 (
+	.A1_N(n_31196),
+	.A2_N(n_27897),
+	.B1(n_27201),
+	.B2(n_27803),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [50]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743892 (
+	.A1_N(n_31187),
+	.A2_N(n_27897),
+	.B1(n_27202),
+	.B2(n_27803),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [49]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743893 (
+	.A1_N(n_31179),
+	.A2_N(n_27897),
+	.B1(n_27203),
+	.B2(n_27803),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [48]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743894 (
+	.A1_N(n_31170),
+	.A2_N(n_27897),
+	.B1(n_27204),
+	.B2(n_27803),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [47]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743895 (
+	.A1_N(n_31155),
+	.A2_N(n_27897),
+	.B1(n_27205),
+	.B2(n_27803),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [46]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743896 (
+	.A1_N(n_31152),
+	.A2_N(n_27897),
+	.B1(n_27206),
+	.B2(n_27803),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [45]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743897 (
+	.A1_N(n_31149),
+	.A2_N(n_27897),
+	.B1(n_27207),
+	.B2(n_27803),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [44]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743898 (
+	.A1_N(n_31146),
+	.A2_N(n_27897),
+	.B1(n_27208),
+	.B2(n_27803),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [42]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743899 (
+	.A1_N(n_31143),
+	.A2_N(n_27897),
+	.B1(n_27209),
+	.B2(n_27803),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [39]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743900 (
+	.A1(brqrv_top_brqrv_exu_i0_rs1_d[1]),
+	.A2(n_24036),
+	.B1(n_34124),
+	.B2(n_27567),
+	.Y(n_27975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743901 (
+	.A1(n_27861),
+	.A2(n_33455),
+	.B1(n_27285),
+	.B2(brqrv_top_dmi_reg_wdata[15]),
+	.Y(n_27974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743902 (
+	.A1(n_27861),
+	.A2(n_33451),
+	.B1(n_27285),
+	.B2(brqrv_top_dmi_reg_wdata[11]),
+	.Y(n_27973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743903 (
+	.A1(n_27861),
+	.A2(n_33448),
+	.B1(n_27285),
+	.B2(brqrv_top_dmi_reg_wdata[8]),
+	.Y(n_27972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743904 (
+	.A1(n_27183),
+	.A2(n_27803),
+	.B1(n_24155),
+	.B2(n_27907),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [70]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743905 (
+	.A1(n_27184),
+	.A2(n_27803),
+	.B1(n_24425),
+	.B2(n_27907),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [69]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743906 (
+	.A1(n_27185),
+	.A2(n_27803),
+	.B1(n_24420),
+	.B2(n_27907),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [68]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743907 (
+	.A1(n_27186),
+	.A2(n_27803),
+	.B1(n_24201),
+	.B2(n_27907),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [66]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743908 (
+	.A1(n_27187),
+	.A2(n_27803),
+	.B1(n_24395),
+	.B2(n_27907),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [64]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743909 (
+	.A1(n_27188),
+	.A2(n_27803),
+	.B1(n_24394),
+	.B2(n_27907),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [63]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743910 (
+	.A1_N(n_31215),
+	.A2_N(n_27910),
+	.B1(n_27183),
+	.B2(n_27807),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [148]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743911 (
+	.A1_N(n_32407),
+	.A2_N(n_27910),
+	.B1(n_27184),
+	.B2(n_27807),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [147]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743912 (
+	.A1_N(n_31197),
+	.A2_N(n_27910),
+	.B1(n_27186),
+	.B2(n_27807),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [144]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743913 (
+	.A1_N(n_32398),
+	.A2_N(n_27910),
+	.B1(n_27185),
+	.B2(n_27807),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [146]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743914 (
+	.A1_N(n_31180),
+	.A2_N(n_27910),
+	.B1(n_27187),
+	.B2(n_27807),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [142]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743915 (
+	.A1_N(n_31171),
+	.A2_N(n_27910),
+	.B1(n_27188),
+	.B2(n_27807),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [141]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743916 (
+	.A1(n_27183),
+	.A2(n_27801),
+	.B1(n_24155),
+	.B2(n_27905),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743917 (
+	.A1(n_27184),
+	.A2(n_27801),
+	.B1(n_24425),
+	.B2(n_27905),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743918 (
+	.A1(n_27185),
+	.A2(n_27801),
+	.B1(n_24420),
+	.B2(n_27905),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743919 (
+	.A1(n_27186),
+	.A2(n_27801),
+	.B1(n_24201),
+	.B2(n_27905),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743920 (
+	.A1(n_27188),
+	.A2(n_27801),
+	.B1(n_24394),
+	.B2(n_27905),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743921 (
+	.A1(n_27187),
+	.A2(n_27801),
+	.B1(n_24395),
+	.B2(n_27905),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743922 (
+	.A1_N(n_31215),
+	.A2_N(n_27909),
+	.B1(n_27183),
+	.B2(n_27805),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [109]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743923 (
+	.A1_N(n_32407),
+	.A2_N(n_27909),
+	.B1(n_27184),
+	.B2(n_27805),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [108]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743924 (
+	.A1_N(n_32398),
+	.A2_N(n_27909),
+	.B1(n_27185),
+	.B2(n_27805),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [107]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743925 (
+	.A1_N(n_31197),
+	.A2_N(n_27909),
+	.B1(n_27186),
+	.B2(n_27805),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [105]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743926 (
+	.A1_N(n_31180),
+	.A2_N(n_27909),
+	.B1(n_27187),
+	.B2(n_27805),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [103]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g743927 (
+	.A1_N(n_31171),
+	.A2_N(n_27909),
+	.B1(n_27188),
+	.B2(n_27805),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [102]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743928 (
+	.A1(n_27609),
+	.A2(n_27805),
+	.B1(n_26365),
+	.B2(n_27900),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [82]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743929 (
+	.A1(n_27611),
+	.A2(n_27805),
+	.B1(n_26369),
+	.B2(n_27900),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [80]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743930 (
+	.A1(n_27610),
+	.A2(n_27805),
+	.B1(n_26366),
+	.B2(n_27900),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [79]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743931 (
+	.A1(n_27609),
+	.A2(n_27801),
+	.B1(n_26365),
+	.B2(n_27898),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743932 (
+	.A1(n_27611),
+	.A2(n_27801),
+	.B1(n_26369),
+	.B2(n_27898),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743933 (
+	.A1(n_27610),
+	.A2(n_27801),
+	.B1(n_26366),
+	.B2(n_27898),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743934 (
+	.A1(n_27609),
+	.A2(n_27807),
+	.B1(n_26365),
+	.B2(n_27894),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [121]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743935 (
+	.A1(n_27611),
+	.A2(n_27807),
+	.B1(n_26369),
+	.B2(n_27894),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [119]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743936 (
+	.A1(n_27610),
+	.A2(n_27807),
+	.B1(n_26366),
+	.B2(n_27894),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [118]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743937 (
+	.A1(n_27609),
+	.A2(n_27803),
+	.B1(n_26365),
+	.B2(n_27896),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [43]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743938 (
+	.A1(n_27611),
+	.A2(n_27803),
+	.B1(n_26369),
+	.B2(n_27896),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [41]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g743939 (
+	.A1(n_27610),
+	.A2(n_27803),
+	.B1(n_26366),
+	.B2(n_27896),
+	.Y(\brqrv_top_mem_Gen_dccm_enable.dccm_wr_data_bank [40]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g743940 (
+	.A1(n_27861),
+	.A2(n_33454),
+	.B1(n_27285),
+	.B2(brqrv_top_dmi_reg_wdata[14]),
+	.Y(n_27971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g743941 (
+	.A(n_27968),
+	.Y(n_27969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g743942 (
+	.A(n_27966),
+	.Y(n_27965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g743943 (
+	.A1(n_26338),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[188]),
+	.B1(n_27597),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[156]),
+	.C1(n_27793),
+	.Y(n_27964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g743944 (
+	.A(n_27861),
+	.B(n_33440),
+	.Y(n_27963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743945 (
+	.A(n_27675),
+	.B(n_27676),
+	.C(n_26836),
+	.D(n_26837),
+	.Y(n_27962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g743946 (
+	.A(n_27835),
+	.B(n_25351),
+	.Y(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743947 (
+	.A(n_27677),
+	.B(n_27678),
+	.C(n_26838),
+	.D(n_26839),
+	.Y(n_27961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g743948 (
+	.A1(n_27815),
+	.A2(n_31730),
+	.A3(brqrv_top_brqrv_dec_decode_cam_raw[19]),
+	.B1(n_26085),
+	.Y(n_27960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g743949 (
+	.A1(n_27813),
+	.A2(n_32634),
+	.A3(brqrv_top_brqrv_dec_decode_cam_raw[9]),
+	.B1(n_25394),
+	.Y(n_27959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743951 (
+	.A(n_27736),
+	.B(n_26878),
+	.C(n_25351),
+	.D(n_26827),
+	.Y(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g743952 (
+	.A(n_27181),
+	.B(n_27171),
+	.C(n_27798),
+	.Y(n_27957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743953 (
+	.A(n_27766),
+	.B(n_27726),
+	.C(n_25842),
+	.D(n_27638),
+	.Y(n_27956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g743954 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[30]),
+	.A2(n_24246),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[8]),
+	.B2(n_24461),
+	.C1(n_27822),
+	.Y(n_27955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g743955 (
+	.A1(n_33384),
+	.A2(n_26697),
+	.B1(n_33385),
+	.B2(n_26707),
+	.C1(n_27820),
+	.Y(n_27954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743956 (
+	.A(n_27735),
+	.B(n_26363),
+	.C(n_25351),
+	.D(n_26065),
+	.Y(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743957 (
+	.A(n_27679),
+	.B(n_27680),
+	.C(n_26841),
+	.D(n_26840),
+	.Y(n_27953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743958 (
+	.A(n_27681),
+	.B(n_27682),
+	.C(n_26842),
+	.D(n_26843),
+	.Y(n_27952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743959 (
+	.A(n_27710),
+	.B(n_27711),
+	.C(n_26881),
+	.D(n_26882),
+	.Y(n_27951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743960 (
+	.A(n_27708),
+	.B(n_27709),
+	.C(n_26873),
+	.D(n_26874),
+	.Y(n_27950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g743961 (
+	.A1(n_26333),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[125]),
+	.B1(n_27599),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[93]),
+	.C1(n_27794),
+	.Y(n_27949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g743962 (
+	.A(n_27861),
+	.B(n_33445),
+	.Y(n_27948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743963 (
+	.A(n_27704),
+	.B(n_27705),
+	.C(n_26868),
+	.D(n_26867),
+	.Y(n_27947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g743964 (
+	.A1(n_26338),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[186]),
+	.B1(n_27597),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[154]),
+	.C1(n_27792),
+	.Y(n_27946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743965 (
+	.A(n_27702),
+	.B(n_27701),
+	.C(n_26865),
+	.D(n_26864),
+	.Y(n_27945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743966 (
+	.A(n_27699),
+	.B(n_27700),
+	.C(n_26862),
+	.D(n_26863),
+	.Y(n_27944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743967 (
+	.A(n_27697),
+	.B(n_27698),
+	.C(n_26857),
+	.D(n_26858),
+	.Y(n_27943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g743968 (
+	.A1(n_26333),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[118]),
+	.B1(n_27599),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[86]),
+	.C1(n_27791),
+	.Y(n_27942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g743969 (
+	.A1(n_26333),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[117]),
+	.B1(n_27598),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[213]),
+	.C1(n_27790),
+	.Y(n_27941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743970 (
+	.A(n_27693),
+	.B(n_27694),
+	.C(n_26854),
+	.D(n_26853),
+	.Y(n_27940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743971 (
+	.A(n_27691),
+	.B(n_27692),
+	.C(n_26851),
+	.D(n_26852),
+	.Y(n_27939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g743972 (
+	.A1(n_26338),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[178]),
+	.B1(n_27598),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[210]),
+	.C1(n_27789),
+	.Y(n_27938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g743973 (
+	.A1(n_26338),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[177]),
+	.B1(n_27595),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1084),
+	.C1(n_27788),
+	.Y(n_27937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743974 (
+	.A(n_27687),
+	.B(n_27688),
+	.C(n_26850),
+	.D(n_26849),
+	.Y(n_27936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743975 (
+	.A(n_27685),
+	.B(n_27686),
+	.C(n_26846),
+	.D(n_26845),
+	.Y(n_27935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g743976 (
+	.A1(n_26338),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[174]),
+	.B1(n_27597),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[142]),
+	.C1(n_27787),
+	.Y(n_27934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g743977 (
+	.A1(n_26333),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[109]),
+	.B1(n_27598),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[205]),
+	.C1(n_27786),
+	.Y(n_27933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g743978 (
+	.A1(n_26102),
+	.A2(n_27232),
+	.B1(n_25972),
+	.C1(n_26233),
+	.D1(n_27757),
+	.Y(n_27970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g743979 (
+	.A_N(n_27322),
+	.B(n_27819),
+	.C(brqrv_top_brqrv_dma_dbg_cmd_done),
+	.Y(n_27968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g743980 (
+	.A(n_27862),
+	.B(n_26001),
+	.Y(n_27967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g743981 (
+	.A(brqrv_top_brqrv_lsu_stbuf_reqvld_flushed_any),
+	.B(n_27863),
+	.Y(n_27966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g743982 (
+	.A(n_27932),
+	.Y(\brqrv_top_brqrv_dec_decode_cam_in[8]_926 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g743983 (
+	.A(n_27931),
+	.Y(\brqrv_top_brqrv_dec_decode_cam_in[3]_909 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g743984 (
+	.A(n_27930),
+	.Y(\brqrv_top_brqrv_dec_decode_cam_in[0]_906 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g743985 (
+	.A(n_27929),
+	.Y(\brqrv_top_brqrv_dec_decode_cam_in[4]_910 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g743986 (
+	.A(n_27928),
+	.Y(\brqrv_top_brqrv_dec_decode_cam_in[2]_908 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g743987 (
+	.A(n_27927),
+	.Y(\brqrv_top_brqrv_dec_decode_cam_in[4]_920 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g743988 (
+	.A(n_27926),
+	.Y(\brqrv_top_brqrv_dec_decode_cam_in[0]_916 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g743989 (
+	.A(n_27925),
+	.Y(\brqrv_top_brqrv_dec_decode_cam_in[1]_917 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g743990 (
+	.A(n_27924),
+	.Y(\brqrv_top_brqrv_dec_decode_cam_in[5]_921 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g743991 (
+	.A(n_27923),
+	.Y(brqrv_top_brqrv_dec_decode_cam_in[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g743992 (
+	.A(n_27922),
+	.Y(brqrv_top_brqrv_dec_decode_cam_in[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g743993 (
+	.A(n_27921),
+	.Y(brqrv_top_brqrv_dec_decode_cam_in[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g743994 (
+	.A(n_27920),
+	.Y(brqrv_top_brqrv_dec_decode_cam_in[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g743995 (
+	.A(n_27919),
+	.Y(brqrv_top_brqrv_dec_decode_cam_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g743996 (
+	.A(brqrv_top_brqrv_dec_decode_cam_in[36]),
+	.Y(n_27918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32o_1 g743997 (
+	.A1(n_27747),
+	.A2(n_25404),
+	.A3(n_26121),
+	.B1(n_26228),
+	.B2(n_26121),
+	.X(brqrv_top_brqrv_ifu_mem_ctl_ic_crit_wd_rdy_new_in), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743998 (
+	.A(n_27667),
+	.B(n_27666),
+	.C(n_26897),
+	.D(n_26898),
+	.Y(n_27917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g743999 (
+	.A(n_27661),
+	.B(n_27660),
+	.C(n_26908),
+	.D(n_26907),
+	.Y(n_27916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g744000 (
+	.A(n_27461),
+	.B(n_27283),
+	.C(n_27795),
+	.Y(n_27915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g744001 (
+	.A(n_27279),
+	.B(n_27280),
+	.C(n_27796),
+	.Y(n_27914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g744002 (
+	.A1(n_26333),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[104]),
+	.B1(n_27599),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[72]),
+	.C1(n_27785),
+	.Y(n_27913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g744003 (
+	.A(n_27673),
+	.B(n_27672),
+	.C(n_26835),
+	.D(n_26861),
+	.Y(n_27912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g744004 (
+	.A1(n_27816),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[31]),
+	.B1(n_27063),
+	.B2(brqrv_top_brqrv_dec_decode_x_d[5]),
+	.X(brqrv_top_brqrv_dec_decode_cam_in[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744005 (
+	.A1(n_27816),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[38]),
+	.B1(n_26808),
+	.B2(brqrv_top_brqrv_dec_decode_nonblock_load_valid_m_delay),
+	.Y(n_27932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g744006 (
+	.A1(n_27816),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[32]),
+	.B1(n_27063),
+	.B2(brqrv_top_brqrv_dec_decode_x_d[6]),
+	.X(brqrv_top_brqrv_dec_decode_cam_in[32]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g744007 (
+	.A1(n_27816),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[33]),
+	.B1(n_27063),
+	.B2(brqrv_top_brqrv_dec_decode_x_d[7]),
+	.X(brqrv_top_brqrv_dec_decode_cam_in[33]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g744008 (
+	.A1(n_27816),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[34]),
+	.B1(n_27063),
+	.B2(brqrv_top_brqrv_dec_decode_x_d[8]),
+	.X(brqrv_top_brqrv_dec_decode_cam_in[34]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744009 (
+	.A1(n_27815),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[13]),
+	.B1(n_26373),
+	.B2(brqrv_top_brqrv_dec_decode_x_d[7]),
+	.Y(n_27931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744010 (
+	.A1(n_27815),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[10]),
+	.B1(n_26373),
+	.B2(brqrv_top_brqrv_dec_decode_x_d[4]),
+	.Y(n_27930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744011 (
+	.A1(n_27815),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[14]),
+	.B1(n_26373),
+	.B2(brqrv_top_brqrv_dec_decode_x_d[8]),
+	.Y(n_27929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744012 (
+	.A1(n_27815),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[12]),
+	.B1(n_26373),
+	.B2(brqrv_top_brqrv_dec_decode_x_d[6]),
+	.Y(n_27928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g744013 (
+	.A1(n_26085),
+	.A2(brqrv_top_brqrv_lsu_nonblock_load_tag_m[0]),
+	.B1(n_27815),
+	.B2(brqrv_top_brqrv_dec_decode_cam_raw[15]),
+	.X(\brqrv_top_brqrv_dec_decode_cam_in[5]_911 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g744014 (
+	.A1_N(brqrv_top_brqrv_dec_decode_x_d[5]),
+	.A2_N(n_26373),
+	.B1(n_24191),
+	.B2(n_27814),
+	.Y(\brqrv_top_brqrv_dec_decode_cam_in[1]_907 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g744015 (
+	.A1_N(brqrv_top_brqrv_lsu_nonblock_load_tag_m[1]),
+	.A2_N(n_26085),
+	.B1(n_24419),
+	.B2(n_27814),
+	.Y(\brqrv_top_brqrv_dec_decode_cam_in[6]_912 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g744016 (
+	.A1(n_27815),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[18]),
+	.B1(n_26810),
+	.B2(brqrv_top_brqrv_dec_decode_nonblock_load_valid_m_delay),
+	.X(\brqrv_top_brqrv_dec_decode_cam_in[8]_914 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744017 (
+	.A1(n_27811),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[24]),
+	.B1(n_26747),
+	.B2(brqrv_top_brqrv_dec_decode_x_d[8]),
+	.Y(n_27927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g744018 (
+	.A1_N(brqrv_top_brqrv_dec_decode_x_d[7]),
+	.A2_N(n_26747),
+	.B1(n_24194),
+	.B2(n_27810),
+	.Y(\brqrv_top_brqrv_dec_decode_cam_in[3]_919 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g744019 (
+	.A1_N(brqrv_top_brqrv_dec_decode_x_d[6]),
+	.A2_N(n_26747),
+	.B1(n_24167),
+	.B2(n_27810),
+	.Y(\brqrv_top_brqrv_dec_decode_cam_in[2]_918 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744020 (
+	.A1(n_27811),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[20]),
+	.B1(n_26747),
+	.B2(brqrv_top_brqrv_dec_decode_x_d[4]),
+	.Y(n_27926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744021 (
+	.A1(n_27811),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[21]),
+	.B1(n_26747),
+	.B2(brqrv_top_brqrv_dec_decode_x_d[5]),
+	.Y(n_27925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g744022 (
+	.A1(n_27811),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[28]),
+	.B1(n_26815),
+	.B2(brqrv_top_brqrv_dec_decode_nonblock_load_valid_m_delay),
+	.X(\brqrv_top_brqrv_dec_decode_cam_in[8]_924 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744023 (
+	.A1(n_26367),
+	.A2(brqrv_top_brqrv_lsu_nonblock_load_tag_m[0]),
+	.B1(n_27811),
+	.B2(brqrv_top_brqrv_dec_decode_cam_raw[25]),
+	.Y(n_27924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744024 (
+	.A1(n_27813),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[0]),
+	.B1(n_26086),
+	.B2(brqrv_top_brqrv_dec_decode_x_d[4]),
+	.Y(n_27923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744025 (
+	.A1(n_25394),
+	.A2(brqrv_top_brqrv_lsu_nonblock_load_tag_m[0]),
+	.B1(n_27813),
+	.B2(brqrv_top_brqrv_dec_decode_cam_raw[5]),
+	.Y(n_27922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g744026 (
+	.A1_N(brqrv_top_brqrv_dec_decode_x_d[8]),
+	.A2_N(n_26086),
+	.B1(n_24401),
+	.B2(n_27812),
+	.Y(brqrv_top_brqrv_dec_decode_cam_in[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744027 (
+	.A1(n_27813),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[3]),
+	.B1(n_26086),
+	.B2(brqrv_top_brqrv_dec_decode_x_d[7]),
+	.Y(n_27921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744028 (
+	.A1(n_27813),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[1]),
+	.B1(n_26086),
+	.B2(brqrv_top_brqrv_dec_decode_x_d[5]),
+	.Y(n_27920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744029 (
+	.A1(n_27813),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[2]),
+	.B1(n_26086),
+	.B2(brqrv_top_brqrv_dec_decode_x_d[6]),
+	.Y(n_27919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g744030 (
+	.A1(n_27813),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[6]),
+	.B1(n_25394),
+	.B2(brqrv_top_brqrv_lsu_nonblock_load_tag_m[1]),
+	.X(brqrv_top_brqrv_dec_decode_cam_in[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g744031 (
+	.A1(n_27813),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[8]),
+	.B1(n_26573),
+	.B2(brqrv_top_brqrv_dec_decode_nonblock_load_valid_m_delay),
+	.X(brqrv_top_brqrv_dec_decode_cam_in[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g744032 (
+	.A1_N(brqrv_top_brqrv_dec_decode_x_d[4]),
+	.A2_N(n_27063),
+	.B1(n_24163),
+	.B2(n_27817),
+	.Y(brqrv_top_brqrv_dec_decode_cam_in[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g744033 (
+	.A1(n_24195),
+	.A2(n_27810),
+	.B1(n_27053),
+	.B2(n_26368),
+	.Y(\brqrv_top_brqrv_dec_decode_cam_in[6]_922 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g744034 (
+	.A1(n_27491),
+	.A2(n_26781),
+	.B1(n_24424),
+	.B2(n_27817),
+	.Y(brqrv_top_brqrv_dec_decode_cam_in[35]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g744035 (
+	.A1(n_24386),
+	.A2(n_27817),
+	.B1(n_27053),
+	.B2(n_26781),
+	.Y(brqrv_top_brqrv_dec_decode_cam_in[36]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g744036 (
+	.A(n_27908),
+	.Y(n_27907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g744037 (
+	.A(n_27906),
+	.Y(n_27905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g744038 (
+	.A(n_27901),
+	.Y(n_27900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g744039 (
+	.A(n_27899),
+	.Y(n_27898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g744040 (
+	.A(n_27897),
+	.Y(n_27896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g744041 (
+	.A(n_27895),
+	.Y(n_27894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744042 (
+	.A(n_33100),
+	.B(n_27809),
+	.Y(n_27893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744043 (
+	.A(brqrv_top_brqrv_exu_i0_rs2_d[31]),
+	.B(n_27809),
+	.Y(n_27892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744044 (
+	.A(n_27784),
+	.B(n_27600),
+	.Y(brqrv_top_brqrv_dma_ctrl_dma_free_clken), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744045 (
+	.A(n_33126),
+	.B(n_27809),
+	.Y(n_27891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41a_1 g744046 (
+	.A1(n_25328),
+	.A2(n_25931),
+	.A3(n_25629),
+	.A4(n_27564),
+	.B1(brqrv_top_brqrv_dec_data_en[1]),
+	.X(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_final_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41a_1 g744047 (
+	.A1(n_25332),
+	.A2(n_25936),
+	.A3(n_25635),
+	.A4(n_27565),
+	.B1(brqrv_top_brqrv_dec_data_en[1]),
+	.X(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_final_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744048 (
+	.A(n_33122),
+	.B(n_27809),
+	.Y(n_27890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744049 (
+	.A(n_33119),
+	.B(n_27809),
+	.Y(n_27889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744050 (
+	.A(n_33118),
+	.B(n_27809),
+	.Y(n_27888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744051 (
+	.A(n_33117),
+	.B(n_27809),
+	.Y(n_27887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744052 (
+	.A(n_33116),
+	.B(n_27809),
+	.Y(n_27886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744053 (
+	.A(n_33115),
+	.B(n_27809),
+	.Y(n_27885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744054 (
+	.A(n_33114),
+	.B(n_27809),
+	.Y(n_27884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744055 (
+	.A(n_33113),
+	.B(n_27809),
+	.Y(n_27883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744056 (
+	.A(n_33112),
+	.B(n_27809),
+	.Y(n_27882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744057 (
+	.A(n_33111),
+	.B(n_27809),
+	.Y(n_27881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744058 (
+	.A(n_33110),
+	.B(n_27809),
+	.Y(n_27880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744059 (
+	.A(n_33109),
+	.B(n_27809),
+	.Y(n_27879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744060 (
+	.A(n_33108),
+	.B(n_27809),
+	.Y(n_27878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744061 (
+	.A(n_33107),
+	.B(n_27809),
+	.Y(n_27877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744062 (
+	.A(n_33106),
+	.B(n_27809),
+	.Y(n_27876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744063 (
+	.A(n_33105),
+	.B(n_27809),
+	.Y(n_27875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744064 (
+	.A(n_33104),
+	.B(n_27809),
+	.Y(n_27874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744065 (
+	.A(n_33103),
+	.B(n_27809),
+	.Y(n_27873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744066 (
+	.A(n_33101),
+	.B(n_27809),
+	.Y(n_27872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g744067 (
+	.A1(n_27743),
+	.A2(n_25325),
+	.B1(n_22518),
+	.Y(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_final_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744068 (
+	.A(n_33098),
+	.B(n_27809),
+	.Y(n_27871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744069 (
+	.A(n_33097),
+	.B(n_27809),
+	.Y(n_27870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744070 (
+	.A(n_33096),
+	.B(n_27809),
+	.Y(n_27869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744071 (
+	.A(brqrv_top_brqrv_exu_i0_rs2_d[4]),
+	.B(n_27809),
+	.Y(n_27868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744072 (
+	.A(brqrv_top_brqrv_exu_i0_rs2_d[3]),
+	.B(n_27809),
+	.Y(n_27867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744073 (
+	.A(brqrv_top_brqrv_exu_i0_rs2_d[2]),
+	.B(n_27809),
+	.Y(n_27866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g744074 (
+	.A(n_27810),
+	.B_N(brqrv_top_brqrv_dec_decode_cam_raw[27]),
+	.Y(\brqrv_top_brqrv_dec_decode_cam_in[7]_923 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g744075 (
+	.A(n_27812),
+	.B_N(brqrv_top_brqrv_dec_decode_cam_raw[7]),
+	.Y(brqrv_top_brqrv_dec_decode_cam_in[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g744076 (
+	.A(n_27814),
+	.B_N(brqrv_top_brqrv_dec_decode_cam_raw[17]),
+	.Y(\brqrv_top_brqrv_dec_decode_cam_in[7]_913 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744077 (
+	.A(brqrv_top_brqrv_exu_i0_rs2_d[1]),
+	.B(n_27809),
+	.Y(n_27865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g744078 (
+	.A(n_27630),
+	.B(n_25673),
+	.C(brqrv_top_brqrv_lsu_stbuf_stbuf_vld[2]),
+	.D(n_25727),
+	.Y(n_27864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744079 (
+	.A(n_27764),
+	.B(n_26392),
+	.Y(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g744080 (
+	.A(n_32419),
+	.B(n_27804),
+	.Y(n_27911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g744081 (
+	.A(n_32417),
+	.B(n_27806),
+	.Y(n_27910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g744082 (
+	.A(n_32417),
+	.B(n_27804),
+	.Y(n_27909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g744083 (
+	.A(n_32417),
+	.B(n_27802),
+	.Y(n_27908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g744084 (
+	.A(n_32417),
+	.B(n_27800),
+	.Y(n_27906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g744085 (
+	.A(n_32419),
+	.B(n_27802),
+	.Y(n_27904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g744086 (
+	.A(n_32419),
+	.B(n_27800),
+	.Y(n_27903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g744087 (
+	.A(n_32419),
+	.B(n_27806),
+	.Y(n_27902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g744088 (
+	.A(brqrv_top_brqrv_dma_mem_addr[2]),
+	.B(n_27804),
+	.Y(n_27901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g744089 (
+	.A(brqrv_top_brqrv_dma_mem_addr[2]),
+	.B(n_27800),
+	.Y(n_27899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g744090 (
+	.A(brqrv_top_brqrv_dma_mem_addr[2]),
+	.B(n_27802),
+	.Y(n_27897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g744091 (
+	.A(brqrv_top_brqrv_dma_mem_addr[2]),
+	.B(n_27806),
+	.Y(n_27895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g744092 (
+	.A(n_27862),
+	.Y(n_27863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g744093 (
+	.A(n_27861),
+	.Y(n_27860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g744094 (
+	.A1(n_33098),
+	.A2(n_27486),
+	.B1(n_27808),
+	.X(n_27859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g744095 (
+	.A1(n_23065),
+	.A2(n_25716),
+	.A3(n_27607),
+	.B1(brqrv_top_brqrv_dec_dec_csr_wen_unq_d),
+	.Y(n_27858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g744096 (
+	.A(n_27285),
+	.B(n_27819),
+	.X(brqrv_top_brqrv_dbg_data0_reg_wren), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g744097 (
+	.A1(brqrv_top_brqrv_exu_i0_rs2_d[31]),
+	.A2(n_27486),
+	.B1(n_27808),
+	.X(n_27857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g744098 (
+	.A1(n_33126),
+	.A2(n_27486),
+	.B1(n_27808),
+	.X(n_27856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g744099 (
+	.A1(n_33122),
+	.A2(n_27486),
+	.B1(n_27808),
+	.X(n_27855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g744100 (
+	.A1(n_33119),
+	.A2(n_27486),
+	.B1(n_27808),
+	.X(n_27854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g744101 (
+	.A1(n_33118),
+	.A2(n_27486),
+	.B1(n_27808),
+	.X(n_27853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g744102 (
+	.A1(n_33117),
+	.A2(n_27486),
+	.B1(n_27808),
+	.X(n_27852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g744103 (
+	.A1(n_33116),
+	.A2(n_27486),
+	.B1(n_27808),
+	.X(n_27851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g744104 (
+	.A1(n_33115),
+	.A2(n_27486),
+	.B1(n_27808),
+	.X(n_27850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g744105 (
+	.A1(n_33114),
+	.A2(n_27486),
+	.B1(n_27808),
+	.X(n_27849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g744106 (
+	.A1(n_33113),
+	.A2(n_27486),
+	.B1(n_27808),
+	.X(n_27848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g744107 (
+	.A1(n_33112),
+	.A2(n_27486),
+	.B1(n_27808),
+	.X(n_27847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g744108 (
+	.A1(n_33111),
+	.A2(n_27486),
+	.B1(n_27808),
+	.X(n_27846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g744109 (
+	.A1(n_33110),
+	.A2(n_27486),
+	.B1(n_27808),
+	.X(n_27845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g744110 (
+	.A1(n_33109),
+	.A2(n_27486),
+	.B1(n_27808),
+	.X(n_27844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g744111 (
+	.A1(n_33108),
+	.A2(n_27486),
+	.B1(n_27808),
+	.X(n_27843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g744112 (
+	.A1(n_33107),
+	.A2(n_27486),
+	.B1(n_27808),
+	.X(n_27842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g744113 (
+	.A1(n_33106),
+	.A2(n_27486),
+	.B1(n_27808),
+	.X(n_27841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g744114 (
+	.A1(n_33105),
+	.A2(n_27486),
+	.B1(n_27808),
+	.X(n_27840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g744115 (
+	.A1(n_33104),
+	.A2(n_27486),
+	.B1(n_27808),
+	.X(n_27839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g744116 (
+	.A1(n_33103),
+	.A2(n_27486),
+	.B1(n_27808),
+	.X(n_27838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g744117 (
+	.A1(n_33101),
+	.A2(n_27486),
+	.B1(n_27808),
+	.X(n_27837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g744118 (
+	.A1(n_33100),
+	.A2(n_27486),
+	.B1(n_27808),
+	.X(n_27836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g744119 (
+	.A1(n_29891),
+	.A2(brqrv_top_brqrv_dbg_sbdata0_reg[0]),
+	.B1(n_29892),
+	.B2(brqrv_top_brqrv_dbg_sbdata1_reg[0]),
+	.C1(n_27738),
+	.Y(n_27835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g744120 (
+	.A1(n_33097),
+	.A2(n_27486),
+	.B1(n_27808),
+	.X(n_27834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g744121 (
+	.A1(n_33096),
+	.A2(n_27486),
+	.B1(n_27808),
+	.X(n_27833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g744122 (
+	.A1(brqrv_top_brqrv_exu_i0_rs2_d[4]),
+	.A2(n_27486),
+	.B1(n_27808),
+	.X(n_27832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g744123 (
+	.A1(brqrv_top_brqrv_exu_i0_rs2_d[3]),
+	.A2(n_27486),
+	.B1(n_27808),
+	.X(n_27831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g744124 (
+	.A1(brqrv_top_brqrv_exu_i0_rs2_d[2]),
+	.A2(n_27486),
+	.B1(n_27808),
+	.X(n_27830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g744125 (
+	.A1(brqrv_top_brqrv_exu_i0_rs2_d[0]),
+	.A2(n_27486),
+	.B1(n_27808),
+	.X(n_27829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g744126 (
+	.A1(brqrv_top_brqrv_dec_decode_x_d[16]),
+	.A2(n_26110),
+	.B1(n_26328),
+	.C1(n_26555),
+	.D1(n_27612),
+	.Y(n_27828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g744127 (
+	.A1(n_27742),
+	.A2(n_25210),
+	.B1(n_25387),
+	.Y(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_final_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g744128 (
+	.A(n_27816),
+	.B(n_31728),
+	.C(brqrv_top_brqrv_dec_decode_cam_raw[39]),
+	.Y(n_27827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g744129 (
+	.A(n_25432),
+	.B(n_27618),
+	.C(n_24422),
+	.D(n_24162),
+	.Y(brqrv_top_brqrv_active_state), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g744130 (
+	.A1(n_26707),
+	.A2(brqrv_top_brqrv_lsu_lsu_addr_m[11]),
+	.B1(n_27767),
+	.Y(n_27826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g744131 (
+	.A(n_27811),
+	.B(n_32635),
+	.C(brqrv_top_brqrv_dec_decode_cam_raw[29]),
+	.Y(n_27825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g744133 (
+	.A1(n_26697),
+	.A2(brqrv_top_brqrv_lsu_end_addr_m[10]),
+	.B1(n_27765),
+	.Y(n_27824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g744134 (
+	.A(n_24017),
+	.B(n_27569),
+	.C(n_27739),
+	.Y(n_27823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g744135 (
+	.A1(n_27608),
+	.A2(n_25298),
+	.B1(brqrv_top_brqrv_dec_tlu_flush_lower_r),
+	.B2(n_30466),
+	.C1(n_25385),
+	.Y(brqrv_top_brqrv_dec_decode_pause_state_in), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g744136 (
+	.A1(n_24246),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[30]),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[9]),
+	.B2(n_24469),
+	.C1(n_27799),
+	.Y(n_27822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g744137 (
+	.A1(brqrv_top_brqrv_ifu_ifc_fetch_req_f),
+	.A2(n_31563),
+	.B1(n_25741),
+	.C1(n_25098),
+	.D1(n_27780),
+	.Y(brqrv_top_brqrv_ifu_ifc_fbwrite_ff_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g744138 (
+	.A(n_27082),
+	.B(n_27101),
+	.C(n_27562),
+	.D(n_27745),
+	.Y(n_27821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744139 (
+	.A1(n_26707),
+	.A2(n_33385),
+	.B1(n_26697),
+	.B2(n_33384),
+	.C1(n_27744),
+	.Y(n_27820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_1 g744140 (
+	.A_N(n_27507),
+	.B(n_27636),
+	.C(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addr_external_d),
+	.D(brqrv_top_brqrv_lsu_lsu_pkt_d[0]),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_is_sideeffects_d), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g744141 (
+	.A(n_27489),
+	.B(n_27222),
+	.C(n_27762),
+	.Y(brqrv_top_brqrv_dbg_n_4598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g744142 (
+	.A1(n_26001),
+	.A2(n_27654),
+	.B1(brqrv_top_brqrv_lsu_stbuf_reqvld_any),
+	.C1(n_27752),
+	.Y(n_27862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g744143 (
+	.A(n_27819),
+	.B(n_27600),
+	.X(n_27861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g744144 (
+	.A(n_27817),
+	.Y(n_27816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g744145 (
+	.A(n_27815),
+	.Y(n_27814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g744146 (
+	.A(n_27813),
+	.Y(n_27812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g744147 (
+	.A(n_27811),
+	.Y(n_27810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g744149 (
+	.A(n_27807),
+	.Y(n_27806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g744150 (
+	.A(n_27805),
+	.Y(n_27804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g744151 (
+	.A(n_27803),
+	.Y(n_27802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g744152 (
+	.A(n_27801),
+	.Y(n_27800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g744153 (
+	.A(n_26887),
+	.B(n_27546),
+	.C(n_25351),
+	.D(n_25255),
+	.Y(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g744154 (
+	.A1(n_27566),
+	.A2(n_26242),
+	.A3(n_25118),
+	.B1(n_26098),
+	.Y(brqrv_top_brqrv_dec_decode_trap_r_ff_dff_left_final_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g744155 (
+	.A1(brqrv_top_brqrv_dbg_data1_reg[18]),
+	.A2(n_27034),
+	.B1(n_26412),
+	.C1(n_27615),
+	.X(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g744156 (
+	.A1(n_27584),
+	.A2(n_24028),
+	.B1(n_27756),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_mexintpend_in), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744157 (
+	.A(n_27712),
+	.B(n_26408),
+	.Y(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g744158 (
+	.A1(n_24469),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[9]),
+	.B1(n_25187),
+	.C1(n_27563),
+	.D1(n_27740),
+	.Y(n_27799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g744160 (
+	.A1(brqrv_top_brqrv_dbg_sbaddress0_reg[13]),
+	.A2(n_26005),
+	.B1(n_29891),
+	.B2(brqrv_top_brqrv_dbg_sbdata0_reg[13]),
+	.C1(n_27730),
+	.X(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g744161 (
+	.A(n_27574),
+	.B(n_27340),
+	.C(n_26405),
+	.D(n_25818),
+	.Y(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g744162 (
+	.A1(brqrv_top_brqrv_dbg_sbaddress0_reg[19]),
+	.A2(n_26005),
+	.B1(n_29891),
+	.B2(brqrv_top_brqrv_dbg_sbdata0_reg[19]),
+	.C1(n_27732),
+	.X(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g744163 (
+	.A(n_25957),
+	.B(n_25281),
+	.C(n_27583),
+	.D(n_27497),
+	.X(brqrv_top_brqrv_dec_decode_d_t[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_2 g744164 (
+	.A0(brqrv_top_brqrv_exu_i0_predict_p_d[54]),
+	.A1(brqrv_top_brqrv_i0_brp[37]),
+	.S(n_27606),
+	.X(brqrv_top_brqrv_exu_i0_predict_p_d[51]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g744165 (
+	.A1(brqrv_top_brqrv_exu_i0_branch_x),
+	.A2(n_26089),
+	.B1(n_27176),
+	.C1(n_27178),
+	.D1(n_27579),
+	.Y(n_27798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g744166 (
+	.A1(brqrv_top_brqrv_dbg_sb_state[3]),
+	.A2(n_25189),
+	.B1(n_27506),
+	.C1(n_26106),
+	.D1(n_26401),
+	.Y(brqrv_top_brqrv_dbg_n_4582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g744167 (
+	.A(brqrv_top_brqrv_dbg_command_reg[14]),
+	.B(brqrv_top_brqrv_dbg_command_reg[13]),
+	.C(brqrv_top_brqrv_dbg_command_reg[15]),
+	.D(n_39354),
+	.Y(n_27797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g744168 (
+	.A1(n_27656),
+	.A2(brqrv_top_brqrv_dma_ctrl_RspPtr[1]),
+	.B1(brqrv_top_brqrv_dma_ctrl_fifo_reset[1]),
+	.X(brqrv_top_brqrv_dma_ctrl_RspPtr_dff_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g744169 (
+	.A1(n_27656),
+	.A2(brqrv_top_brqrv_dma_ctrl_RspPtr[2]),
+	.B1(brqrv_top_brqrv_dma_ctrl_fifo_reset[3]),
+	.X(brqrv_top_brqrv_dma_ctrl_RspPtr_dff_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g744170 (
+	.A1(brqrv_top_brqrv_exu_i0_rs1_d[28]),
+	.A2(n_33123),
+	.B1(n_27759),
+	.Y(n_27796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744171 (
+	.A(n_27605),
+	.B(n_27754),
+	.Y(brqrv_top_brqrv_dbg_sbcs_error_reg_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744172 (
+	.A(n_27605),
+	.B(n_27753),
+	.Y(brqrv_top_brqrv_dbg_sbcs_error_reg_n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g744173 (
+	.A1(brqrv_top_brqrv_exu_i0_rs1_d[30]),
+	.A2(n_33127),
+	.B1(n_27759),
+	.Y(n_27795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g744174 (
+	.A(brqrv_top_brqrv_dbg_cmd_write),
+	.B(n_27737),
+	.Y(n_27819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g744175 (
+	.A(n_24363),
+	.B(brqrv_top_brqrv_dbg_abstractcs_reg[12]),
+	.C(n_27617),
+	.Y(n_27818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744176 (
+	.A1(n_27588),
+	.A2(n_30711),
+	.B1(n_26807),
+	.B2(n_25415),
+	.C1(n_26781),
+	.Y(n_27817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g744177 (
+	.A(n_27717),
+	.B(n_26085),
+	.Y(n_27815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g744178 (
+	.A(n_27750),
+	.B(n_25394),
+	.Y(n_27813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g744179 (
+	.A(n_27749),
+	.B(n_26367),
+	.Y(n_27811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g744180 (
+	.A(n_27759),
+	.B(n_39129),
+	.X(n_27809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744181 (
+	.A(n_25436),
+	.B(n_27758),
+	.Y(n_27808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g744182 (
+	.A(n_27294),
+	.B(n_27763),
+	.Y(n_27807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g744183 (
+	.A(n_27294),
+	.B(n_27761),
+	.Y(n_27805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g744184 (
+	.A(n_27293),
+	.B(n_27763),
+	.Y(n_27803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g744185 (
+	.A(n_27293),
+	.B(n_27761),
+	.Y(n_27801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g744186 (
+	.A(n_27783),
+	.Y(n_27794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g744187 (
+	.A(n_27782),
+	.Y(n_27793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g744188 (
+	.A(n_27781),
+	.Y(n_27792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g744189 (
+	.A(n_27779),
+	.Y(n_27791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g744190 (
+	.A(n_27778),
+	.Y(n_27790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g744191 (
+	.A(n_27777),
+	.Y(n_27789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g744192 (
+	.A(n_27776),
+	.Y(n_27788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g744193 (
+	.A(n_27775),
+	.Y(n_27787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g744194 (
+	.A(n_27774),
+	.Y(n_27786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g744195 (
+	.A(n_27773),
+	.Y(n_27785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g744196 (
+	.A(brqrv_top_brqrv_dma_ctrl_dma_dbg_cmd_done_q),
+	.B(brqrv_top_brqrv_dma_ctrl_n_2635),
+	.C(n_27578),
+	.D(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clken),
+	.Y(n_27784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g744197 (
+	.A1(brqrv_top_brqrv_dbg_sbaddress0_reg[16]),
+	.A2(n_26005),
+	.B1(n_29891),
+	.B2(brqrv_top_brqrv_dbg_sbdata0_reg[16]),
+	.C1(n_27731),
+	.X(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g744198 (
+	.A1(brqrv_top_brqrv_dbg_sbaddress0_reg[8]),
+	.A2(n_26005),
+	.B1(n_29891),
+	.B2(brqrv_top_brqrv_dbg_sbdata0_reg[8]),
+	.C1(n_27729),
+	.X(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g744199 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[157]),
+	.A2(n_27597),
+	.B1(n_26336),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[317]),
+	.C1(n_27598),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[221]),
+	.Y(n_27783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g744200 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[92]),
+	.A2(n_27599),
+	.B1(n_26336),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[316]),
+	.C1(n_27595),
+	.C2(brqrv_top_brqrv_dma_ctrl_n_1139),
+	.Y(n_27782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g744201 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[90]),
+	.A2(n_27599),
+	.B1(n_26336),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[314]),
+	.C1(n_27595),
+	.C2(brqrv_top_brqrv_dma_ctrl_n_1129),
+	.Y(n_27781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g744202 (
+	.A(n_25832),
+	.B(n_27520),
+	.C(n_26625),
+	.D(n_27733),
+	.Y(n_27780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g744203 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[214]),
+	.A2(n_27598),
+	.B1(n_26336),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[310]),
+	.C1(n_27597),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[150]),
+	.Y(n_27779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g744204 (
+	.A1(brqrv_top_brqrv_dma_ctrl_n_1104),
+	.A2(n_27595),
+	.B1(n_26336),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[309]),
+	.C1(n_27599),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[85]),
+	.Y(n_27778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g744205 (
+	.A1(brqrv_top_brqrv_dma_ctrl_n_1089),
+	.A2(n_27595),
+	.B1(n_26336),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[306]),
+	.C1(n_27599),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[82]),
+	.Y(n_27777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g744206 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[209]),
+	.A2(n_27598),
+	.B1(n_26340),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[241]),
+	.C1(n_27597),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[145]),
+	.Y(n_27776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g744207 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[78]),
+	.A2(n_27599),
+	.B1(n_26336),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[302]),
+	.C1(n_27595),
+	.C2(brqrv_top_brqrv_dma_ctrl_n_1069),
+	.Y(n_27775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g744208 (
+	.A1(brqrv_top_brqrv_dma_ctrl_n_1064),
+	.A2(n_27595),
+	.B1(n_26336),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[301]),
+	.C1(n_27599),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[77]),
+	.Y(n_27774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g744209 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[200]),
+	.A2(n_27598),
+	.B1(n_26340),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[232]),
+	.C1(n_27597),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[136]),
+	.Y(n_27773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g744210 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[198]),
+	.A2(n_27598),
+	.B1(n_26336),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[294]),
+	.C1(n_27597),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[134]),
+	.Y(n_27772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g744211 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[197]),
+	.A2(n_27598),
+	.B1(n_26336),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[293]),
+	.C1(n_27597),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[133]),
+	.Y(n_27771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g744212 (
+	.A1(brqrv_top_brqrv_dma_ctrl_n_1014),
+	.A2(n_27595),
+	.B1(n_26336),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[291]),
+	.C1(n_27599),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[67]),
+	.Y(n_27770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g744213 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[66]),
+	.A2(n_27599),
+	.B1(n_26340),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[226]),
+	.C1(n_27595),
+	.C2(brqrv_top_brqrv_dma_ctrl_n_1009),
+	.Y(n_27769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g744214 (
+	.A1(brqrv_top_brqrv_dma_ctrl_n_999),
+	.A2(n_27595),
+	.B1(n_26336),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[288]),
+	.C1(n_27599),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[64]),
+	.Y(n_27768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g744215 (
+	.A1(brqrv_top_brqrv_lsu_lsu_addr_m[10]),
+	.A2(n_26697),
+	.B1(n_27734),
+	.Y(n_27767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g744216 (
+	.A1(n_27602),
+	.A2(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[3]),
+	.B1(n_27727),
+	.Y(n_27766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g744217 (
+	.A1(brqrv_top_brqrv_dma_ctrl_RspPtr[0]),
+	.A2(n_26011),
+	.A3(n_27600),
+	.B1(n_24357),
+	.B2(brqrv_top_brqrv_dma_dbg_cmd_done),
+	.Y(brqrv_top_brqrv_dma_ctrl_RspPtr_dff_n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g744218 (
+	.A1(brqrv_top_brqrv_lsu_end_addr_m[11]),
+	.A2(n_26707),
+	.B1(n_27265),
+	.C1(n_27558),
+	.D1(n_27625),
+	.Y(n_27765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g744219 (
+	.A1(n_26956),
+	.A2(n_27489),
+	.B1(n_27755),
+	.Y(brqrv_top_brqrv_dbg_sbcs_error_reg_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g744220 (
+	.A1(n_27037),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[29]),
+	.B1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.B2(brqrv_top_brqrv_lsu_error_pkt_r[31]),
+	.C1(n_27624),
+	.X(brqrv_top_brqrv_dec_tlu_mtval_ns[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g744221 (
+	.A1(n_27037),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[27]),
+	.B1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.B2(brqrv_top_brqrv_lsu_error_pkt_r[29]),
+	.C1(n_27623),
+	.X(brqrv_top_brqrv_dec_tlu_mtval_ns[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g744222 (
+	.A1(n_27037),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[26]),
+	.B1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.B2(brqrv_top_brqrv_lsu_error_pkt_r[28]),
+	.C1(n_27622),
+	.X(brqrv_top_brqrv_dec_tlu_mtval_ns[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g744223 (
+	.A1(n_27037),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[25]),
+	.B1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.B2(brqrv_top_brqrv_lsu_error_pkt_r[27]),
+	.C1(n_27621),
+	.X(brqrv_top_brqrv_dec_tlu_mtval_ns[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g744224 (
+	.A1(n_27037),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[12]),
+	.B1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.B2(brqrv_top_brqrv_lsu_error_pkt_r[14]),
+	.C1(n_27619),
+	.X(brqrv_top_brqrv_dec_tlu_mtval_ns[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g744225 (
+	.A1(brqrv_top_brqrv_dbg_sbaddress0_reg[21]),
+	.A2(n_26005),
+	.B1(n_29892),
+	.B2(brqrv_top_brqrv_dbg_sbdata1_reg[21]),
+	.C1(n_27635),
+	.X(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g744226 (
+	.A1(brqrv_top_brqrv_dbg_sbaddress0_reg[15]),
+	.A2(n_26005),
+	.B1(n_29892),
+	.B2(brqrv_top_brqrv_dbg_sbdata1_reg[15]),
+	.C1(n_27634),
+	.X(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g744227 (
+	.A1(brqrv_top_brqrv_dbg_sbaddress0_reg[14]),
+	.A2(n_26005),
+	.B1(n_29892),
+	.B2(brqrv_top_brqrv_dbg_sbdata1_reg[14]),
+	.C1(n_27633),
+	.X(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g744228 (
+	.A1(n_29891),
+	.A2(brqrv_top_brqrv_dbg_sbdata0_reg[9]),
+	.B1(brqrv_top_brqrv_dbg_command_reg[9]),
+	.B2(n_26341),
+	.C1(n_27632),
+	.Y(n_27764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g744229 (
+	.A1(n_24266),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[14]),
+	.B1(n_25867),
+	.C1(n_25592),
+	.D1(n_27613),
+	.Y(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_final_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g744230 (
+	.A(n_27762),
+	.Y(brqrv_top_brqrv_dbg_n_4595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g744231 (
+	.A(n_27759),
+	.Y(n_27758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g744232 (
+	.A(n_27555),
+	.B(n_27179),
+	.C(n_27256),
+	.Y(brqrv_top_brqrv_dec_tlu_mtval_ns[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744233 (
+	.A(n_27653),
+	.B(n_26109),
+	.Y(n_27757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g744234 (
+	.A1(n_31534),
+	.A2(n_25872),
+	.B1(n_31535),
+	.B2(n_25873),
+	.C1(n_27521),
+	.Y(n_27756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g744235 (
+	.A1(n_26624),
+	.A2(n_27601),
+	.B1(brqrv_top_brqrv_dbg_sbcs_reg[14]),
+	.Y(n_27755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g744236 (
+	.A1(n_26623),
+	.A2(n_27601),
+	.B1(brqrv_top_brqrv_dbg_sbcs_reg[13]),
+	.Y(n_27754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g744237 (
+	.A1(n_26622),
+	.A2(n_27601),
+	.B1(brqrv_top_brqrv_dbg_sbcs_reg[12]),
+	.Y(n_27753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g744238 (
+	.A1(n_32339),
+	.A2(n_25336),
+	.B1(brqrv_top_brqrv_dma_ctrl_fifo_reset[4]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_error_dff_din_new [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744239 (
+	.A(n_27654),
+	.B(n_27502),
+	.Y(n_27752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744240 (
+	.A(n_27616),
+	.B(n_27653),
+	.Y(n_27751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g744241 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_reset[1]),
+	.B(n_25863),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_error_dff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g744242 (
+	.A1(n_32333),
+	.A2(n_25109),
+	.B1(brqrv_top_brqrv_dma_ctrl_fifo_reset[2]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_error_dff_din_new [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g744243 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_reset[3]),
+	.B(n_25860),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_error_dff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g744244 (
+	.A1(n_27585),
+	.A2(n_30711),
+	.B1(n_26572),
+	.B2(n_25415),
+	.Y(n_27750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g744245 (
+	.A1(n_27586),
+	.A2(n_30711),
+	.B1(n_26814),
+	.B2(n_25415),
+	.Y(n_27749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g744246 (
+	.A1(n_32335),
+	.A2(n_25337),
+	.B1(brqrv_top_brqrv_dma_ctrl_fifo_reset[1]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_error_dff_din_new [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g744247 (
+	.A1(n_32341),
+	.A2(n_25312),
+	.B1(brqrv_top_brqrv_dma_ctrl_fifo_reset[0]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_error_dff_din_new [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g744248 (
+	.A1(n_32337),
+	.A2(n_25314),
+	.B1(brqrv_top_brqrv_dma_ctrl_fifo_reset[3]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_error_dff_din_new [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g744249 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_reset[0]),
+	.B(n_25861),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_error_dff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g744250 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_reset[4]),
+	.B(n_25862),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_error_dff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g744251 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_reset[2]),
+	.B(n_25859),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_error_dff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744252 (
+	.A1(n_34060),
+	.A2(n_27567),
+	.B1(n_27010),
+	.B2(n_26010),
+	.Y(n_27748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g744253 (
+	.A(n_26875),
+	.B(n_27409),
+	.C(n_26065),
+	.D(n_27270),
+	.Y(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g744254 (
+	.A(n_27408),
+	.B(n_27337),
+	.C(n_25351),
+	.D(n_25240),
+	.Y(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g744255 (
+	.A(n_26871),
+	.B(n_27407),
+	.C(n_25351),
+	.D(n_27269),
+	.Y(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g744256 (
+	.A(n_27410),
+	.B(n_27339),
+	.C(n_26307),
+	.D(n_26827),
+	.Y(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g744257 (
+	.A1(n_27460),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[2]),
+	.A3(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[1]),
+	.B1(n_27333),
+	.Y(n_27747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744258 (
+	.A1(n_34139),
+	.A2(n_27567),
+	.B1(brqrv_top_brqrv_exu_i_alu_pcout[16]),
+	.B2(n_467),
+	.Y(n_27746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g744259 (
+	.A1(brqrv_top_brqrv_lsu_lsu_addr_r[11]),
+	.A2(n_26707),
+	.B1(n_27628),
+	.Y(n_27745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744260 (
+	.A1(n_27592),
+	.A2(n_27429),
+	.B1(n_25979),
+	.B2(n_25457),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_full_spec), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g744261 (
+	.A1(n_24142),
+	.A2(n_27334),
+	.A3(brqrv_top_brqrv_dbg_abstractcs_reg[12]),
+	.B1(n_26671),
+	.Y(brqrv_top_brqrv_dbg_execute_command_ns), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g744262 (
+	.A(n_27083),
+	.B(n_27151),
+	.C(n_27571),
+	.D(n_27576),
+	.Y(n_27744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744263 (
+	.A1(n_26805),
+	.A2(n_27494),
+	.B1(n_27053),
+	.B2(n_31822),
+	.C1(n_27581),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_WrPtr1_m[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g744264 (
+	.A(n_25927),
+	.B(n_25621),
+	.C(n_27388),
+	.D(n_27426),
+	.Y(n_27743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g744265 (
+	.A(n_25925),
+	.B(n_25614),
+	.C(n_27387),
+	.D(n_27386),
+	.Y(n_27742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744266 (
+	.A1(n_34141),
+	.A2(n_27567),
+	.B1(brqrv_top_brqrv_exu_i_alu_pcout[18]),
+	.B2(n_467),
+	.Y(n_27741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g744267 (
+	.A1(brqrv_top_brqrv_dma_dccm_req),
+	.A2(n_27500),
+	.B1(n_24198),
+	.B2(n_33179),
+	.C1(n_25834),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g744268 (
+	.A1(brqrv_top_brqrv_dma_dccm_req),
+	.A2(n_27499),
+	.B1(n_24198),
+	.B2(n_33177),
+	.C1(n_25822),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g744269 (
+	.A1(brqrv_top_brqrv_dma_dccm_req),
+	.A2(n_27498),
+	.B1(n_24198),
+	.B2(n_33211),
+	.C1(n_25833),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g744270 (
+	.A1(n_24460),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[7]),
+	.B1(n_27637),
+	.Y(n_27740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g744271 (
+	.A1(n_27037),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[18]),
+	.B1(n_24027),
+	.B2(brqrv_top_brqrv_dec_tlu_n_5607),
+	.C1(n_27620),
+	.X(brqrv_top_brqrv_dec_tlu_mtval_ns[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g744272 (
+	.A1_N(\brqrv_top_brqrv_ifu_bpred.bp_leak_one_f_d1 ),
+	.A2_N(n_24480),
+	.B1(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[6]),
+	.B2(n_27603),
+	.Y(n_27739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g744273 (
+	.A(n_27335),
+	.B(n_27336),
+	.C(n_26918),
+	.D(n_26519),
+	.Y(n_27738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g744274 (
+	.A(n_27559),
+	.B(n_27177),
+	.C(n_27274),
+	.Y(brqrv_top_brqrv_dec_tlu_mtval_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g744275 (
+	.A(n_27556),
+	.B(n_27160),
+	.C(n_27277),
+	.Y(brqrv_top_brqrv_dec_tlu_mtval_ns[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744276 (
+	.A(n_27653),
+	.B(n_26125),
+	.Y(n_27737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g744277 (
+	.A(n_27553),
+	.B(n_27153),
+	.C(n_27282),
+	.Y(brqrv_top_brqrv_dec_tlu_mtval_ns[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g744278 (
+	.A(n_27552),
+	.B(n_27110),
+	.C(n_27264),
+	.Y(brqrv_top_brqrv_dec_tlu_mtval_ns[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g744279 (
+	.A(n_27551),
+	.B(n_27152),
+	.C(n_27275),
+	.Y(brqrv_top_brqrv_dec_tlu_mtval_ns[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g744280 (
+	.A(n_27550),
+	.B(n_27174),
+	.C(n_27260),
+	.Y(brqrv_top_brqrv_dec_tlu_mtval_ns[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g744281 (
+	.A(n_27549),
+	.B(n_27173),
+	.C(n_27284),
+	.Y(brqrv_top_brqrv_dec_tlu_mtval_ns[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g744282 (
+	.A(n_26657),
+	.B(n_27548),
+	.C(n_26458),
+	.Y(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g744283 (
+	.A(n_26656),
+	.B(n_27547),
+	.C(n_26457),
+	.Y(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g744284 (
+	.A(n_26655),
+	.B(n_27545),
+	.C(n_26456),
+	.Y(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g744285 (
+	.A(n_26654),
+	.B(n_27544),
+	.C(n_26455),
+	.Y(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g744286 (
+	.A(n_26653),
+	.B(n_27543),
+	.C(n_26454),
+	.Y(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g744287 (
+	.A(n_26652),
+	.B(n_27542),
+	.C(n_26453),
+	.Y(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g744288 (
+	.A(n_26651),
+	.B(n_27541),
+	.C(n_26467),
+	.Y(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g744289 (
+	.A1(n_29892),
+	.A2(brqrv_top_brqrv_dbg_sbdata1_reg[10]),
+	.B1(brqrv_top_brqrv_dbg_cmd_wrdata[10]),
+	.B2(n_27035),
+	.C1(n_27568),
+	.Y(n_27736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g744290 (
+	.A(n_26648),
+	.B(n_27535),
+	.C(n_26446),
+	.Y(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g744291 (
+	.A(n_26647),
+	.B(n_27534),
+	.C(n_26445),
+	.Y(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g744292 (
+	.A(n_26646),
+	.B(n_27533),
+	.C(n_26444),
+	.Y(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g744293 (
+	.A1(brqrv_top_brqrv_dbg_data1_reg[1]),
+	.A2(n_27034),
+	.B1(brqrv_top_brqrv_dbg_abstractauto_reg[1]),
+	.B2(n_26092),
+	.C1(n_27614),
+	.Y(n_27735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g744294 (
+	.A(n_27091),
+	.B(n_27089),
+	.C(n_27522),
+	.D(n_27572),
+	.Y(n_27734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g744295 (
+	.A1(n_24196),
+	.A2(brqrv_top_brqrv_ifu_ifc_fb_write_ns[2]),
+	.B1(n_27627),
+	.Y(n_27733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g744296 (
+	.A1(brqrv_top_brqrv_dbg_command_reg[19]),
+	.A2(n_26341),
+	.B1(n_26412),
+	.C1(n_27575),
+	.X(n_27732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g744297 (
+	.A(n_26649),
+	.B(n_27343),
+	.C(n_26408),
+	.D(n_27342),
+	.Y(n_27731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g744298 (
+	.A(n_26880),
+	.B(n_27341),
+	.C(n_26405),
+	.D(n_27272),
+	.Y(n_27730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g744299 (
+	.A(n_26876),
+	.B(n_27338),
+	.C(n_26392),
+	.D(n_27271),
+	.Y(n_27729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744300 (
+	.A1(n_27603),
+	.A2(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[6]),
+	.B1(n_27496),
+	.B2(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[1]),
+	.Y(n_27728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g744301 (
+	.A1_N(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[4]),
+	.A2_N(\brqrv_top_brqrv_ifu_bpred.bp_fghr_ns [4]),
+	.B1(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[4]),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_fghr_ns [4]),
+	.Y(n_27727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g744302 (
+	.A1_N(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[5]),
+	.A2_N(\brqrv_top_brqrv_ifu_bpred.bp_fghr_ns [5]),
+	.B1(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[5]),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_fghr_ns [5]),
+	.Y(n_27726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744303 (
+	.A1(n_34153),
+	.A2(n_27567),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[30]),
+	.B2(n_24298),
+	.Y(n_27725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744304 (
+	.A1(n_34152),
+	.A2(n_27567),
+	.B1(brqrv_top_brqrv_exu_i_alu_pcout[29]),
+	.B2(n_467),
+	.Y(n_27724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744305 (
+	.A1(n_34151),
+	.A2(n_27567),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[28]),
+	.B2(n_24298),
+	.Y(n_27723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744306 (
+	.A1(n_34150),
+	.A2(n_27567),
+	.B1(brqrv_top_brqrv_exu_i_alu_pcout[27]),
+	.B2(n_467),
+	.Y(n_27722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744307 (
+	.A1(n_34149),
+	.A2(n_27567),
+	.B1(brqrv_top_brqrv_exu_i_alu_pcout[26]),
+	.B2(n_467),
+	.Y(n_27721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744308 (
+	.A1(n_34148),
+	.A2(n_27567),
+	.B1(brqrv_top_brqrv_exu_i_alu_pcout[25]),
+	.B2(n_467),
+	.Y(n_27720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744309 (
+	.A1(n_34147),
+	.A2(n_27567),
+	.B1(brqrv_top_brqrv_exu_i_alu_pcout[24]),
+	.B2(n_467),
+	.Y(n_27719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744310 (
+	.A1(n_34146),
+	.A2(n_27567),
+	.B1(brqrv_top_brqrv_exu_i_alu_pcout[23]),
+	.B2(n_467),
+	.Y(n_27718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g744311 (
+	.A1(n_27587),
+	.A2(n_30711),
+	.B1(n_26809),
+	.B2(n_25415),
+	.Y(n_27717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744312 (
+	.A1(n_34145),
+	.A2(n_27567),
+	.B1(brqrv_top_brqrv_exu_i_alu_pcout[22]),
+	.B2(n_467),
+	.Y(n_27716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744313 (
+	.A1(n_34144),
+	.A2(n_27567),
+	.B1(brqrv_top_brqrv_exu_i_alu_pcout[21]),
+	.B2(n_467),
+	.Y(n_27715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744314 (
+	.A1(n_34143),
+	.A2(n_27567),
+	.B1(brqrv_top_brqrv_exu_i_alu_pcout[20]),
+	.B2(n_467),
+	.Y(n_27714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744315 (
+	.A1(n_34142),
+	.A2(n_27567),
+	.B1(brqrv_top_brqrv_exu_i_alu_pcout[19]),
+	.B2(n_467),
+	.Y(n_27713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744316 (
+	.A(n_27655),
+	.B(n_27296),
+	.Y(n_27763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g744317 (
+	.A1(brqrv_top_brqrv_dbg_n_4554),
+	.A2(n_27573),
+	.B1(n_26423),
+	.Y(n_27762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744318 (
+	.A(n_27655),
+	.B(n_27297),
+	.Y(n_27761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g744319 (
+	.A(brqrv_top_dccm_clk_override),
+	.B(n_27654),
+	.Y(n_27760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g744320 (
+	.A(n_23065),
+	.B(n_27577),
+	.C(n_31759),
+	.Y(n_27759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g744321 (
+	.A1(brqrv_top_brqrv_dbg_sbaddress0_reg[22]),
+	.A2(n_26005),
+	.B1(n_29892),
+	.B2(brqrv_top_brqrv_dbg_sbdata1_reg[22]),
+	.C1(n_27591),
+	.X(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g744322 (
+	.A1(brqrv_top_brqrv_dbg_sbaddress0_reg[20]),
+	.A2(n_26005),
+	.B1(n_29892),
+	.B2(brqrv_top_brqrv_dbg_sbdata1_reg[20]),
+	.C1(n_27590),
+	.X(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g744323 (
+	.A1(n_29891),
+	.A2(brqrv_top_brqrv_dbg_sbdata0_reg[17]),
+	.B1(brqrv_top_brqrv_dbg_data1_reg[17]),
+	.B2(n_27034),
+	.C1(n_27589),
+	.Y(n_27712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744324 (
+	.A1(n_27596),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[287]),
+	.B1(n_26334),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1314),
+	.Y(n_27711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744325 (
+	.A1(n_27595),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1154),
+	.B1(n_27599),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[95]),
+	.Y(n_27710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744326 (
+	.A1(n_27596),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[286]),
+	.B1(n_26334),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1309),
+	.Y(n_27709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744327 (
+	.A1(n_27595),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1149),
+	.B1(n_27599),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[94]),
+	.Y(n_27708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744328 (
+	.A1(n_27595),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1144),
+	.B1(n_26340),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[253]),
+	.Y(n_27707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744329 (
+	.A1(n_27598),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[220]),
+	.B1(n_26340),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[252]),
+	.Y(n_27706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744330 (
+	.A1(n_27596),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[283]),
+	.B1(n_26334),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1294),
+	.Y(n_27705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744331 (
+	.A1(n_27595),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1134),
+	.B1(n_27599),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[91]),
+	.Y(n_27704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744332 (
+	.A1(n_27598),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[218]),
+	.B1(n_26340),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[250]),
+	.Y(n_27703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744333 (
+	.A1(n_27596),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[281]),
+	.B1(n_26334),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1284),
+	.Y(n_27702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744334 (
+	.A1(n_27597),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[153]),
+	.B1(n_27598),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[217]),
+	.Y(n_27701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g744335 (
+	.A1(n_27594),
+	.A2(n_26670),
+	.B1(n_27484),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[6]),
+	.X(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[6]_295 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744336 (
+	.A1(n_27596),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[280]),
+	.B1(n_26334),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1279),
+	.Y(n_27700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744337 (
+	.A1(n_27595),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1119),
+	.B1(n_27599),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[88]),
+	.Y(n_27699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g744338 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[0]),
+	.A2(n_27593),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_298),
+	.B2(n_27483),
+	.Y(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[0]_289 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g744339 (
+	.A1(n_33595),
+	.A2(n_27594),
+	.B1(n_27484),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[31]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g744340 (
+	.A1_N(n_27594),
+	.A2_N(n_33594),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_328),
+	.B2(n_27483),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g744341 (
+	.A1(n_33593),
+	.A2(n_27594),
+	.B1(n_27484),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[29]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g744342 (
+	.A1(n_33592),
+	.A2(n_27594),
+	.B1(n_27484),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[28]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g744343 (
+	.A1(n_33591),
+	.A2(n_27594),
+	.B1(n_27484),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[27]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g744344 (
+	.A1(n_27594),
+	.A2(n_33590),
+	.B1(n_27484),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[26]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g744345 (
+	.A1(n_27594),
+	.A2(n_33589),
+	.B1(n_27484),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[25]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g744346 (
+	.A1(n_27594),
+	.A2(n_33588),
+	.B1(n_27484),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[24]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g744347 (
+	.A1(n_27594),
+	.A2(n_33587),
+	.B1(n_27484),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[23]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744348 (
+	.A1(n_27596),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[279]),
+	.B1(n_26334),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1274),
+	.Y(n_27698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g744349 (
+	.A1(n_27594),
+	.A2(n_33586),
+	.B1(n_27484),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[22]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g744350 (
+	.A1(n_27594),
+	.A2(n_33585),
+	.B1(n_27484),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[21]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744351 (
+	.A1(n_27595),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1114),
+	.B1(n_27599),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[87]),
+	.Y(n_27697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g744352 (
+	.A1(n_27594),
+	.A2(n_33584),
+	.B1(n_27484),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[20]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g744353 (
+	.A1(n_27594),
+	.A2(n_33583),
+	.B1(n_27484),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[19]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g744354 (
+	.A1(n_27594),
+	.A2(n_33582),
+	.B1(n_27484),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[18]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g744355 (
+	.A1(n_27594),
+	.A2(n_33581),
+	.B1(n_27484),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[17]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g744356 (
+	.A1(n_27594),
+	.A2(n_33580),
+	.B1(n_27484),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[16]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g744357 (
+	.A1(n_27594),
+	.A2(n_33579),
+	.B1(n_27484),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[15]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g744358 (
+	.A1(n_27594),
+	.A2(n_33578),
+	.B1(n_27484),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[14]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g744359 (
+	.A1(n_27594),
+	.A2(n_33577),
+	.B1(n_27484),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[13]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g744360 (
+	.A1(n_27594),
+	.A2(n_33576),
+	.B1(n_27484),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[12]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g744361 (
+	.A1(n_27594),
+	.A2(n_33575),
+	.B1(n_27484),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[11]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744362 (
+	.A1(n_27595),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1109),
+	.B1(n_26340),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[246]),
+	.Y(n_27696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g744363 (
+	.A1(n_27594),
+	.A2(n_33574),
+	.B1(n_27484),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[10]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g744364 (
+	.A1(n_27594),
+	.A2(n_33573),
+	.B1(n_27484),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[9]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g744365 (
+	.A1(n_27594),
+	.A2(n_33572),
+	.B1(n_27484),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[8]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744366 (
+	.A1(n_27597),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[149]),
+	.B1(n_26340),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[245]),
+	.Y(n_27695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744367 (
+	.A1(n_27596),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[276]),
+	.B1(n_26334),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1259),
+	.Y(n_27694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744368 (
+	.A1(n_27597),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[148]),
+	.B1(n_27598),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[212]),
+	.Y(n_27693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744369 (
+	.A1(n_27596),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[275]),
+	.B1(n_26334),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1254),
+	.Y(n_27692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744370 (
+	.A1(n_27595),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1094),
+	.B1(n_27599),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[83]),
+	.Y(n_27691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744371 (
+	.A1(n_27597),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[146]),
+	.B1(n_26340),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[242]),
+	.Y(n_27690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744372 (
+	.A1(n_27599),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[81]),
+	.B1(n_26336),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[305]),
+	.Y(n_27689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744373 (
+	.A1(n_27596),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[272]),
+	.B1(n_26334),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1239),
+	.Y(n_27688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744374 (
+	.A1(n_27597),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[144]),
+	.B1(n_27598),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[208]),
+	.Y(n_27687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744375 (
+	.A1(n_27596),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[271]),
+	.B1(n_26334),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1234),
+	.Y(n_27686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744376 (
+	.A1(n_27597),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[143]),
+	.B1(n_27598),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[207]),
+	.Y(n_27685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744377 (
+	.A1(n_27598),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[206]),
+	.B1(n_26340),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[238]),
+	.Y(n_27684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744378 (
+	.A1(n_27597),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[141]),
+	.B1(n_26340),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[237]),
+	.Y(n_27683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744379 (
+	.A1(n_27596),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[268]),
+	.B1(n_26334),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1219),
+	.Y(n_27682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744380 (
+	.A1(n_27597),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[140]),
+	.B1(n_27598),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[204]),
+	.Y(n_27681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744381 (
+	.A1(n_27596),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[267]),
+	.B1(n_26334),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1214),
+	.Y(n_27680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744382 (
+	.A1(n_27597),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[139]),
+	.B1(n_27598),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[203]),
+	.Y(n_27679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744383 (
+	.A1(n_27596),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[266]),
+	.B1(n_26334),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1209),
+	.Y(n_27678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744384 (
+	.A1(n_27595),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1049),
+	.B1(n_27599),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[74]),
+	.Y(n_27677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744385 (
+	.A1(n_27596),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[265]),
+	.B1(n_26334),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1204),
+	.Y(n_27676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744386 (
+	.A1(n_27595),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1044),
+	.B1(n_27599),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[73]),
+	.Y(n_27675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744387 (
+	.A1(n_27595),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1039),
+	.B1(n_26336),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[296]),
+	.Y(n_27674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744388 (
+	.A1(n_27596),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[263]),
+	.B1(n_26334),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1194),
+	.Y(n_27673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744389 (
+	.A1(n_27595),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1034),
+	.B1(n_27599),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[71]),
+	.Y(n_27672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744390 (
+	.A1(n_27596),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[262]),
+	.B1(n_26334),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1189),
+	.Y(n_27671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744391 (
+	.A1(n_27599),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[70]),
+	.B1(n_26340),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[230]),
+	.Y(n_27670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744392 (
+	.A1(n_27596),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[261]),
+	.B1(n_26334),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1184),
+	.Y(n_27669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744393 (
+	.A1(n_27595),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1024),
+	.B1(n_26340),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[229]),
+	.Y(n_27668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744394 (
+	.A1(n_27596),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[260]),
+	.B1(n_26334),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1179),
+	.Y(n_27667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744395 (
+	.A1(n_27597),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[132]),
+	.B1(n_27598),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[196]),
+	.Y(n_27666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744396 (
+	.A1(n_27598),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[195]),
+	.B1(n_26340),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[227]),
+	.Y(n_27665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744397 (
+	.A1(n_27596),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[259]),
+	.B1(n_26334),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1174),
+	.Y(n_27664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744398 (
+	.A1(n_27596),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[258]),
+	.B1(n_26334),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1169),
+	.Y(n_27663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744399 (
+	.A1(n_27598),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[194]),
+	.B1(n_26336),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[290]),
+	.Y(n_27662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744400 (
+	.A1(n_27596),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[257]),
+	.B1(n_26334),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1164),
+	.Y(n_27661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744401 (
+	.A1(n_27595),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1004),
+	.B1(n_27599),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[65]),
+	.Y(n_27660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744402 (
+	.A1(n_27596),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[256]),
+	.B1(n_26334),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1159),
+	.Y(n_27659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744403 (
+	.A1(n_27597),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[128]),
+	.B1(n_26340),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[224]),
+	.Y(n_27658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g744404 (
+	.A1(n_24237),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[14]),
+	.B1(n_25601),
+	.C1(n_25594),
+	.D1(n_27570),
+	.Y(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_final_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g744405 (
+	.A1(n_27087),
+	.A2(n_27593),
+	.B1(n_1304),
+	.B2(n_27483),
+	.Y(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[7]_296 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g744406 (
+	.A1(n_26860),
+	.A2(n_27593),
+	.B1(n_1295),
+	.B2(n_27483),
+	.Y(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[5]_294 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g744407 (
+	.A1(n_25800),
+	.A2(n_27593),
+	.B1(n_1701),
+	.B2(n_27483),
+	.Y(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[4]_293 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g744408 (
+	.A1(n_26859),
+	.A2(n_27593),
+	.B1(n_1702),
+	.B2(n_27483),
+	.Y(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[3]_292 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g744409 (
+	.A1(n_25799),
+	.A2(n_27593),
+	.B1(n_1703),
+	.B2(n_27483),
+	.Y(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[2]_291 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g744410 (
+	.A1(n_25567),
+	.A2(n_27593),
+	.B1(n_1704),
+	.B2(n_27483),
+	.Y(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[1]_290 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g744411 (
+	.A1(n_27081),
+	.A2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_inc_cout),
+	.B1(n_27593),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_n_288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744412 (
+	.A(n_27596),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_data[284]),
+	.Y(n_27652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744413 (
+	.A(n_27596),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_data[282]),
+	.Y(n_27651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744414 (
+	.A(n_27596),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_data[278]),
+	.Y(n_27650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744415 (
+	.A(n_27596),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_data[277]),
+	.Y(n_27649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744416 (
+	.A(n_27596),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_data[274]),
+	.Y(n_27648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744417 (
+	.A(n_27596),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_data[273]),
+	.Y(n_27647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744418 (
+	.A(n_27596),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_data[270]),
+	.Y(n_27646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744419 (
+	.A(n_27596),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_data[269]),
+	.Y(n_27645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744420 (
+	.A(n_27596),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_data[264]),
+	.Y(n_27644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744421 (
+	.A(n_27595),
+	.B(brqrv_top_brqrv_dma_ctrl_n_1029),
+	.Y(n_27643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744422 (
+	.A(n_27599),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_data[69]),
+	.Y(n_27642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744423 (
+	.A(n_27597),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_data[131]),
+	.Y(n_27641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744424 (
+	.A(n_27597),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_data[130]),
+	.Y(n_27640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744425 (
+	.A(n_27598),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_data[192]),
+	.Y(n_27639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744426 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_fghr_ns [3]),
+	.B(n_24183),
+	.Y(n_27638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g744428 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[20]),
+	.A2(n_24234),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_n_2294),
+	.C1(n_26903),
+	.D1(n_27442),
+	.Y(n_27637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744429 (
+	.A1(n_25981),
+	.A2(n_27112),
+	.B1(n_24351),
+	.B2(n_26626),
+	.C1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[31]),
+	.Y(n_27636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744430 (
+	.A(n_26885),
+	.B(n_27540),
+	.Y(n_27635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744431 (
+	.A(n_26884),
+	.B(n_27539),
+	.Y(n_27634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744432 (
+	.A(n_26883),
+	.B(n_27538),
+	.Y(n_27633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744433 (
+	.A(n_27536),
+	.B(n_26877),
+	.Y(n_27632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744434 (
+	.A1(n_26106),
+	.A2(n_27312),
+	.B1(n_31514),
+	.B2(n_26359),
+	.C1(n_26796),
+	.Y(brqrv_top_brqrv_dbg_n_4575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744435 (
+	.A(n_34140),
+	.B(n_27567),
+	.Y(n_27631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g744436 (
+	.A1(n_24109),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[34]),
+	.B1(n_25726),
+	.C1(n_27437),
+	.Y(n_27630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g744437 (
+	.A(n_26074),
+	.B(n_26377),
+	.C(n_26415),
+	.D(n_27172),
+	.Y(n_27629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g744438 (
+	.A(n_27095),
+	.B(n_27098),
+	.C(n_27097),
+	.D(n_27096),
+	.Y(n_27628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g744439 (
+	.A1(n_27321),
+	.A2(brqrv_top_brqrv_dma_ctrl_WrPtr[1]),
+	.B1(brqrv_top_brqrv_dma_ctrl_n_1834),
+	.B2(n_465),
+	.C1(n_31124),
+	.X(brqrv_top_brqrv_dma_ctrl_fifo_data_en[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g744440 (
+	.A(n_25576),
+	.B(n_27444),
+	.C(n_27180),
+	.D_N(n_26660),
+	.Y(n_27627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744441 (
+	.A(n_27596),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_data[285]),
+	.Y(n_27626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g744442 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_ifu_pmu_sigs_ff_n_21),
+	.A2(brqrv_top_brqrv_ifu_ifc_n_451),
+	.B1(n_25786),
+	.C1(n_25214),
+	.D1(n_27503),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_ifu_pmu_sigs_ff_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g744443 (
+	.A(n_27255),
+	.B(n_27262),
+	.C(n_27263),
+	.D(n_27261),
+	.Y(n_27625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g744444 (
+	.A1(n_26683),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[29]),
+	.B1(n_24027),
+	.B2(brqrv_top_brqrv_dec_tlu_n_5618),
+	.C1(n_27517),
+	.X(n_27624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g744445 (
+	.A1(n_26683),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[27]),
+	.B1(n_24027),
+	.B2(brqrv_top_brqrv_dec_tlu_n_5616),
+	.C1(n_27516),
+	.X(n_27623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g744446 (
+	.A1(n_26683),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[26]),
+	.B1(n_24027),
+	.B2(brqrv_top_brqrv_dec_tlu_n_5615),
+	.C1(n_27515),
+	.X(n_27622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g744447 (
+	.A1(n_26683),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[25]),
+	.B1(n_24027),
+	.B2(brqrv_top_brqrv_dec_tlu_n_5614),
+	.C1(n_27514),
+	.X(n_27621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g744448 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_n_316),
+	.A2(n_26682),
+	.B1(n_27554),
+	.Y(n_27620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g744449 (
+	.A1(n_26683),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[12]),
+	.B1(n_24027),
+	.B2(brqrv_top_brqrv_dec_tlu_n_5601),
+	.C1(n_27512),
+	.X(n_27619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g744450 (
+	.A1(n_26006),
+	.A2(brqrv_top_brqrv_dec_dec_illegal_inst[0]),
+	.B1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.B2(brqrv_top_brqrv_lsu_error_pkt_r[2]),
+	.C1(n_27509),
+	.X(brqrv_top_brqrv_dec_tlu_mtval_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g744451 (
+	.A(brqrv_top_brqrv_dma_ctrl_rdbuf_vld),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_r[0]),
+	.C(brqrv_top_brqrv_dma_ctrl_n_2635),
+	.D(n_27225),
+	.Y(n_27618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g744452 (
+	.A1(n_27321),
+	.A2(n_24125),
+	.B1(brqrv_top_brqrv_dma_ctrl_n_1834),
+	.B2(n_463),
+	.C1(n_32348),
+	.X(brqrv_top_brqrv_dma_ctrl_fifo_data_en[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g744453 (
+	.A1(n_24142),
+	.A2(n_27434),
+	.B1(n_31517),
+	.B2(n_26114),
+	.Y(n_27617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32o_1 g744454 (
+	.A1(n_27048),
+	.A2(brqrv_top_brqrv_dec_tlu_debug_mode),
+	.A3(brqrv_top_brqrv_dec_tlu_nmi_int_detected_f),
+	.B1(n_27453),
+	.B2(brqrv_top_brqrv_dec_tlu_dcsr[3]),
+	.X(brqrv_top_brqrv_dec_tlu_dcsr_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g744455 (
+	.A1(brqrv_top_brqrv_dec_tlu_pc_r[1]),
+	.A2(n_26342),
+	.B1(n_27510),
+	.C1(n_27273),
+	.D1(n_27146),
+	.Y(brqrv_top_brqrv_dec_tlu_mtval_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g744457 (
+	.A1(n_24483),
+	.A2(brqrv_top_brqrv_dec_tlu_exc_cause_r[0]),
+	.B1(n_26266),
+	.C1(n_25721),
+	.D1(n_27557),
+	.Y(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g744458 (
+	.A(n_38489),
+	.B(n_27228),
+	.C(n_26460),
+	.D(n_26461),
+	.Y(n_27616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g744459 (
+	.A(n_27385),
+	.B(n_26450),
+	.C(n_25243),
+	.Y(n_27615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g744460 (
+	.A1(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rvalid_in ),
+	.A2(n_32352),
+	.B1(n_27325),
+	.C1(n_25819),
+	.Y(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_en ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g744461 (
+	.A1(n_32457),
+	.A2(n_24525),
+	.B1(n_27268),
+	.C1(n_26443),
+	.D1(n_26870),
+	.Y(n_27614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g744462 (
+	.A1(n_24224),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[17]),
+	.B1(brqrv_top_brqrv_dec_tlu_npc_r[14]),
+	.B2(n_24266),
+	.C1(n_27560),
+	.Y(n_27613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g744463 (
+	.A(n_26325),
+	.B(n_39250),
+	.C(n_26498),
+	.D(n_27226),
+	.Y(n_27612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g744464 (
+	.A_N(n_27241),
+	.B(n_26278),
+	.C(n_26286),
+	.D(brqrv_top_brqrv_dbg_dbg_state[1]),
+	.Y(n_27657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g744465 (
+	.A1(brqrv_top_brqrv_dma_ctrl_RspPtr[0]),
+	.A2(n_26011),
+	.B1(brqrv_top_brqrv_dma_dbg_cmd_done),
+	.Y(n_27656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744466 (
+	.A(n_27519),
+	.B(n_27518),
+	.Y(n_27655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g744467 (
+	.A(n_26020),
+	.B(n_27600),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_reset[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g744468 (
+	.A(n_26012),
+	.B(n_27600),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_reset[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211a_1 g744469 (
+	.A1(brqrv_top_brqrv_lsu_lsu_pkt_d[7]),
+	.A2(n_27242),
+	.B1(brqrv_top_brqrv_lsu_lsu_pkt_d[0]),
+	.C1(brqrv_top_brqrv_lsu_addr_in_dccm_d),
+	.X(n_27654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g744470 (
+	.A(n_26014),
+	.B(n_27600),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_reset[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g744471 (
+	.A1(n_24359),
+	.A2(n_32761),
+	.B1(n_27600),
+	.Y(n_27653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g744472 (
+	.A(n_26016),
+	.B(n_27600),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_reset[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g744473 (
+	.A(brqrv_top_brqrv_dma_ctrl_RspPtr[1]),
+	.B(n_24357),
+	.C(n_27600),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_reset[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g744474 (
+	.A1(n_25575),
+	.A2(n_27481),
+	.B1(n_1704),
+	.B2(n_27287),
+	.Y(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[1]_280 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g744475 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_int_timers_mitctl1[2]),
+	.A2_N(n_27487),
+	.B1(n_1703),
+	.B2(n_27487),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_mitctl1_ff_n_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g744476 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_int_timers_mitctl1[1]),
+	.A2_N(n_27487),
+	.B1(n_1704),
+	.B2(n_27487),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_mitctl1_ff_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32o_1 g744477 (
+	.A1(n_33043),
+	.A2(n_26904),
+	.A3(n_32814),
+	.B1(n_24348),
+	.B2(n_27328),
+	.X(brqrv_top_brqrv_i0_brp[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g744478 (
+	.A(n_27420),
+	.B(n_26892),
+	.C(n_27468),
+	.Y(brqrv_top_brqrv_dec_tlu_mtval_ns[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g744479 (
+	.A(n_27212),
+	.B(n_27327),
+	.C(n_27478),
+	.Y(brqrv_top_brqrv_dec_tlu_mtval_ns[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g744480 (
+	.A(n_27423),
+	.B(n_26896),
+	.C(n_27477),
+	.Y(brqrv_top_brqrv_dec_tlu_mtval_ns[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g744481 (
+	.A(n_27422),
+	.B(n_26895),
+	.C(n_27476),
+	.Y(brqrv_top_brqrv_dec_tlu_mtval_ns[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g744482 (
+	.A(n_27218),
+	.B(n_27369),
+	.C(n_27475),
+	.Y(brqrv_top_brqrv_dec_tlu_mtval_ns[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g744483 (
+	.A(n_27215),
+	.B(n_27368),
+	.C(n_27474),
+	.Y(brqrv_top_brqrv_dec_tlu_mtval_ns[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g744484 (
+	.A(n_27214),
+	.B(n_27366),
+	.C(n_27473),
+	.Y(brqrv_top_brqrv_dec_tlu_mtval_ns[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g744485 (
+	.A(n_27210),
+	.B(n_27364),
+	.C(n_27472),
+	.Y(brqrv_top_brqrv_dec_tlu_mtval_ns[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g744486 (
+	.A(n_27217),
+	.B(n_27362),
+	.C(n_27471),
+	.Y(brqrv_top_brqrv_dec_tlu_mtval_ns[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g744487 (
+	.A(n_27421),
+	.B(n_26893),
+	.C(n_27470),
+	.Y(brqrv_top_brqrv_dec_tlu_mtval_ns[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g744488 (
+	.A(n_27216),
+	.B(n_27513),
+	.C(n_27276),
+	.Y(brqrv_top_brqrv_dec_tlu_mtval_ns[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g744489 (
+	.A(n_27213),
+	.B(n_27356),
+	.C(n_27469),
+	.Y(brqrv_top_brqrv_dec_tlu_mtval_ns[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g744490 (
+	.A(n_27419),
+	.B(n_26891),
+	.C(n_27480),
+	.Y(brqrv_top_brqrv_dec_tlu_mtval_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g744491 (
+	.A(n_27211),
+	.B(n_27511),
+	.C(n_27278),
+	.Y(brqrv_top_brqrv_dec_tlu_mtval_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g744492 (
+	.A(n_27418),
+	.B(n_26890),
+	.C(n_27467),
+	.Y(brqrv_top_brqrv_dec_tlu_mtval_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g744493 (
+	.A(n_27417),
+	.B(n_26889),
+	.C(n_27466),
+	.Y(brqrv_top_brqrv_dec_tlu_mtval_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g744494 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_int_timers_mitctl1[3]),
+	.A2_N(n_27487),
+	.B1(n_1702),
+	.B2(n_27487),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_mitctl1_ff_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g744495 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_int_timers_mitctl1_0_b),
+	.A2_N(n_27487),
+	.B1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[0]),
+	.B2(n_27487),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_mitctl1_0_b_ns), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g744496 (
+	.A1(n_27482),
+	.A2(n_26666),
+	.B1(n_27288),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[6]),
+	.X(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[6]_285 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g744497 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[0]),
+	.A2(n_27481),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_298),
+	.B2(n_27287),
+	.Y(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[0]_279 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g744498 (
+	.A1(n_33619),
+	.A2(n_27482),
+	.B1(n_27288),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[31]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g744499 (
+	.A1(n_33618),
+	.A2(n_27482),
+	.B1(n_27288),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[30]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g744500 (
+	.A1(n_33617),
+	.A2(n_27482),
+	.B1(n_27288),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[29]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g744501 (
+	.A1(n_33616),
+	.A2(n_27482),
+	.B1(n_27288),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[28]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g744502 (
+	.A1(n_33615),
+	.A2(n_27482),
+	.B1(n_27288),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[27]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g744503 (
+	.A1(n_33614),
+	.A2(n_27482),
+	.B1(n_27288),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[26]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g744504 (
+	.A1(n_27482),
+	.A2(n_33613),
+	.B1(n_27288),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[25]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g744505 (
+	.A1(n_27482),
+	.A2(n_33612),
+	.B1(n_27288),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[24]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g744506 (
+	.A1(n_27482),
+	.A2(n_33611),
+	.B1(n_27288),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[23]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g744507 (
+	.A1(n_27482),
+	.A2(n_33610),
+	.B1(n_27288),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[22]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g744508 (
+	.A1(n_27482),
+	.A2(n_33609),
+	.B1(n_27288),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[21]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g744509 (
+	.A1(n_27482),
+	.A2(n_33608),
+	.B1(n_27288),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[20]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g744510 (
+	.A1(n_27482),
+	.A2(n_33607),
+	.B1(n_27288),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[19]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g744511 (
+	.A1(n_27482),
+	.A2(n_33606),
+	.B1(n_27288),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[18]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g744512 (
+	.A1(n_27482),
+	.A2(n_33605),
+	.B1(n_27288),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[17]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g744513 (
+	.A1(n_27482),
+	.A2(n_33604),
+	.B1(n_27288),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[16]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g744514 (
+	.A1(n_27482),
+	.A2(n_33603),
+	.B1(n_27288),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[15]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g744515 (
+	.A1(n_27482),
+	.A2(n_33602),
+	.B1(n_27288),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[14]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g744516 (
+	.A1(n_27482),
+	.A2(n_33601),
+	.B1(n_27288),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[13]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g744517 (
+	.A1(n_27482),
+	.A2(n_33600),
+	.B1(n_27288),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[12]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g744518 (
+	.A1(n_27482),
+	.A2(n_33599),
+	.B1(n_27288),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[11]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g744519 (
+	.A1(n_27482),
+	.A2(n_33598),
+	.B1(n_27288),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[10]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g744520 (
+	.A1(n_27482),
+	.A2(n_33597),
+	.B1(n_27288),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[9]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g744521 (
+	.A1(n_27482),
+	.A2(n_33596),
+	.B1(n_27288),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[8]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g744522 (
+	.A1(n_33043),
+	.A2(n_27381),
+	.B1(n_24348),
+	.B2(n_26501),
+	.Y(brqrv_top_brqrv_ifu_i0_bp_btag[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g744523 (
+	.A1(n_33043),
+	.A2(n_27379),
+	.B1(n_24348),
+	.B2(n_26486),
+	.Y(brqrv_top_brqrv_ifu_i0_bp_btag[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g744524 (
+	.A1(n_33043),
+	.A2(n_27373),
+	.B1(n_24348),
+	.B2(n_26471),
+	.Y(brqrv_top_brqrv_ifu_i0_bp_btag[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g744525 (
+	.A1(n_33043),
+	.A2(n_27459),
+	.B1(n_24348),
+	.B2(n_26470),
+	.Y(brqrv_top_brqrv_ifu_i0_bp_btag[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g744526 (
+	.A1(n_25775),
+	.A2(n_27481),
+	.B1(n_1703),
+	.B2(n_27287),
+	.Y(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[2]_281 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g744527 (
+	.A1(n_26847),
+	.A2(n_27481),
+	.B1(n_1702),
+	.B2(n_27287),
+	.Y(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[3]_282 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g744528 (
+	.A1(n_25777),
+	.A2(n_27481),
+	.B1(n_1701),
+	.B2(n_27287),
+	.Y(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[4]_283 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g744529 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[13]),
+	.B(brqrv_top_brqrv_dec_decode_write_csr_data[16]),
+	.C(n_26958),
+	.D(n_27431),
+	.Y(n_27608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_1 g744530 (
+	.A_N(brqrv_top_brqrv_dec_decode_i0_dp_raw[50]),
+	.B(n_27456),
+	.C(n_31737),
+	.D(n_31755),
+	.X(n_27607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g744531 (
+	.A1(n_27086),
+	.A2(n_27481),
+	.B1(n_1304),
+	.B2(n_27287),
+	.Y(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[7]_286 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g744532 (
+	.A1(n_26848),
+	.A2(n_27481),
+	.B1(n_1295),
+	.B2(n_27287),
+	.Y(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[5]_284 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g744533 (
+	.A1(brqrv_top_brqrv_ifu_aln_first2B),
+	.A2(n_27353),
+	.B1(n_27430),
+	.Y(n_27606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744534 (
+	.A1(n_26002),
+	.A2(n_27499),
+	.B1(n_26001),
+	.B2(n_26751),
+	.Y(n_27611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744535 (
+	.A1(n_26002),
+	.A2(n_27498),
+	.B1(n_26001),
+	.B2(n_26750),
+	.Y(n_27610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g744536 (
+	.A1(n_26002),
+	.A2(n_27500),
+	.B1(n_26001),
+	.B2(n_26753),
+	.Y(n_27609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g744537 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_fghr_ns [2]),
+	.Y(n_27604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g744538 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_fghr_ns [6]),
+	.Y(n_27603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g744539 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_fghr_ns [3]),
+	.Y(n_27602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g744540 (
+	.A(n_27600),
+	.Y(brqrv_top_brqrv_dma_dbg_cmd_done), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g744541 (
+	.A(n_27594),
+	.Y(n_27593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744542 (
+	.A1(n_1334),
+	.A2(n_32485),
+	.B1(n_26946),
+	.B2(n_32487),
+	.C1(n_24720),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[834]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744543 (
+	.A1(n_26947),
+	.A2(n_31372),
+	.B1(n_24062),
+	.B2(n_31370),
+	.C1(n_24872),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[110]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g744544 (
+	.A(n_27436),
+	.B_N(n_32730),
+	.Y(brqrv_top_brqrv_dec_tlu_debug_resume_req), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g744545 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.B(n_27383),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_access_fault_d), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g744546 (
+	.A1(n_27266),
+	.A2(n_27065),
+	.B1(n_27078),
+	.Y(brqrv_top_brqrv_dec_decode_d_t[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g744548 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_valid[0]),
+	.A2(n_26957),
+	.B1(brqrv_top_brqrv_dma_ctrl_fifo_valid[3]),
+	.C1(brqrv_top_brqrv_dma_ctrl_fifo_valid[4]),
+	.Y(n_27592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744549 (
+	.A1(n_38487),
+	.A2(n_30465),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_298),
+	.B2(n_26685),
+	.C1(n_27441),
+	.Y(brqrv_top_brqrv_dec_tlu_mcause_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744550 (
+	.A(n_27414),
+	.B(n_27347),
+	.Y(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744551 (
+	.A(n_27346),
+	.B(n_27413),
+	.Y(n_27591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744552 (
+	.A(n_27345),
+	.B(n_27412),
+	.Y(n_27590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744553 (
+	.A(n_27411),
+	.B(n_26449),
+	.Y(n_27589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744554 (
+	.A1(n_26935),
+	.A2(n_31372),
+	.B1(n_24303),
+	.B2(n_31370),
+	.C1(n_24736),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[111]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g744555 (
+	.A1(n_26961),
+	.A2(n_24125),
+	.B1(brqrv_top_brqrv_dma_ctrl_n_1834),
+	.B2(n_5457),
+	.C1(n_31126),
+	.X(brqrv_top_brqrv_dma_ctrl_fifo_data_en[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g744556 (
+	.A1(n_2596),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[32]),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[38]),
+	.C1(n_25840),
+	.D1(n_26980),
+	.Y(n_27588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g744557 (
+	.A1(n_2581),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[10]),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[18]),
+	.C1(n_25778),
+	.D1(n_26981),
+	.Y(n_27587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g744558 (
+	.A1(n_2581),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[20]),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[28]),
+	.C1(n_25835),
+	.D1(n_26982),
+	.Y(n_27586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g744559 (
+	.A1(n_2581),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[0]),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[8]),
+	.C1(n_25748),
+	.D1(n_26983),
+	.Y(n_27585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744560 (
+	.A1(n_26952),
+	.A2(n_31372),
+	.B1(n_24304),
+	.B2(n_31370),
+	.C1(n_24632),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[112]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744561 (
+	.A1(n_26941),
+	.A2(n_31372),
+	.B1(n_29878),
+	.B2(n_31370),
+	.C1(n_25009),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[113]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744562 (
+	.A1(n_26940),
+	.A2(n_31372),
+	.B1(n_29879),
+	.B2(n_31370),
+	.C1(n_24942),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[114]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744563 (
+	.A1(n_24306),
+	.A2(n_31370),
+	.B1(n_26939),
+	.B2(n_31372),
+	.C1(n_24808),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[115]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744564 (
+	.A1(n_26951),
+	.A2(n_31372),
+	.B1(n_29884),
+	.B2(n_31370),
+	.C1(n_24747),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[116]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744565 (
+	.A1(n_26950),
+	.A2(n_31372),
+	.B1(n_24065),
+	.B2(n_31370),
+	.C1(n_25031),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[120]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744566 (
+	.A1(n_26949),
+	.A2(n_31372),
+	.B1(n_24299),
+	.B2(n_31370),
+	.C1(n_24788),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[121]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g744567 (
+	.A_N(brqrv_top_brqrv_dec_tlu_pause_expired_wb),
+	.B(n_25431),
+	.C(n_30456),
+	.D(n_26974),
+	.Y(brqrv_top_brqrv_dec_tlu_n_3272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744568 (
+	.A1(n_26948),
+	.A2(n_31372),
+	.B1(n_29882),
+	.B2(n_31370),
+	.C1(n_25049),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[122]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744569 (
+	.A1(n_26945),
+	.A2(n_31372),
+	.B1(n_24305),
+	.B2(n_31370),
+	.C1(n_25073),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[123]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g744570 (
+	.A1(n_26270),
+	.A2(n_31535),
+	.B1(n_27392),
+	.Y(n_27584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744571 (
+	.A1(n_26944),
+	.A2(n_31372),
+	.B1(n_29871),
+	.B2(n_31370),
+	.C1(n_24569),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[124]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744572 (
+	.A(n_27415),
+	.B(n_27493),
+	.Y(brqrv_top_brqrv_dec_tlu_mcause_ns[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g744573 (
+	.A1(n_27254),
+	.A2(n_32554),
+	.B1(n_27065),
+	.Y(n_27583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744575 (
+	.A1(n_1336),
+	.A2(n_31336),
+	.B1(n_26936),
+	.B2(n_31338),
+	.C1(n_25275),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[545]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g744576 (
+	.A1(brqrv_top_brqrv_dbg_sb_state[3]),
+	.A2(n_25958),
+	.B1(n_26401),
+	.C1(n_27489),
+	.Y(brqrv_top_brqrv_dbg_n_4567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g744577 (
+	.A1(n_26576),
+	.A2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_inc_cout),
+	.B1(n_27481),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_n_275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g744578 (
+	.A1(n_31822),
+	.A2(n_26787),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_WrPtr1_m[1]),
+	.X(n_27581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g744579 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill[1]),
+	.B(n_25691),
+	.C(n_25693),
+	.D(n_27227),
+	.Y(n_27580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g744580 (
+	.A1(n_26567),
+	.A2(brqrv_top_brqrv_exu_ghr_d[1]),
+	.B1(n_27175),
+	.C1(n_27380),
+	.Y(n_27579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g744581 (
+	.A1(n_27007),
+	.A2(n_26492),
+	.B1(n_27318),
+	.C1(n_27324),
+	.Y(n_27578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g744582 (
+	.A1(n_22543),
+	.A2(brqrv_top_brqrv_dec_dec_i0_instr_d[3]),
+	.B1(brqrv_top_brqrv_dec_dec_i0_instr_d[2]),
+	.B2(n_24288),
+	.C1(n_27377),
+	.Y(n_27577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g744583 (
+	.A(n_24359),
+	.B(n_32730),
+	.C_N(brqrv_top_brqrv_dec_tlu_dbg_run_state_ns),
+	.Y(brqrv_top_brqrv_dec_tlu_resume_ack_ns), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744584 (
+	.A1(n_26698),
+	.A2(n_24110),
+	.B1(n_26701),
+	.B2(n_33383),
+	.C1(n_27416),
+	.Y(n_27576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g744585 (
+	.A1(brqrv_top_brqrv_dbg_cmd_wrdata[19]),
+	.A2(n_27035),
+	.B1(n_29892),
+	.B2(brqrv_top_brqrv_dbg_sbdata1_reg[19]),
+	.C1(n_27344),
+	.X(n_27575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g744586 (
+	.A1(brqrv_top_brqrv_dbg_cmd_wrdata[12]),
+	.A2(n_27035),
+	.B1(brqrv_top_brqrv_dbg_sbaddress0_reg[12]),
+	.B2(n_26005),
+	.C1(n_26879),
+	.Y(n_27574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g744587 (
+	.A1(n_1819),
+	.A2(n_27292),
+	.B1(n_27440),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ns[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g744588 (
+	.A1(n_26915),
+	.A2(n_31514),
+	.B1(brqrv_top_brqrv_dbg_sbcs_reg[22]),
+	.C1(n_26141),
+	.Y(n_27573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744589 (
+	.A1(n_1334),
+	.A2(n_31336),
+	.B1(n_26946),
+	.B2(n_31338),
+	.C1(n_25299),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[546]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744590 (
+	.A1(n_24152),
+	.A2(n_26702),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_m[6]),
+	.B2(n_26699),
+	.C1(n_27397),
+	.Y(n_27572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g744591 (
+	.A1(n_24510),
+	.A2(n_32288),
+	.B1(n_26135),
+	.C1(n_25919),
+	.D1(n_27011),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744592 (
+	.A1(n_1335),
+	.A2(n_31336),
+	.B1(n_26953),
+	.B2(n_31338),
+	.C1(n_25088),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[547]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744593 (
+	.A1(n_24346),
+	.A2(n_26694),
+	.B1(n_26709),
+	.B2(n_24112),
+	.C1(n_27443),
+	.Y(n_27571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g744594 (
+	.A1(n_24372),
+	.A2(n_27313),
+	.B1(n_26383),
+	.Y(brqrv_top_brqrv_dma_ctrl_WrPtr_dff_n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g744595 (
+	.A1(n_24192),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r_d1[22]),
+	.B1(n_27223),
+	.C1(n_27384),
+	.Y(n_27570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g744596 (
+	.A1(n_24024),
+	.A2(n_27312),
+	.B1(n_26796),
+	.Y(brqrv_top_brqrv_dbg_n_4571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744597 (
+	.A1(n_29871),
+	.A2(n_31360),
+	.B1(n_26944),
+	.B2(n_31362),
+	.C1(n_25131),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[476]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744598 (
+	.A1(n_29871),
+	.A2(n_32465),
+	.B1(n_26944),
+	.B2(n_32467),
+	.C1(n_24633),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[924]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744599 (
+	.A1(n_26945),
+	.A2(n_32467),
+	.B1(n_24305),
+	.B2(n_32465),
+	.C1(n_24626),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[923]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744600 (
+	.A1(n_29882),
+	.A2(n_32465),
+	.B1(n_26948),
+	.B2(n_32467),
+	.C1(n_25216),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[922]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744601 (
+	.A1(n_26949),
+	.A2(n_32467),
+	.B1(n_24299),
+	.B2(n_32465),
+	.C1(n_24677),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[921]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744602 (
+	.A1(n_26950),
+	.A2(n_32467),
+	.B1(n_24065),
+	.B2(n_32465),
+	.C1(n_24581),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[920]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744603 (
+	.A1(n_26951),
+	.A2(n_32467),
+	.B1(n_29884),
+	.B2(n_32465),
+	.C1(n_24697),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[916]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744604 (
+	.A1(n_26939),
+	.A2(n_32467),
+	.B1(n_24306),
+	.B2(n_32465),
+	.C1(n_24686),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[915]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744605 (
+	.A1(n_29879),
+	.A2(n_32465),
+	.B1(n_26940),
+	.B2(n_32467),
+	.C1(n_24754),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[914]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744606 (
+	.A1(n_26941),
+	.A2(n_32467),
+	.B1(n_29878),
+	.B2(n_32465),
+	.C1(n_25250),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[913]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744607 (
+	.A1(n_24304),
+	.A2(n_32465),
+	.B1(n_26952),
+	.B2(n_32467),
+	.C1(n_24593),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[912]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744608 (
+	.A1(n_24303),
+	.A2(n_32465),
+	.B1(n_26935),
+	.B2(n_32467),
+	.C1(n_24610),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[911]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744609 (
+	.A1(n_26947),
+	.A2(n_32467),
+	.B1(n_24062),
+	.B2(n_32465),
+	.C1(n_25071),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[910]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744610 (
+	.A1(n_24300),
+	.A2(n_32465),
+	.B1(n_26938),
+	.B2(n_32467),
+	.C1(n_25256),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[909]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744611 (
+	.A1(n_24063),
+	.A2(n_32465),
+	.B1(n_26937),
+	.B2(n_32467),
+	.C1(n_24853),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[907]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744612 (
+	.A1(n_24302),
+	.A2(n_32465),
+	.B1(n_26934),
+	.B2(n_32467),
+	.C1(n_25257),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[906]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744613 (
+	.A1(n_1337),
+	.A2(n_32465),
+	.B1(n_26942),
+	.B2(n_32467),
+	.C1(n_24862),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[902]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744614 (
+	.A1(n_26943),
+	.A2(n_32467),
+	.B1(n_1333),
+	.B2(n_32465),
+	.C1(n_24865),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[900]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744615 (
+	.A1(n_1335),
+	.A2(n_32465),
+	.B1(n_26953),
+	.B2(n_32467),
+	.C1(n_24870),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[899]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744616 (
+	.A1(n_1334),
+	.A2(n_32465),
+	.B1(n_26946),
+	.B2(n_32467),
+	.C1(n_24879),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[898]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744617 (
+	.A1(n_1336),
+	.A2(n_32465),
+	.B1(n_26936),
+	.B2(n_32467),
+	.C1(n_24882),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[897]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744618 (
+	.A1(n_29871),
+	.A2(n_32468),
+	.B1(n_32470),
+	.B2(n_26944),
+	.C1(n_25113),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[892]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744619 (
+	.A1(n_24305),
+	.A2(n_32468),
+	.B1(n_32470),
+	.B2(n_26945),
+	.C1(n_24887),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[891]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744620 (
+	.A1(n_29882),
+	.A2(n_32468),
+	.B1(n_32470),
+	.B2(n_26948),
+	.C1(n_24746),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[890]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744621 (
+	.A1(n_32470),
+	.A2(n_26949),
+	.B1(n_24299),
+	.B2(n_32468),
+	.C1(n_25272),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[889]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744622 (
+	.A1(n_32470),
+	.A2(n_26950),
+	.B1(n_24065),
+	.B2(n_32468),
+	.C1(n_25174),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[888]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744623 (
+	.A1(n_32470),
+	.A2(n_26951),
+	.B1(n_29884),
+	.B2(n_32468),
+	.C1(n_24892),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[884]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744624 (
+	.A1(n_24306),
+	.A2(n_32468),
+	.B1(n_26939),
+	.B2(n_32470),
+	.C1(n_25276),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[883]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744625 (
+	.A1(n_26940),
+	.A2(n_32470),
+	.B1(n_29879),
+	.B2(n_32468),
+	.C1(n_25100),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[882]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744626 (
+	.A1(n_29878),
+	.A2(n_32468),
+	.B1(n_26941),
+	.B2(n_32470),
+	.C1(n_24903),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[881]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744627 (
+	.A1(n_24304),
+	.A2(n_32468),
+	.B1(n_32470),
+	.B2(n_26952),
+	.C1(n_24898),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[880]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744628 (
+	.A1(n_24303),
+	.A2(n_32468),
+	.B1(n_32470),
+	.B2(n_26935),
+	.C1(n_24900),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[879]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744629 (
+	.A1(n_32470),
+	.A2(n_26947),
+	.B1(n_24062),
+	.B2(n_32468),
+	.C1(n_24901),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[878]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744630 (
+	.A1(n_24300),
+	.A2(n_32468),
+	.B1(n_32470),
+	.B2(n_26938),
+	.C1(n_24905),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[877]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744631 (
+	.A1(n_32470),
+	.A2(n_26937),
+	.B1(n_24063),
+	.B2(n_32468),
+	.C1(n_25289),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[875]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744632 (
+	.A1(n_24302),
+	.A2(n_32468),
+	.B1(n_32470),
+	.B2(n_26934),
+	.C1(n_24528),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[874]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744633 (
+	.A1(n_1337),
+	.A2(n_32468),
+	.B1(n_26942),
+	.B2(n_32470),
+	.C1(n_24911),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[870]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744634 (
+	.A1(n_26943),
+	.A2(n_32470),
+	.B1(n_1333),
+	.B2(n_32468),
+	.C1(n_25097),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[868]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744635 (
+	.A1(n_1335),
+	.A2(n_32468),
+	.B1(n_32470),
+	.B2(n_26953),
+	.C1(n_24919),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[867]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744636 (
+	.A1(n_32470),
+	.A2(n_26946),
+	.B1(n_1334),
+	.B2(n_32468),
+	.C1(n_25093),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[866]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744637 (
+	.A1(n_1336),
+	.A2(n_32468),
+	.B1(n_32470),
+	.B2(n_26936),
+	.C1(n_24922),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[865]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744638 (
+	.A1(n_29871),
+	.A2(n_31322),
+	.B1(n_31324),
+	.B2(n_26944),
+	.C1(n_24926),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[1020]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744639 (
+	.A1(n_24305),
+	.A2(n_31322),
+	.B1(n_31324),
+	.B2(n_26945),
+	.C1(n_24925),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[1019]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744640 (
+	.A1(n_29882),
+	.A2(n_31322),
+	.B1(n_31324),
+	.B2(n_26948),
+	.C1(n_24927),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[1018]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744641 (
+	.A1(n_31324),
+	.A2(n_26949),
+	.B1(n_24299),
+	.B2(n_31322),
+	.C1(n_24930),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[1017]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744642 (
+	.A1(n_31324),
+	.A2(n_26950),
+	.B1(n_24065),
+	.B2(n_31322),
+	.C1(n_24937),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[1016]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744643 (
+	.A1(n_31324),
+	.A2(n_26951),
+	.B1(n_29884),
+	.B2(n_31322),
+	.C1(n_24545),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[1012]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744644 (
+	.A1(n_26939),
+	.A2(n_31324),
+	.B1(n_24306),
+	.B2(n_31322),
+	.C1(n_24932),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[1011]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744645 (
+	.A1(n_29879),
+	.A2(n_31322),
+	.B1(n_26940),
+	.B2(n_31324),
+	.C1(n_24690),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[1010]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744646 (
+	.A1(n_29878),
+	.A2(n_31322),
+	.B1(n_26941),
+	.B2(n_31324),
+	.C1(n_24941),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[1009]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744647 (
+	.A1(n_24304),
+	.A2(n_31322),
+	.B1(n_31324),
+	.B2(n_26952),
+	.C1(n_24944),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[1008]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744648 (
+	.A1(n_31324),
+	.A2(n_26935),
+	.B1(n_24303),
+	.B2(n_31322),
+	.C1(n_25304),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[1007]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744649 (
+	.A1(n_31324),
+	.A2(n_26947),
+	.B1(n_24062),
+	.B2(n_31322),
+	.C1(n_24946),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[1006]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744650 (
+	.A1(n_24300),
+	.A2(n_31322),
+	.B1(n_31324),
+	.B2(n_26938),
+	.C1(n_24541),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[1005]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744651 (
+	.A1(n_24063),
+	.A2(n_31322),
+	.B1(n_31324),
+	.B2(n_26937),
+	.C1(n_24949),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[1003]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744652 (
+	.A1(n_31324),
+	.A2(n_26934),
+	.B1(n_24302),
+	.B2(n_31322),
+	.C1(n_24958),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[1002]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744653 (
+	.A1(n_1337),
+	.A2(n_31322),
+	.B1(n_26942),
+	.B2(n_31324),
+	.C1(n_24951),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[998]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744654 (
+	.A1(n_26943),
+	.A2(n_31324),
+	.B1(n_1333),
+	.B2(n_31322),
+	.C1(n_24952),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[996]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744655 (
+	.A1(n_1335),
+	.A2(n_31322),
+	.B1(n_31324),
+	.B2(n_26953),
+	.C1(n_24955),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[995]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744656 (
+	.A1(n_1334),
+	.A2(n_31322),
+	.B1(n_31324),
+	.B2(n_26946),
+	.C1(n_24957),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[994]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744657 (
+	.A1(n_1336),
+	.A2(n_31322),
+	.B1(n_31324),
+	.B2(n_26936),
+	.C1(n_24961),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[993]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744658 (
+	.A1(n_32484),
+	.A2(n_26944),
+	.B1(n_29871),
+	.B2(n_31366),
+	.C1(n_24959),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[988]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744659 (
+	.A1(n_24305),
+	.A2(n_31366),
+	.B1(n_32484),
+	.B2(n_26945),
+	.C1(n_24960),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[987]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744660 (
+	.A1(n_29882),
+	.A2(n_31366),
+	.B1(n_32484),
+	.B2(n_26948),
+	.C1(n_25307),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[986]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744661 (
+	.A1(n_32484),
+	.A2(n_26949),
+	.B1(n_24299),
+	.B2(n_31366),
+	.C1(n_25084),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[985]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744662 (
+	.A1(n_24065),
+	.A2(n_31366),
+	.B1(n_32484),
+	.B2(n_26950),
+	.C1(n_24970),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[984]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744663 (
+	.A1(n_32484),
+	.A2(n_26951),
+	.B1(n_29884),
+	.B2(n_31366),
+	.C1(n_25085),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[980]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744664 (
+	.A1(n_26939),
+	.A2(n_32484),
+	.B1(n_24306),
+	.B2(n_31366),
+	.C1(n_24969),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[979]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744665 (
+	.A1(n_29879),
+	.A2(n_31366),
+	.B1(n_26940),
+	.B2(n_32484),
+	.C1(n_24973),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[978]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744666 (
+	.A1(n_29878),
+	.A2(n_31366),
+	.B1(n_26941),
+	.B2(n_32484),
+	.C1(n_24974),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[977]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744667 (
+	.A1(n_24304),
+	.A2(n_31366),
+	.B1(n_32484),
+	.B2(n_26952),
+	.C1(n_24976),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[976]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744668 (
+	.A1(n_32484),
+	.A2(n_26935),
+	.B1(n_24303),
+	.B2(n_31366),
+	.C1(n_24800),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[975]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744669 (
+	.A1(n_32484),
+	.A2(n_26947),
+	.B1(n_24062),
+	.B2(n_31366),
+	.C1(n_24981),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[974]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744670 (
+	.A1(n_24300),
+	.A2(n_31366),
+	.B1(n_32484),
+	.B2(n_26938),
+	.C1(n_25058),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[973]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744671 (
+	.A1(n_24063),
+	.A2(n_31366),
+	.B1(n_32484),
+	.B2(n_26937),
+	.C1(n_24983),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[971]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744672 (
+	.A1(n_32484),
+	.A2(n_26934),
+	.B1(n_24302),
+	.B2(n_31366),
+	.C1(n_24739),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[970]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744673 (
+	.A1(n_1337),
+	.A2(n_31366),
+	.B1(n_26942),
+	.B2(n_32484),
+	.C1(n_24986),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[966]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744674 (
+	.A1(n_26943),
+	.A2(n_32484),
+	.B1(n_1333),
+	.B2(n_31366),
+	.C1(n_24987),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[964]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744675 (
+	.A1(n_1335),
+	.A2(n_31366),
+	.B1(n_32484),
+	.B2(n_26953),
+	.C1(n_24999),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[963]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744676 (
+	.A1(n_1334),
+	.A2(n_31366),
+	.B1(n_32484),
+	.B2(n_26946),
+	.C1(n_24991),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[962]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744677 (
+	.A1(n_1336),
+	.A2(n_31366),
+	.B1(n_32484),
+	.B2(n_26936),
+	.C1(n_24995),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[961]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744678 (
+	.A1(n_29871),
+	.A2(n_31319),
+	.B1(n_31321),
+	.B2(n_26944),
+	.C1(n_24552),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[956]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744679 (
+	.A1(n_24305),
+	.A2(n_31319),
+	.B1(n_31321),
+	.B2(n_26945),
+	.C1(n_25007),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[955]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744680 (
+	.A1(n_29882),
+	.A2(n_31319),
+	.B1(n_31321),
+	.B2(n_26948),
+	.C1(n_25012),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[954]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744681 (
+	.A1(n_31321),
+	.A2(n_26949),
+	.B1(n_24299),
+	.B2(n_31319),
+	.C1(n_24691),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[953]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744682 (
+	.A1(n_24065),
+	.A2(n_31319),
+	.B1(n_31321),
+	.B2(n_26950),
+	.C1(n_25048),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[952]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744683 (
+	.A1(n_29884),
+	.A2(n_31319),
+	.B1(n_31321),
+	.B2(n_26951),
+	.C1(n_25016),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[948]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744684 (
+	.A1(n_26939),
+	.A2(n_31321),
+	.B1(n_24306),
+	.B2(n_31319),
+	.C1(n_25017),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[947]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744685 (
+	.A1(n_29879),
+	.A2(n_31319),
+	.B1(n_26940),
+	.B2(n_31321),
+	.C1(n_25019),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[946]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744686 (
+	.A1(n_29878),
+	.A2(n_31319),
+	.B1(n_26941),
+	.B2(n_31321),
+	.C1(n_25020),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[945]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744687 (
+	.A1(n_24304),
+	.A2(n_31319),
+	.B1(n_31321),
+	.B2(n_26952),
+	.C1(n_25026),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[944]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744688 (
+	.A1(n_31321),
+	.A2(n_26935),
+	.B1(n_24303),
+	.B2(n_31319),
+	.C1(n_25030),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[943]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744689 (
+	.A1(n_24062),
+	.A2(n_31319),
+	.B1(n_31321),
+	.B2(n_26947),
+	.C1(n_25028),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[942]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744690 (
+	.A1(n_31321),
+	.A2(n_26938),
+	.B1(n_24300),
+	.B2(n_31319),
+	.C1(n_25029),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[941]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744691 (
+	.A1(n_31321),
+	.A2(n_26937),
+	.B1(n_24063),
+	.B2(n_31319),
+	.C1(n_25034),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[939]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744692 (
+	.A1(n_31321),
+	.A2(n_26934),
+	.B1(n_24302),
+	.B2(n_31319),
+	.C1(n_25037),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[938]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744693 (
+	.A1(n_1337),
+	.A2(n_31319),
+	.B1(n_26942),
+	.B2(n_31321),
+	.C1(n_25039),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[934]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744694 (
+	.A1(n_26943),
+	.A2(n_31321),
+	.B1(n_1333),
+	.B2(n_31319),
+	.C1(n_25038),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[932]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744695 (
+	.A1(n_1335),
+	.A2(n_31319),
+	.B1(n_31321),
+	.B2(n_26953),
+	.C1(n_25159),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[931]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744696 (
+	.A1(n_1334),
+	.A2(n_31319),
+	.B1(n_31321),
+	.B2(n_26946),
+	.C1(n_25188),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[930]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744697 (
+	.A1(n_31321),
+	.A2(n_26936),
+	.B1(n_1336),
+	.B2(n_31319),
+	.C1(n_25047),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[929]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744698 (
+	.A1(n_29871),
+	.A2(n_31327),
+	.B1(n_26944),
+	.B2(n_32475),
+	.C1(n_25051),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[828]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744699 (
+	.A1(n_24305),
+	.A2(n_31327),
+	.B1(n_26945),
+	.B2(n_32475),
+	.C1(n_25052),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[827]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744700 (
+	.A1(n_29882),
+	.A2(n_31327),
+	.B1(n_26948),
+	.B2(n_32475),
+	.C1(n_25043),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[826]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744701 (
+	.A1(n_26949),
+	.A2(n_32475),
+	.B1(n_24299),
+	.B2(n_31327),
+	.C1(n_25022),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[825]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744702 (
+	.A1(n_26950),
+	.A2(n_32475),
+	.B1(n_24065),
+	.B2(n_31327),
+	.C1(n_24572),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[824]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744703 (
+	.A1(n_29884),
+	.A2(n_31327),
+	.B1(n_26951),
+	.B2(n_32475),
+	.C1(n_24980),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[820]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744704 (
+	.A1(n_26939),
+	.A2(n_32475),
+	.B1(n_24306),
+	.B2(n_31327),
+	.C1(n_25056),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[819]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744705 (
+	.A1(n_29879),
+	.A2(n_31327),
+	.B1(n_26940),
+	.B2(n_32475),
+	.C1(n_25057),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[818]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744706 (
+	.A1(n_29878),
+	.A2(n_31327),
+	.B1(n_26941),
+	.B2(n_32475),
+	.C1(n_25308),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[817]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744707 (
+	.A1(n_24304),
+	.A2(n_31327),
+	.B1(n_26952),
+	.B2(n_32475),
+	.C1(n_25078),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[816]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744708 (
+	.A1(n_24303),
+	.A2(n_31327),
+	.B1(n_26935),
+	.B2(n_32475),
+	.C1(n_25302),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[815]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744709 (
+	.A1(n_24062),
+	.A2(n_31327),
+	.B1(n_26947),
+	.B2(n_32475),
+	.C1(n_24950),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[814]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744710 (
+	.A1(n_24300),
+	.A2(n_31327),
+	.B1(n_26938),
+	.B2(n_32475),
+	.C1(n_24940),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[813]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744711 (
+	.A1(n_24063),
+	.A2(n_31327),
+	.B1(n_26937),
+	.B2(n_32475),
+	.C1(n_24917),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[811]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744712 (
+	.A1(n_24302),
+	.A2(n_31327),
+	.B1(n_26934),
+	.B2(n_32475),
+	.C1(n_25301),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[810]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744713 (
+	.A1(n_1337),
+	.A2(n_31327),
+	.B1(n_26942),
+	.B2(n_32475),
+	.C1(n_25239),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[806]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744714 (
+	.A1(n_26943),
+	.A2(n_32475),
+	.B1(n_1333),
+	.B2(n_31327),
+	.C1(n_25105),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[804]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744715 (
+	.A1(n_1335),
+	.A2(n_31327),
+	.B1(n_26953),
+	.B2(n_32475),
+	.C1(n_24948),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[803]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744716 (
+	.A1(n_26946),
+	.A2(n_32475),
+	.B1(n_1334),
+	.B2(n_31327),
+	.C1(n_25264),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[802]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744717 (
+	.A1(n_26936),
+	.A2(n_32475),
+	.B1(n_1336),
+	.B2(n_31327),
+	.C1(n_24843),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[801]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744718 (
+	.A1(n_29871),
+	.A2(n_32476),
+	.B1(n_26944),
+	.B2(n_31329),
+	.C1(n_25036),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[796]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744719 (
+	.A1(n_24305),
+	.A2(n_32476),
+	.B1(n_26945),
+	.B2(n_31329),
+	.C1(n_25015),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[795]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744720 (
+	.A1(n_29882),
+	.A2(n_32476),
+	.B1(n_26948),
+	.B2(n_31329),
+	.C1(n_24888),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[794]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744721 (
+	.A1(n_26949),
+	.A2(n_31329),
+	.B1(n_24299),
+	.B2(n_32476),
+	.C1(n_24895),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[793]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744722 (
+	.A1(n_26950),
+	.A2(n_31329),
+	.B1(n_24065),
+	.B2(n_32476),
+	.C1(n_25040),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[792]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744723 (
+	.A1(n_26951),
+	.A2(n_31329),
+	.B1(n_29884),
+	.B2(n_32476),
+	.C1(n_24763),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[788]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744724 (
+	.A1(n_26939),
+	.A2(n_31329),
+	.B1(n_24306),
+	.B2(n_32476),
+	.C1(n_24889),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[787]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744725 (
+	.A1(n_26940),
+	.A2(n_31329),
+	.B1(n_29879),
+	.B2(n_32476),
+	.C1(n_24568),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[786]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744726 (
+	.A1(n_29878),
+	.A2(n_32476),
+	.B1(n_26941),
+	.B2(n_31329),
+	.C1(n_24778),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[785]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744727 (
+	.A1(n_24304),
+	.A2(n_32476),
+	.B1(n_26952),
+	.B2(n_31329),
+	.C1(n_25134),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[784]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744728 (
+	.A1(n_24303),
+	.A2(n_32476),
+	.B1(n_26935),
+	.B2(n_31329),
+	.C1(n_24817),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[783]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744729 (
+	.A1(n_24062),
+	.A2(n_32476),
+	.B1(n_26947),
+	.B2(n_31329),
+	.C1(n_24873),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[782]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744730 (
+	.A1(n_24300),
+	.A2(n_32476),
+	.B1(n_26938),
+	.B2(n_31329),
+	.C1(n_24657),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[781]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744731 (
+	.A1(n_24063),
+	.A2(n_32476),
+	.B1(n_26937),
+	.B2(n_31329),
+	.C1(n_24880),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[779]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744732 (
+	.A1(n_26934),
+	.A2(n_31329),
+	.B1(n_24302),
+	.B2(n_32476),
+	.C1(n_24586),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[778]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744733 (
+	.A1(n_1337),
+	.A2(n_32476),
+	.B1(n_26942),
+	.B2(n_31329),
+	.C1(n_24771),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[774]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744734 (
+	.A1(n_26943),
+	.A2(n_31329),
+	.B1(n_1333),
+	.B2(n_32476),
+	.C1(n_24535),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[772]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744735 (
+	.A1(n_1335),
+	.A2(n_32476),
+	.B1(n_26953),
+	.B2(n_31329),
+	.C1(n_24612),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[771]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744736 (
+	.A1(n_1334),
+	.A2(n_32476),
+	.B1(n_26946),
+	.B2(n_31329),
+	.C1(n_24725),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[770]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744737 (
+	.A1(n_26936),
+	.A2(n_31329),
+	.B1(n_1336),
+	.B2(n_32476),
+	.C1(n_24710),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[769]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744738 (
+	.A1(n_29871),
+	.A2(n_32485),
+	.B1(n_26944),
+	.B2(n_32487),
+	.C1(n_24730),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[860]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744739 (
+	.A1(n_24305),
+	.A2(n_32485),
+	.B1(n_26945),
+	.B2(n_32487),
+	.C1(n_25293),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[859]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744740 (
+	.A1(n_29882),
+	.A2(n_32485),
+	.B1(n_26948),
+	.B2(n_32487),
+	.C1(n_25320),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[858]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744741 (
+	.A1(n_24299),
+	.A2(n_32485),
+	.B1(n_26949),
+	.B2(n_32487),
+	.C1(n_24637),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[857]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744742 (
+	.A1(n_24065),
+	.A2(n_32485),
+	.B1(n_26950),
+	.B2(n_32487),
+	.C1(n_24758),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[856]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744743 (
+	.A1(n_26951),
+	.A2(n_32487),
+	.B1(n_29884),
+	.B2(n_32485),
+	.C1(n_24547),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[852]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744744 (
+	.A1(n_26939),
+	.A2(n_32487),
+	.B1(n_24306),
+	.B2(n_32485),
+	.C1(n_25194),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[851]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744745 (
+	.A1(n_29879),
+	.A2(n_32485),
+	.B1(n_26940),
+	.B2(n_32487),
+	.C1(n_24825),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[850]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744746 (
+	.A1(n_29878),
+	.A2(n_32485),
+	.B1(n_26941),
+	.B2(n_32487),
+	.C1(n_24737),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[849]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744747 (
+	.A1(n_24304),
+	.A2(n_32485),
+	.B1(n_26952),
+	.B2(n_32487),
+	.C1(n_25203),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[848]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744748 (
+	.A1(n_26935),
+	.A2(n_32487),
+	.B1(n_24303),
+	.B2(n_32485),
+	.C1(n_25080),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[847]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744749 (
+	.A1(n_26947),
+	.A2(n_32487),
+	.B1(n_24062),
+	.B2(n_32485),
+	.C1(n_24818),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[846]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744750 (
+	.A1(n_24300),
+	.A2(n_32485),
+	.B1(n_26938),
+	.B2(n_32487),
+	.C1(n_25094),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[845]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744751 (
+	.A1(n_24063),
+	.A2(n_32485),
+	.B1(n_26937),
+	.B2(n_32487),
+	.C1(n_24655),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[843]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744752 (
+	.A1(n_26934),
+	.A2(n_32487),
+	.B1(n_24302),
+	.B2(n_32485),
+	.C1(n_24650),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[842]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744753 (
+	.A1(n_1337),
+	.A2(n_32485),
+	.B1(n_26942),
+	.B2(n_32487),
+	.C1(n_24659),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[838]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744754 (
+	.A1(n_1333),
+	.A2(n_32485),
+	.B1(n_26943),
+	.B2(n_32487),
+	.C1(n_24661),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[836]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744755 (
+	.A1(n_1335),
+	.A2(n_32485),
+	.B1(n_26953),
+	.B2(n_32487),
+	.C1(n_24662),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[835]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g744756 (
+	.A(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[0]),
+	.B(n_27495),
+	.Y(n_27569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744757 (
+	.A1(n_1336),
+	.A2(n_32485),
+	.B1(n_26936),
+	.B2(n_32487),
+	.C1(n_24850),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[833]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744758 (
+	.A1(n_26944),
+	.A2(n_31369),
+	.B1(n_29871),
+	.B2(n_32492),
+	.C1(n_24819),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[60]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744759 (
+	.A1(n_24305),
+	.A2(n_32492),
+	.B1(n_26945),
+	.B2(n_31369),
+	.C1(n_24929),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[59]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744760 (
+	.A1(n_26948),
+	.A2(n_31369),
+	.B1(n_29882),
+	.B2(n_32492),
+	.C1(n_24550),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[58]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744761 (
+	.A1(n_26949),
+	.A2(n_31369),
+	.B1(n_24299),
+	.B2(n_32492),
+	.C1(n_24920),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[57]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744762 (
+	.A1(n_26950),
+	.A2(n_31369),
+	.B1(n_24065),
+	.B2(n_32492),
+	.C1(n_24971),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[56]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744763 (
+	.A1(n_26951),
+	.A2(n_31369),
+	.B1(n_29884),
+	.B2(n_32492),
+	.C1(n_24975),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[52]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744764 (
+	.A1(n_24306),
+	.A2(n_32492),
+	.B1(n_26939),
+	.B2(n_31369),
+	.C1(n_24967),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[51]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744765 (
+	.A1(n_29879),
+	.A2(n_32492),
+	.B1(n_26940),
+	.B2(n_31369),
+	.C1(n_24670),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[50]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744766 (
+	.A1(n_29878),
+	.A2(n_32492),
+	.B1(n_26941),
+	.B2(n_31369),
+	.C1(n_25035),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[49]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744767 (
+	.A1(n_24304),
+	.A2(n_32492),
+	.B1(n_26952),
+	.B2(n_31369),
+	.C1(n_24964),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[48]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744768 (
+	.A1(n_24303),
+	.A2(n_32492),
+	.B1(n_26935),
+	.B2(n_31369),
+	.C1(n_24652),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[47]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744769 (
+	.A1(n_26947),
+	.A2(n_31369),
+	.B1(n_24062),
+	.B2(n_32492),
+	.C1(n_25176),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[46]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744770 (
+	.A1(n_24300),
+	.A2(n_32492),
+	.B1(n_26938),
+	.B2(n_31369),
+	.C1(n_24615),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[45]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744771 (
+	.A1(n_24063),
+	.A2(n_32492),
+	.B1(n_26937),
+	.B2(n_31369),
+	.C1(n_24658),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[43]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744772 (
+	.A1(n_24302),
+	.A2(n_32492),
+	.B1(n_26934),
+	.B2(n_31369),
+	.C1(n_24663),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[42]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744773 (
+	.A1(n_1337),
+	.A2(n_32492),
+	.B1(n_26942),
+	.B2(n_31369),
+	.C1(n_24667),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[38]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744774 (
+	.A1(n_26943),
+	.A2(n_31369),
+	.B1(n_1333),
+	.B2(n_32492),
+	.C1(n_24864),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[36]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744775 (
+	.A1(n_1335),
+	.A2(n_32492),
+	.B1(n_26953),
+	.B2(n_31369),
+	.C1(n_24795),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[35]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744776 (
+	.A1(n_1334),
+	.A2(n_32492),
+	.B1(n_26946),
+	.B2(n_31369),
+	.C1(n_24831),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[34]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744777 (
+	.A1(n_1336),
+	.A2(n_32492),
+	.B1(n_26936),
+	.B2(n_31369),
+	.C1(n_24914),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[33]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744778 (
+	.A1(n_26944),
+	.A2(n_31375),
+	.B1(n_29871),
+	.B2(n_31373),
+	.C1(n_24890),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[156]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744779 (
+	.A1(n_26945),
+	.A2(n_31375),
+	.B1(n_24305),
+	.B2(n_31373),
+	.C1(n_25112),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[155]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744780 (
+	.A1(n_26948),
+	.A2(n_31375),
+	.B1(n_29882),
+	.B2(n_31373),
+	.C1(n_24861),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[154]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744781 (
+	.A1(n_26949),
+	.A2(n_31375),
+	.B1(n_24299),
+	.B2(n_31373),
+	.C1(n_24549),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[153]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744782 (
+	.A1(n_26950),
+	.A2(n_31375),
+	.B1(n_24065),
+	.B2(n_31373),
+	.C1(n_24796),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[152]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744783 (
+	.A1(n_26951),
+	.A2(n_31375),
+	.B1(n_29884),
+	.B2(n_31373),
+	.C1(n_24714),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[148]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744784 (
+	.A1(n_26939),
+	.A2(n_31375),
+	.B1(n_24306),
+	.B2(n_31373),
+	.C1(n_25115),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[147]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744785 (
+	.A1(n_26940),
+	.A2(n_31375),
+	.B1(n_29879),
+	.B2(n_31373),
+	.C1(n_24810),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[146]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744786 (
+	.A1(n_26941),
+	.A2(n_31375),
+	.B1(n_29878),
+	.B2(n_31373),
+	.C1(n_24617),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[145]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744787 (
+	.A1(n_26952),
+	.A2(n_31375),
+	.B1(n_24304),
+	.B2(n_31373),
+	.C1(n_24779),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[144]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744788 (
+	.A1(n_24303),
+	.A2(n_31373),
+	.B1(n_26935),
+	.B2(n_31375),
+	.C1(n_24913),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[143]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744789 (
+	.A1(n_26947),
+	.A2(n_31375),
+	.B1(n_24062),
+	.B2(n_31373),
+	.C1(n_25156),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[142]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744790 (
+	.A1(n_26938),
+	.A2(n_31375),
+	.B1(n_24300),
+	.B2(n_31373),
+	.C1(n_25182),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[141]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744791 (
+	.A1(n_24063),
+	.A2(n_31373),
+	.B1(n_26937),
+	.B2(n_31375),
+	.C1(n_24765),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[139]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744792 (
+	.A1(n_24302),
+	.A2(n_31373),
+	.B1(n_26934),
+	.B2(n_31375),
+	.C1(n_24646),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[138]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744793 (
+	.A1(n_26942),
+	.A2(n_31375),
+	.B1(n_1337),
+	.B2(n_31373),
+	.C1(n_24648),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[134]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744794 (
+	.A1(n_26943),
+	.A2(n_31375),
+	.B1(n_1333),
+	.B2(n_31373),
+	.C1(n_24811),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[132]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744795 (
+	.A1(n_26953),
+	.A2(n_31375),
+	.B1(n_1335),
+	.B2(n_31373),
+	.C1(n_24885),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[131]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744796 (
+	.A1(n_26946),
+	.A2(n_31375),
+	.B1(n_1334),
+	.B2(n_31373),
+	.C1(n_25006),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[130]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744797 (
+	.A1(n_1336),
+	.A2(n_31373),
+	.B1(n_26936),
+	.B2(n_31375),
+	.C1(n_24706),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[129]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744798 (
+	.A1(n_26944),
+	.A2(n_32489),
+	.B1(n_29871),
+	.B2(n_32488),
+	.C1(n_24801),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[220]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744799 (
+	.A1(n_24305),
+	.A2(n_32488),
+	.B1(n_26945),
+	.B2(n_32489),
+	.C1(n_24799),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[219]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744800 (
+	.A1(n_26948),
+	.A2(n_32489),
+	.B1(n_29882),
+	.B2(n_32488),
+	.C1(n_24860),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[218]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744801 (
+	.A1(n_26949),
+	.A2(n_32489),
+	.B1(n_24299),
+	.B2(n_32488),
+	.C1(n_24584),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[217]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744802 (
+	.A1(n_26950),
+	.A2(n_32489),
+	.B1(n_24065),
+	.B2(n_32488),
+	.C1(n_24698),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[216]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744803 (
+	.A1(n_26951),
+	.A2(n_32489),
+	.B1(n_29884),
+	.B2(n_32488),
+	.C1(n_25003),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[212]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744804 (
+	.A1(n_26939),
+	.A2(n_32489),
+	.B1(n_24306),
+	.B2(n_32488),
+	.C1(n_24578),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[211]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744805 (
+	.A1(n_26940),
+	.A2(n_32489),
+	.B1(n_29879),
+	.B2(n_32488),
+	.C1(n_25001),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[210]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744806 (
+	.A1(n_26941),
+	.A2(n_32489),
+	.B1(n_29878),
+	.B2(n_32488),
+	.C1(n_24556),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[209]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744807 (
+	.A1(n_24304),
+	.A2(n_32488),
+	.B1(n_26952),
+	.B2(n_32489),
+	.C1(n_24603),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[208]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744808 (
+	.A1(n_26935),
+	.A2(n_32489),
+	.B1(n_24303),
+	.B2(n_32488),
+	.C1(n_24601),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[207]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744809 (
+	.A1(n_26947),
+	.A2(n_32489),
+	.B1(n_24062),
+	.B2(n_32488),
+	.C1(n_25161),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[206]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744810 (
+	.A1(n_26938),
+	.A2(n_32489),
+	.B1(n_24300),
+	.B2(n_32488),
+	.C1(n_24538),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[205]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744811 (
+	.A1(n_26937),
+	.A2(n_32489),
+	.B1(n_24063),
+	.B2(n_32488),
+	.C1(n_25114),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[203]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744812 (
+	.A1(n_26934),
+	.A2(n_32489),
+	.B1(n_24302),
+	.B2(n_32488),
+	.C1(n_24619),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[202]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744813 (
+	.A1(n_1337),
+	.A2(n_32488),
+	.B1(n_26942),
+	.B2(n_32489),
+	.C1(n_24625),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[198]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744814 (
+	.A1(n_26943),
+	.A2(n_32489),
+	.B1(n_1333),
+	.B2(n_32488),
+	.C1(n_24643),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[196]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744815 (
+	.A1(n_26953),
+	.A2(n_32489),
+	.B1(n_1335),
+	.B2(n_32488),
+	.C1(n_24634),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[195]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744816 (
+	.A1(n_26946),
+	.A2(n_32489),
+	.B1(n_1334),
+	.B2(n_32488),
+	.C1(n_24639),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[194]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744817 (
+	.A1(n_26936),
+	.A2(n_32489),
+	.B1(n_1336),
+	.B2(n_32488),
+	.C1(n_24642),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[193]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744818 (
+	.A1(n_31381),
+	.A2(n_26944),
+	.B1(n_29871),
+	.B2(n_31379),
+	.C1(n_24645),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[252]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744819 (
+	.A1(n_31381),
+	.A2(n_26945),
+	.B1(n_24305),
+	.B2(n_31379),
+	.C1(n_24731),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[251]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744820 (
+	.A1(n_31381),
+	.A2(n_26948),
+	.B1(n_29882),
+	.B2(n_31379),
+	.C1(n_24539),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[250]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744821 (
+	.A1(n_31381),
+	.A2(n_26949),
+	.B1(n_24299),
+	.B2(n_31379),
+	.C1(n_24562),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[249]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744822 (
+	.A1(n_24065),
+	.A2(n_31379),
+	.B1(n_31381),
+	.B2(n_26950),
+	.C1(n_24844),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[248]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744823 (
+	.A1(n_31381),
+	.A2(n_26951),
+	.B1(n_29884),
+	.B2(n_31379),
+	.C1(n_24684),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[244]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744824 (
+	.A1(n_26939),
+	.A2(n_31381),
+	.B1(n_24306),
+	.B2(n_31379),
+	.C1(n_24687),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[243]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744825 (
+	.A1(n_26940),
+	.A2(n_31381),
+	.B1(n_29879),
+	.B2(n_31379),
+	.C1(n_24695),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[242]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744826 (
+	.A1(n_29878),
+	.A2(n_31379),
+	.B1(n_26941),
+	.B2(n_31381),
+	.C1(n_24575),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[241]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744827 (
+	.A1(n_31381),
+	.A2(n_26952),
+	.B1(n_24304),
+	.B2(n_31379),
+	.C1(n_24989),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[240]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744828 (
+	.A1(n_31381),
+	.A2(n_26935),
+	.B1(n_24303),
+	.B2(n_31379),
+	.C1(n_24703),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[239]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744829 (
+	.A1(n_31381),
+	.A2(n_26947),
+	.B1(n_24062),
+	.B2(n_31379),
+	.C1(n_24708),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[238]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744830 (
+	.A1(n_31381),
+	.A2(n_26938),
+	.B1(n_24300),
+	.B2(n_31379),
+	.C1(n_24671),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[237]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744831 (
+	.A1(n_31381),
+	.A2(n_26937),
+	.B1(n_24063),
+	.B2(n_31379),
+	.C1(n_24713),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[235]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744832 (
+	.A1(n_31381),
+	.A2(n_26934),
+	.B1(n_24302),
+	.B2(n_31379),
+	.C1(n_24718),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[234]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744833 (
+	.A1(n_26942),
+	.A2(n_31381),
+	.B1(n_1337),
+	.B2(n_31379),
+	.C1(n_24985),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[230]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744834 (
+	.A1(n_1333),
+	.A2(n_31379),
+	.B1(n_26943),
+	.B2(n_31381),
+	.C1(n_24724),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[228]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744835 (
+	.A1(n_31381),
+	.A2(n_26953),
+	.B1(n_1335),
+	.B2(n_31379),
+	.C1(n_24732),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[227]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744836 (
+	.A1(n_31381),
+	.A2(n_26946),
+	.B1(n_1334),
+	.B2(n_31379),
+	.C1(n_24742),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[226]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744837 (
+	.A1(n_31381),
+	.A2(n_26936),
+	.B1(n_1336),
+	.B2(n_31379),
+	.C1(n_24696),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[225]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744838 (
+	.A1(n_26944),
+	.A2(n_31378),
+	.B1(n_29871),
+	.B2(n_31376),
+	.C1(n_24757),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[188]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744839 (
+	.A1(n_26945),
+	.A2(n_31378),
+	.B1(n_24305),
+	.B2(n_31376),
+	.C1(n_25196),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[187]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744840 (
+	.A1(n_26948),
+	.A2(n_31378),
+	.B1(n_29882),
+	.B2(n_31376),
+	.C1(n_24530),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[186]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744841 (
+	.A1(n_26949),
+	.A2(n_31378),
+	.B1(n_24299),
+	.B2(n_31376),
+	.C1(n_24776),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[185]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744842 (
+	.A1(n_26950),
+	.A2(n_31378),
+	.B1(n_24065),
+	.B2(n_31376),
+	.C1(n_24786),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[184]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744843 (
+	.A1(n_26951),
+	.A2(n_31378),
+	.B1(n_29884),
+	.B2(n_31376),
+	.C1(n_24877),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[180]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744844 (
+	.A1(n_26939),
+	.A2(n_31378),
+	.B1(n_24306),
+	.B2(n_31376),
+	.C1(n_24962),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[179]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744845 (
+	.A1(n_26940),
+	.A2(n_31378),
+	.B1(n_29879),
+	.B2(n_31376),
+	.C1(n_24928),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[178]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744846 (
+	.A1(n_26941),
+	.A2(n_31378),
+	.B1(n_29878),
+	.B2(n_31376),
+	.C1(n_25158),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[177]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744847 (
+	.A1(n_26952),
+	.A2(n_31378),
+	.B1(n_24304),
+	.B2(n_31376),
+	.C1(n_24605),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[176]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744848 (
+	.A1(n_26935),
+	.A2(n_31378),
+	.B1(n_24303),
+	.B2(n_31376),
+	.C1(n_24943),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[175]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744849 (
+	.A1(n_26947),
+	.A2(n_31378),
+	.B1(n_24062),
+	.B2(n_31376),
+	.C1(n_24756),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[174]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744850 (
+	.A1(n_26938),
+	.A2(n_31378),
+	.B1(n_24300),
+	.B2(n_31376),
+	.C1(n_24770),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[173]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744851 (
+	.A1(n_26937),
+	.A2(n_31378),
+	.B1(n_24063),
+	.B2(n_31376),
+	.C1(n_24591),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[171]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744852 (
+	.A1(n_24302),
+	.A2(n_31376),
+	.B1(n_26934),
+	.B2(n_31378),
+	.C1(n_24793),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[170]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744853 (
+	.A1(n_26942),
+	.A2(n_31378),
+	.B1(n_1337),
+	.B2(n_31376),
+	.C1(n_24604),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[166]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744854 (
+	.A1(n_26943),
+	.A2(n_31378),
+	.B1(n_1333),
+	.B2(n_31376),
+	.C1(n_24982),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[164]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744855 (
+	.A1(n_26953),
+	.A2(n_31378),
+	.B1(n_1335),
+	.B2(n_31376),
+	.C1(n_24636),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[163]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744856 (
+	.A1(n_26946),
+	.A2(n_31378),
+	.B1(n_1334),
+	.B2(n_31376),
+	.C1(n_24585),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[162]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744857 (
+	.A1(n_26936),
+	.A2(n_31378),
+	.B1(n_1336),
+	.B2(n_31376),
+	.C1(n_24640),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[161]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744858 (
+	.A1(n_29871),
+	.A2(n_31339),
+	.B1(n_26944),
+	.B2(n_31341),
+	.C1(n_24676),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[540]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744859 (
+	.A1(n_24305),
+	.A2(n_31339),
+	.B1(n_26945),
+	.B2(n_31341),
+	.C1(n_24748),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[539]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744860 (
+	.A1(n_29882),
+	.A2(n_31339),
+	.B1(n_26948),
+	.B2(n_31341),
+	.C1(n_24727),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[538]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744861 (
+	.A1(n_26949),
+	.A2(n_31341),
+	.B1(n_24299),
+	.B2(n_31339),
+	.C1(n_24704),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[537]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744862 (
+	.A1(n_24065),
+	.A2(n_31339),
+	.B1(n_26950),
+	.B2(n_31341),
+	.C1(n_24749),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[536]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744863 (
+	.A1(n_26951),
+	.A2(n_31341),
+	.B1(n_29884),
+	.B2(n_31339),
+	.C1(n_24883),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[532]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744864 (
+	.A1(n_24306),
+	.A2(n_31339),
+	.B1(n_26939),
+	.B2(n_31341),
+	.C1(n_25311),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[531]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744865 (
+	.A1(n_29879),
+	.A2(n_31339),
+	.B1(n_26940),
+	.B2(n_31341),
+	.C1(n_24683),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[530]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744866 (
+	.A1(n_29878),
+	.A2(n_31339),
+	.B1(n_26941),
+	.B2(n_31341),
+	.C1(n_24721),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[529]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744867 (
+	.A1(n_24304),
+	.A2(n_31339),
+	.B1(n_26952),
+	.B2(n_31341),
+	.C1(n_24561),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[528]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744868 (
+	.A1(n_24303),
+	.A2(n_31339),
+	.B1(n_26935),
+	.B2(n_31341),
+	.C1(n_24782),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[527]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744869 (
+	.A1(n_26947),
+	.A2(n_31341),
+	.B1(n_24062),
+	.B2(n_31339),
+	.C1(n_24764),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[526]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744870 (
+	.A1(n_24300),
+	.A2(n_31339),
+	.B1(n_26938),
+	.B2(n_31341),
+	.C1(n_24912),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[525]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744871 (
+	.A1(n_24063),
+	.A2(n_31339),
+	.B1(n_26937),
+	.B2(n_31341),
+	.C1(n_24596),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[523]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744872 (
+	.A1(n_24302),
+	.A2(n_31339),
+	.B1(n_26934),
+	.B2(n_31341),
+	.C1(n_24557),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[522]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744873 (
+	.A1(n_1337),
+	.A2(n_31339),
+	.B1(n_26942),
+	.B2(n_31341),
+	.C1(n_24968),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[518]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744874 (
+	.A1(n_26943),
+	.A2(n_31341),
+	.B1(n_1333),
+	.B2(n_31339),
+	.C1(n_25042),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[516]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744875 (
+	.A1(n_1335),
+	.A2(n_31339),
+	.B1(n_26953),
+	.B2(n_31341),
+	.C1(n_24789),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[515]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744876 (
+	.A1(n_1334),
+	.A2(n_31339),
+	.B1(n_26946),
+	.B2(n_31341),
+	.C1(n_24815),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[514]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744877 (
+	.A1(n_1336),
+	.A2(n_31339),
+	.B1(n_26936),
+	.B2(n_31341),
+	.C1(n_24768),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[513]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744878 (
+	.A1(n_29871),
+	.A2(n_31316),
+	.B1(n_26944),
+	.B2(n_31318),
+	.C1(n_24777),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[636]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744879 (
+	.A1(n_24305),
+	.A2(n_31316),
+	.B1(n_26945),
+	.B2(n_31318),
+	.C1(n_24797),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[635]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744880 (
+	.A1(n_29882),
+	.A2(n_31316),
+	.B1(n_26948),
+	.B2(n_31318),
+	.C1(n_24840),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[634]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744881 (
+	.A1(n_24299),
+	.A2(n_31316),
+	.B1(n_26949),
+	.B2(n_31318),
+	.C1(n_24536),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[633]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744882 (
+	.A1(n_24065),
+	.A2(n_31316),
+	.B1(n_26950),
+	.B2(n_31318),
+	.C1(n_24863),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[632]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744883 (
+	.A1(n_26951),
+	.A2(n_31318),
+	.B1(n_29884),
+	.B2(n_31316),
+	.C1(n_24829),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[628]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744884 (
+	.A1(n_24306),
+	.A2(n_31316),
+	.B1(n_26939),
+	.B2(n_31318),
+	.C1(n_24803),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[627]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744885 (
+	.A1(n_29879),
+	.A2(n_31316),
+	.B1(n_26940),
+	.B2(n_31318),
+	.C1(n_25169),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[626]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744886 (
+	.A1(n_29878),
+	.A2(n_31316),
+	.B1(n_26941),
+	.B2(n_31318),
+	.C1(n_24994),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[625]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744887 (
+	.A1(n_24304),
+	.A2(n_31316),
+	.B1(n_26952),
+	.B2(n_31318),
+	.C1(n_24559),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[624]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744888 (
+	.A1(n_26935),
+	.A2(n_31318),
+	.B1(n_24303),
+	.B2(n_31316),
+	.C1(n_24806),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[623]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744889 (
+	.A1(n_26947),
+	.A2(n_31318),
+	.B1(n_24062),
+	.B2(n_31316),
+	.C1(n_24546),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[622]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744890 (
+	.A1(n_24300),
+	.A2(n_31316),
+	.B1(n_26938),
+	.B2(n_31318),
+	.C1(n_24978),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[621]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744891 (
+	.A1(n_24063),
+	.A2(n_31316),
+	.B1(n_26937),
+	.B2(n_31318),
+	.C1(n_25148),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[619]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744892 (
+	.A1(n_24302),
+	.A2(n_31316),
+	.B1(n_26934),
+	.B2(n_31318),
+	.C1(n_25086),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[618]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744893 (
+	.A1(n_1337),
+	.A2(n_31316),
+	.B1(n_26942),
+	.B2(n_31318),
+	.C1(n_24792),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[614]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744894 (
+	.A1(n_1333),
+	.A2(n_31316),
+	.B1(n_26943),
+	.B2(n_31318),
+	.C1(n_24750),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[612]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744895 (
+	.A1(n_1335),
+	.A2(n_31316),
+	.B1(n_26953),
+	.B2(n_31318),
+	.C1(n_24532),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[611]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744896 (
+	.A1(n_1334),
+	.A2(n_31316),
+	.B1(n_26946),
+	.B2(n_31318),
+	.C1(n_24790),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[610]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744897 (
+	.A1(n_1336),
+	.A2(n_31316),
+	.B1(n_26936),
+	.B2(n_31318),
+	.C1(n_24693),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[609]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744898 (
+	.A1(n_29871),
+	.A2(n_31363),
+	.B1(n_26944),
+	.B2(n_31365),
+	.C1(n_24717),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[604]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744899 (
+	.A1(n_24305),
+	.A2(n_31363),
+	.B1(n_26945),
+	.B2(n_31365),
+	.C1(n_24590),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[603]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744900 (
+	.A1(n_29882),
+	.A2(n_31363),
+	.B1(n_26948),
+	.B2(n_31365),
+	.C1(n_25208),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[602]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744901 (
+	.A1(n_26949),
+	.A2(n_31365),
+	.B1(n_24299),
+	.B2(n_31363),
+	.C1(n_24644),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[601]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744902 (
+	.A1(n_26950),
+	.A2(n_31365),
+	.B1(n_24065),
+	.B2(n_31363),
+	.C1(n_24787),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[600]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744903 (
+	.A1(n_26951),
+	.A2(n_31365),
+	.B1(n_29884),
+	.B2(n_31363),
+	.C1(n_24931),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[596]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744904 (
+	.A1(n_24306),
+	.A2(n_31363),
+	.B1(n_26939),
+	.B2(n_31365),
+	.C1(n_24679),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[595]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744905 (
+	.A1(n_29879),
+	.A2(n_31363),
+	.B1(n_26940),
+	.B2(n_31365),
+	.C1(n_24762),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[594]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744906 (
+	.A1(n_29878),
+	.A2(n_31363),
+	.B1(n_26941),
+	.B2(n_31365),
+	.C1(n_24594),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[593]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744907 (
+	.A1(n_24304),
+	.A2(n_31363),
+	.B1(n_26952),
+	.B2(n_31365),
+	.C1(n_24587),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[592]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744908 (
+	.A1(n_24303),
+	.A2(n_31363),
+	.B1(n_26935),
+	.B2(n_31365),
+	.C1(n_24638),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[591]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744909 (
+	.A1(n_26947),
+	.A2(n_31365),
+	.B1(n_24062),
+	.B2(n_31363),
+	.C1(n_24842),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[590]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744910 (
+	.A1(n_26938),
+	.A2(n_31365),
+	.B1(n_24300),
+	.B2(n_31363),
+	.C1(n_24705),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[589]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744911 (
+	.A1(n_24063),
+	.A2(n_31363),
+	.B1(n_26937),
+	.B2(n_31365),
+	.C1(n_24897),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[587]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744912 (
+	.A1(n_24302),
+	.A2(n_31363),
+	.B1(n_26934),
+	.B2(n_31365),
+	.C1(n_24738),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[586]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744913 (
+	.A1(n_1337),
+	.A2(n_31363),
+	.B1(n_26942),
+	.B2(n_31365),
+	.C1(n_24606),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[582]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744914 (
+	.A1(n_1333),
+	.A2(n_31363),
+	.B1(n_26943),
+	.B2(n_31365),
+	.C1(n_24798),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[580]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744915 (
+	.A1(n_1335),
+	.A2(n_31363),
+	.B1(n_26953),
+	.B2(n_31365),
+	.C1(n_24699),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[579]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744916 (
+	.A1(n_1334),
+	.A2(n_31363),
+	.B1(n_26946),
+	.B2(n_31365),
+	.C1(n_24766),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[578]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744917 (
+	.A1(n_1336),
+	.A2(n_31363),
+	.B1(n_26936),
+	.B2(n_31365),
+	.C1(n_25178),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[577]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744918 (
+	.A1(n_29871),
+	.A2(n_32477),
+	.B1(n_26944),
+	.B2(n_32479),
+	.C1(n_24527),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[764]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744919 (
+	.A1(n_24305),
+	.A2(n_32477),
+	.B1(n_26945),
+	.B2(n_32479),
+	.C1(n_25018),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[763]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744920 (
+	.A1(n_26948),
+	.A2(n_32479),
+	.B1(n_29882),
+	.B2(n_32477),
+	.C1(n_25204),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[762]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744921 (
+	.A1(n_24299),
+	.A2(n_32477),
+	.B1(n_26949),
+	.B2(n_32479),
+	.C1(n_24628),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[761]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744922 (
+	.A1(n_24065),
+	.A2(n_32477),
+	.B1(n_26950),
+	.B2(n_32479),
+	.C1(n_25046),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[760]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744923 (
+	.A1(n_29884),
+	.A2(n_32477),
+	.B1(n_26951),
+	.B2(n_32479),
+	.C1(n_24893),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[756]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744924 (
+	.A1(n_24306),
+	.A2(n_32477),
+	.B1(n_26939),
+	.B2(n_32479),
+	.C1(n_24760),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[755]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744925 (
+	.A1(n_29879),
+	.A2(n_32477),
+	.B1(n_26940),
+	.B2(n_32479),
+	.C1(n_25172),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[754]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744926 (
+	.A1(n_29878),
+	.A2(n_32477),
+	.B1(n_26941),
+	.B2(n_32479),
+	.C1(n_25175),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[753]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744927 (
+	.A1(n_24304),
+	.A2(n_32477),
+	.B1(n_26952),
+	.B2(n_32479),
+	.C1(n_24953),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[752]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744928 (
+	.A1(n_24303),
+	.A2(n_32477),
+	.B1(n_26935),
+	.B2(n_32479),
+	.C1(n_24734),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[751]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744929 (
+	.A1(n_24062),
+	.A2(n_32477),
+	.B1(n_26947),
+	.B2(n_32479),
+	.C1(n_24823),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[750]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744930 (
+	.A1(n_24300),
+	.A2(n_32477),
+	.B1(n_26938),
+	.B2(n_32479),
+	.C1(n_24785),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[749]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744931 (
+	.A1(n_24063),
+	.A2(n_32477),
+	.B1(n_26937),
+	.B2(n_32479),
+	.C1(n_24583),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[747]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744932 (
+	.A1(n_24302),
+	.A2(n_32477),
+	.B1(n_26934),
+	.B2(n_32479),
+	.C1(n_24921),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[746]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744933 (
+	.A1(n_1337),
+	.A2(n_32477),
+	.B1(n_26942),
+	.B2(n_32479),
+	.C1(n_24544),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[742]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744934 (
+	.A1(n_26943),
+	.A2(n_32479),
+	.B1(n_1333),
+	.B2(n_32477),
+	.C1(n_25000),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[740]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744935 (
+	.A1(n_1335),
+	.A2(n_32477),
+	.B1(n_26953),
+	.B2(n_32479),
+	.C1(n_25045),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[739]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744936 (
+	.A1(n_1334),
+	.A2(n_32477),
+	.B1(n_26946),
+	.B2(n_32479),
+	.C1(n_25008),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[738]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744937 (
+	.A1(n_1336),
+	.A2(n_32477),
+	.B1(n_26936),
+	.B2(n_32479),
+	.C1(n_24979),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[737]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744938 (
+	.A1(n_29871),
+	.A2(n_31336),
+	.B1(n_26944),
+	.B2(n_31338),
+	.C1(n_25198),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[572]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744939 (
+	.A1(n_24305),
+	.A2(n_31336),
+	.B1(n_26945),
+	.B2(n_31338),
+	.C1(n_24990),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[571]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744940 (
+	.A1(n_26948),
+	.A2(n_31338),
+	.B1(n_29882),
+	.B2(n_31336),
+	.C1(n_24620),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[570]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744941 (
+	.A1(n_24299),
+	.A2(n_31336),
+	.B1(n_26949),
+	.B2(n_31338),
+	.C1(n_24781),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[569]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744942 (
+	.A1(n_26950),
+	.A2(n_31338),
+	.B1(n_24065),
+	.B2(n_31336),
+	.C1(n_24723),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[568]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744943 (
+	.A1(n_29884),
+	.A2(n_31336),
+	.B1(n_26951),
+	.B2(n_31338),
+	.C1(n_24947),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[564]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744944 (
+	.A1(n_26939),
+	.A2(n_31338),
+	.B1(n_24306),
+	.B2(n_31336),
+	.C1(n_25237),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[563]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744945 (
+	.A1(n_29879),
+	.A2(n_31336),
+	.B1(n_26940),
+	.B2(n_31338),
+	.C1(n_24558),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[562]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744946 (
+	.A1(n_29878),
+	.A2(n_31336),
+	.B1(n_26941),
+	.B2(n_31338),
+	.C1(n_24822),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[561]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744947 (
+	.A1(n_24304),
+	.A2(n_31336),
+	.B1(n_26952),
+	.B2(n_31338),
+	.C1(n_25004),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[560]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744948 (
+	.A1(n_24303),
+	.A2(n_31336),
+	.B1(n_26935),
+	.B2(n_31338),
+	.C1(n_25010),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[559]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744949 (
+	.A1(n_26947),
+	.A2(n_31338),
+	.B1(n_24062),
+	.B2(n_31336),
+	.C1(n_24573),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[558]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744950 (
+	.A1(n_24300),
+	.A2(n_31336),
+	.B1(n_26938),
+	.B2(n_31338),
+	.C1(n_24669),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[557]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744951 (
+	.A1(n_26937),
+	.A2(n_31338),
+	.B1(n_24063),
+	.B2(n_31336),
+	.C1(n_24571),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[555]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744952 (
+	.A1(n_24302),
+	.A2(n_31336),
+	.B1(n_26934),
+	.B2(n_31338),
+	.C1(n_24654),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[554]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744953 (
+	.A1(n_1337),
+	.A2(n_31336),
+	.B1(n_26942),
+	.B2(n_31338),
+	.C1(n_24680),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[550]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744954 (
+	.A1(n_1333),
+	.A2(n_31336),
+	.B1(n_26943),
+	.B2(n_31338),
+	.C1(n_25011),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[548]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g744955 (
+	.A(n_26813),
+	.B(n_26816),
+	.C(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill_en[3]),
+	.D(n_26919),
+	.Y(brqrv_top_brqrv_lsu_stbuf_reqvld_any), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744956 (
+	.A(n_27488),
+	.B(n_26956),
+	.Y(n_27605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744957 (
+	.A(n_27394),
+	.B(n_27100),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_fghr_ns [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744958 (
+	.A(n_27406),
+	.B(n_27108),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_fghr_ns [6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g744959 (
+	.A(n_27396),
+	.B(n_27102),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_fghr_ns [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g744960 (
+	.A(brqrv_top_brqrv_dbg_sbcs_wren),
+	.B(n_27488),
+	.Y(n_27601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g744961 (
+	.A1(n_24183),
+	.A2(n_26765),
+	.B1(n_27104),
+	.C1(n_27103),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_fghr_ns [5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744962 (
+	.A1(n_24183),
+	.A2(n_26729),
+	.B1(n_24409),
+	.B2(n_26081),
+	.C1(n_27395),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_fghr_ns [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g744963 (
+	.A(n_27324),
+	.B(n_27317),
+	.C(n_27243),
+	.Y(n_27600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g744964 (
+	.A(n_26017),
+	.B(n_27490),
+	.Y(n_27599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g744965 (
+	.A(n_26016),
+	.B(n_27490),
+	.Y(n_27598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g744966 (
+	.A(n_26014),
+	.B(n_27490),
+	.Y(n_27597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g744967 (
+	.A(n_26012),
+	.B(n_27490),
+	.Y(n_27596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g744968 (
+	.A(n_26020),
+	.B(n_27490),
+	.Y(n_27595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g744969 (
+	.A(brqrv_top_brqrv_dec_tlu_dec_timer_t1_pulse),
+	.B(n_27484),
+	.Y(n_27594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g744970 (
+	.A(n_27561),
+	.Y(brqrv_top_brqrv_dec_tlu_mscause_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g744971 (
+	.A(n_27537),
+	.Y(n_27568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g744972 (
+	.A(n_27531),
+	.Y(brqrv_top_brqrv_dec_tlu_mscause_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g744973 (
+	.A(n_27530),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g744974 (
+	.A(n_27529),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g744975 (
+	.A(n_27528),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g744976 (
+	.A(n_27527),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g744977 (
+	.A(n_27526),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g744978 (
+	.A(n_27525),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g744979 (
+	.A(n_27524),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g744980 (
+	.A(n_27523),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744981 (
+	.A1(n_26940),
+	.A2(n_31350),
+	.B1(n_29879),
+	.B2(n_31348),
+	.C1(n_24802),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[402]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744982 (
+	.A1(n_26937),
+	.A2(n_31372),
+	.B1(n_24063),
+	.B2(n_31370),
+	.C1(n_24814),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[107]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744983 (
+	.A1(n_26934),
+	.A2(n_31372),
+	.B1(n_24302),
+	.B2(n_31370),
+	.C1(n_24936),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[106]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744984 (
+	.A1(n_26942),
+	.A2(n_31372),
+	.B1(n_1337),
+	.B2(n_31370),
+	.C1(n_24744),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[102]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744985 (
+	.A1(n_26943),
+	.A2(n_31372),
+	.B1(n_1333),
+	.B2(n_31370),
+	.C1(n_24906),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[100]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744986 (
+	.A1(n_26953),
+	.A2(n_31372),
+	.B1(n_1335),
+	.B2(n_31370),
+	.C1(n_24740),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[99]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744987 (
+	.A1(n_26946),
+	.A2(n_31372),
+	.B1(n_1334),
+	.B2(n_31370),
+	.C1(n_24678),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[98]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744988 (
+	.A1(n_26936),
+	.A2(n_31372),
+	.B1(n_1336),
+	.B2(n_31370),
+	.C1(n_24998),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[97]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744989 (
+	.A1(n_26944),
+	.A2(n_32491),
+	.B1(n_29871),
+	.B2(n_31368),
+	.C1(n_25027),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[92]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744990 (
+	.A1(n_26945),
+	.A2(n_32491),
+	.B1(n_24305),
+	.B2(n_31368),
+	.C1(n_25253),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[91]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744991 (
+	.A1(n_26948),
+	.A2(n_32491),
+	.B1(n_29882),
+	.B2(n_31368),
+	.C1(n_24753),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[90]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744992 (
+	.A1(n_26949),
+	.A2(n_32491),
+	.B1(n_24299),
+	.B2(n_31368),
+	.C1(n_24631),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[89]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744993 (
+	.A1(n_26950),
+	.A2(n_32491),
+	.B1(n_24065),
+	.B2(n_31368),
+	.C1(n_24854),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[88]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744994 (
+	.A1(n_26951),
+	.A2(n_32491),
+	.B1(n_29884),
+	.B2(n_31368),
+	.C1(n_24774),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[84]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744995 (
+	.A1(n_26939),
+	.A2(n_32491),
+	.B1(n_24306),
+	.B2(n_31368),
+	.C1(n_24924),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[83]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744996 (
+	.A1(n_26940),
+	.A2(n_32491),
+	.B1(n_29879),
+	.B2(n_31368),
+	.C1(n_24939),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[82]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744997 (
+	.A1(n_26941),
+	.A2(n_32491),
+	.B1(n_29878),
+	.B2(n_31368),
+	.C1(n_25129),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[81]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744998 (
+	.A1(n_26952),
+	.A2(n_32491),
+	.B1(n_24304),
+	.B2(n_31368),
+	.C1(n_24984),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[80]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g744999 (
+	.A1(n_26935),
+	.A2(n_32491),
+	.B1(n_24303),
+	.B2(n_31368),
+	.C1(n_24653),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[79]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745000 (
+	.A1(n_26947),
+	.A2(n_32491),
+	.B1(n_24062),
+	.B2(n_31368),
+	.C1(n_24627),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[78]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745001 (
+	.A1(n_26938),
+	.A2(n_32491),
+	.B1(n_24300),
+	.B2(n_31368),
+	.C1(n_24660),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[77]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745002 (
+	.A1(n_26937),
+	.A2(n_32491),
+	.B1(n_24063),
+	.B2(n_31368),
+	.C1(n_24741),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[75]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745003 (
+	.A1(n_26934),
+	.A2(n_32491),
+	.B1(n_24302),
+	.B2(n_31368),
+	.C1(n_25075),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[74]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745004 (
+	.A1(n_26942),
+	.A2(n_32491),
+	.B1(n_1337),
+	.B2(n_31368),
+	.C1(n_24783),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[70]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745005 (
+	.A1(n_26943),
+	.A2(n_32491),
+	.B1(n_1333),
+	.B2(n_31368),
+	.C1(n_24761),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[68]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745006 (
+	.A1(n_26953),
+	.A2(n_32491),
+	.B1(n_1335),
+	.B2(n_31368),
+	.C1(n_25268),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[67]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745007 (
+	.A1(n_26946),
+	.A2(n_32491),
+	.B1(n_1334),
+	.B2(n_31368),
+	.C1(n_24751),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[66]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745008 (
+	.A1(n_26936),
+	.A2(n_32491),
+	.B1(n_1336),
+	.B2(n_31368),
+	.C1(n_24689),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[65]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745009 (
+	.A1(n_29871),
+	.A2(n_32480),
+	.B1(n_26944),
+	.B2(n_31330),
+	.C1(n_24551),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[732]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745010 (
+	.A1(n_24305),
+	.A2(n_32480),
+	.B1(n_26945),
+	.B2(n_31330),
+	.C1(n_24666),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[731]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745011 (
+	.A1(n_26948),
+	.A2(n_31330),
+	.B1(n_29882),
+	.B2(n_32480),
+	.C1(n_24755),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[730]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745012 (
+	.A1(n_24299),
+	.A2(n_32480),
+	.B1(n_26949),
+	.B2(n_31330),
+	.C1(n_25002),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[729]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745013 (
+	.A1(n_26950),
+	.A2(n_31330),
+	.B1(n_24065),
+	.B2(n_32480),
+	.C1(n_24542),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[728]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745014 (
+	.A1(n_26951),
+	.A2(n_31330),
+	.B1(n_29884),
+	.B2(n_32480),
+	.C1(n_24907),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[724]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745015 (
+	.A1(n_26939),
+	.A2(n_31330),
+	.B1(n_24306),
+	.B2(n_32480),
+	.C1(n_24772),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[723]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745016 (
+	.A1(n_29879),
+	.A2(n_32480),
+	.B1(n_26940),
+	.B2(n_31330),
+	.C1(n_24607),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[722]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745017 (
+	.A1(n_29878),
+	.A2(n_32480),
+	.B1(n_26941),
+	.B2(n_31330),
+	.C1(n_25261),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[721]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745018 (
+	.A1(n_24304),
+	.A2(n_32480),
+	.B1(n_26952),
+	.B2(n_31330),
+	.C1(n_24630),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[720]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745019 (
+	.A1(n_24303),
+	.A2(n_32480),
+	.B1(n_26935),
+	.B2(n_31330),
+	.C1(n_25024),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[719]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745020 (
+	.A1(n_26947),
+	.A2(n_31330),
+	.B1(n_24062),
+	.B2(n_32480),
+	.C1(n_25041),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[718]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745021 (
+	.A1(n_26938),
+	.A2(n_31330),
+	.B1(n_24300),
+	.B2(n_32480),
+	.C1(n_24641),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[717]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745022 (
+	.A1(n_24063),
+	.A2(n_32480),
+	.B1(n_26937),
+	.B2(n_31330),
+	.C1(n_24848),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[715]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745023 (
+	.A1(n_24302),
+	.A2(n_32480),
+	.B1(n_26934),
+	.B2(n_31330),
+	.C1(n_24869),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[714]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745024 (
+	.A1(n_1337),
+	.A2(n_32480),
+	.B1(n_26942),
+	.B2(n_31330),
+	.C1(n_24923),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[710]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745025 (
+	.A1(n_1333),
+	.A2(n_32480),
+	.B1(n_26943),
+	.B2(n_31330),
+	.C1(n_24916),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[708]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745026 (
+	.A1(n_1335),
+	.A2(n_32480),
+	.B1(n_26953),
+	.B2(n_31330),
+	.C1(n_24954),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[707]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745027 (
+	.A1(n_1334),
+	.A2(n_32480),
+	.B1(n_26946),
+	.B2(n_31330),
+	.C1(n_25005),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[706]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745028 (
+	.A1(n_1336),
+	.A2(n_32480),
+	.B1(n_26936),
+	.B2(n_31330),
+	.C1(n_24692),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[705]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745029 (
+	.A1(n_29871),
+	.A2(n_31326),
+	.B1(n_26944),
+	.B2(n_32473),
+	.C1(n_24554),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[668]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745030 (
+	.A1(n_24305),
+	.A2(n_31326),
+	.B1(n_26945),
+	.B2(n_32473),
+	.C1(n_24673),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[667]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745031 (
+	.A1(n_26948),
+	.A2(n_32473),
+	.B1(n_29882),
+	.B2(n_31326),
+	.C1(n_24716),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[666]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745032 (
+	.A1(n_26949),
+	.A2(n_32473),
+	.B1(n_24299),
+	.B2(n_31326),
+	.C1(n_24712),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[665]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745033 (
+	.A1(n_26950),
+	.A2(n_32473),
+	.B1(n_24065),
+	.B2(n_31326),
+	.C1(n_24531),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[664]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745034 (
+	.A1(n_26951),
+	.A2(n_32473),
+	.B1(n_29884),
+	.B2(n_31326),
+	.C1(n_24992),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[660]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745035 (
+	.A1(n_26939),
+	.A2(n_32473),
+	.B1(n_24306),
+	.B2(n_31326),
+	.C1(n_24635),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[659]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745036 (
+	.A1(n_29879),
+	.A2(n_31326),
+	.B1(n_26940),
+	.B2(n_32473),
+	.C1(n_24909),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[658]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745037 (
+	.A1(n_29878),
+	.A2(n_31326),
+	.B1(n_26941),
+	.B2(n_32473),
+	.C1(n_24875),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[657]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745038 (
+	.A1(n_26952),
+	.A2(n_32473),
+	.B1(n_24304),
+	.B2(n_31326),
+	.C1(n_24858),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[656]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745039 (
+	.A1(n_26935),
+	.A2(n_32473),
+	.B1(n_24303),
+	.B2(n_31326),
+	.C1(n_24830),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[655]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745040 (
+	.A1(n_26947),
+	.A2(n_32473),
+	.B1(n_24062),
+	.B2(n_31326),
+	.C1(n_24965),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[654]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745041 (
+	.A1(n_24300),
+	.A2(n_31326),
+	.B1(n_26938),
+	.B2(n_32473),
+	.C1(n_25013),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[653]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745042 (
+	.A1(n_24063),
+	.A2(n_31326),
+	.B1(n_26937),
+	.B2(n_32473),
+	.C1(n_24533),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[651]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745043 (
+	.A1(n_24302),
+	.A2(n_31326),
+	.B1(n_26934),
+	.B2(n_32473),
+	.C1(n_25247),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[650]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745044 (
+	.A1(n_1337),
+	.A2(n_31326),
+	.B1(n_26942),
+	.B2(n_32473),
+	.C1(n_25074),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[646]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745045 (
+	.A1(n_1333),
+	.A2(n_31326),
+	.B1(n_26943),
+	.B2(n_32473),
+	.C1(n_25310),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[644]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745046 (
+	.A1(n_1335),
+	.A2(n_31326),
+	.B1(n_26953),
+	.B2(n_32473),
+	.C1(n_24910),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[643]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745047 (
+	.A1(n_1334),
+	.A2(n_31326),
+	.B1(n_26946),
+	.B2(n_32473),
+	.C1(n_24915),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[642]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745048 (
+	.A1(n_1336),
+	.A2(n_31326),
+	.B1(n_26936),
+	.B2(n_32473),
+	.C1(n_24996),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[641]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745049 (
+	.A1(n_29871),
+	.A2(n_32482),
+	.B1(n_31332),
+	.B2(n_26944),
+	.C1(n_25014),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[700]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745050 (
+	.A1(n_24305),
+	.A2(n_32482),
+	.B1(n_31332),
+	.B2(n_26945),
+	.C1(n_25271),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[699]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745051 (
+	.A1(n_29882),
+	.A2(n_32482),
+	.B1(n_31332),
+	.B2(n_26948),
+	.C1(n_25200),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[698]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745052 (
+	.A1(n_24299),
+	.A2(n_32482),
+	.B1(n_31332),
+	.B2(n_26949),
+	.C1(n_25023),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[697]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745053 (
+	.A1(n_31332),
+	.A2(n_26950),
+	.B1(n_24065),
+	.B2(n_32482),
+	.C1(n_25050),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[696]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745054 (
+	.A1(n_31332),
+	.A2(n_26951),
+	.B1(n_29884),
+	.B2(n_32482),
+	.C1(n_25202),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[692]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745055 (
+	.A1(n_24306),
+	.A2(n_32482),
+	.B1(n_26939),
+	.B2(n_31332),
+	.C1(n_24759),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[691]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745056 (
+	.A1(n_29879),
+	.A2(n_32482),
+	.B1(n_26940),
+	.B2(n_31332),
+	.C1(n_24867),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[690]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745057 (
+	.A1(n_29878),
+	.A2(n_32482),
+	.B1(n_26941),
+	.B2(n_31332),
+	.C1(n_24702),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[689]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745058 (
+	.A1(n_24304),
+	.A2(n_32482),
+	.B1(n_31332),
+	.B2(n_26952),
+	.C1(n_24728),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[688]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745059 (
+	.A1(n_24303),
+	.A2(n_32482),
+	.B1(n_31332),
+	.B2(n_26935),
+	.C1(n_24618),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[687]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745060 (
+	.A1(n_31332),
+	.A2(n_26947),
+	.B1(n_24062),
+	.B2(n_32482),
+	.C1(n_24997),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[686]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745061 (
+	.A1(n_24300),
+	.A2(n_32482),
+	.B1(n_31332),
+	.B2(n_26938),
+	.C1(n_24548),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[685]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745062 (
+	.A1(n_24063),
+	.A2(n_32482),
+	.B1(n_31332),
+	.B2(n_26937),
+	.C1(n_24904),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[683]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745063 (
+	.A1(n_24302),
+	.A2(n_32482),
+	.B1(n_31332),
+	.B2(n_26934),
+	.C1(n_24589),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[682]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745064 (
+	.A1(n_1337),
+	.A2(n_32482),
+	.B1(n_26942),
+	.B2(n_31332),
+	.C1(n_24988),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[678]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745065 (
+	.A1(n_26943),
+	.A2(n_31332),
+	.B1(n_1333),
+	.B2(n_32482),
+	.C1(n_24874),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[676]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745066 (
+	.A1(n_1335),
+	.A2(n_32482),
+	.B1(n_31332),
+	.B2(n_26953),
+	.C1(n_24664),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[675]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745067 (
+	.A1(n_1334),
+	.A2(n_32482),
+	.B1(n_31332),
+	.B2(n_26946),
+	.C1(n_24729),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[674]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745068 (
+	.A1(n_1336),
+	.A2(n_32482),
+	.B1(n_31332),
+	.B2(n_26936),
+	.C1(n_24709),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[673]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745069 (
+	.A1(n_29871),
+	.A2(n_31342),
+	.B1(n_31344),
+	.B2(n_26944),
+	.C1(n_24600),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[508]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745070 (
+	.A1(n_24305),
+	.A2(n_31342),
+	.B1(n_31344),
+	.B2(n_26945),
+	.C1(n_24857),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[507]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745071 (
+	.A1(n_29882),
+	.A2(n_31342),
+	.B1(n_31344),
+	.B2(n_26948),
+	.C1(n_25055),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[506]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745072 (
+	.A1(n_24299),
+	.A2(n_31342),
+	.B1(n_31344),
+	.B2(n_26949),
+	.C1(n_24972),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[505]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745073 (
+	.A1(n_31344),
+	.A2(n_26950),
+	.B1(n_24065),
+	.B2(n_31342),
+	.C1(n_24945),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[504]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745074 (
+	.A1(n_29884),
+	.A2(n_31342),
+	.B1(n_31344),
+	.B2(n_26951),
+	.C1(n_25033),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[500]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745075 (
+	.A1(n_26939),
+	.A2(n_31344),
+	.B1(n_24306),
+	.B2(n_31342),
+	.C1(n_24866),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[499]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745076 (
+	.A1(n_29879),
+	.A2(n_31342),
+	.B1(n_26940),
+	.B2(n_31344),
+	.C1(n_24886),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[498]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745077 (
+	.A1(n_29878),
+	.A2(n_31342),
+	.B1(n_26941),
+	.B2(n_31344),
+	.C1(n_24752),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[497]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745078 (
+	.A1(n_24304),
+	.A2(n_31342),
+	.B1(n_31344),
+	.B2(n_26952),
+	.C1(n_24820),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[496]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745079 (
+	.A1(n_24303),
+	.A2(n_31342),
+	.B1(n_31344),
+	.B2(n_26935),
+	.C1(n_24745),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[495]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745080 (
+	.A1(n_31344),
+	.A2(n_26947),
+	.B1(n_24062),
+	.B2(n_31342),
+	.C1(n_24966),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[494]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745081 (
+	.A1(n_31344),
+	.A2(n_26938),
+	.B1(n_24300),
+	.B2(n_31342),
+	.C1(n_24894),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[493]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745082 (
+	.A1(n_24063),
+	.A2(n_31342),
+	.B1(n_31344),
+	.B2(n_26937),
+	.C1(n_24896),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[491]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745083 (
+	.A1(n_24302),
+	.A2(n_31342),
+	.B1(n_31344),
+	.B2(n_26934),
+	.C1(n_24560),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[490]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745084 (
+	.A1(n_1337),
+	.A2(n_31342),
+	.B1(n_26942),
+	.B2(n_31344),
+	.C1(n_24775),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[486]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745085 (
+	.A1(n_26943),
+	.A2(n_31344),
+	.B1(n_1333),
+	.B2(n_31342),
+	.C1(n_24656),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[484]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745086 (
+	.A1(n_1335),
+	.A2(n_31342),
+	.B1(n_31344),
+	.B2(n_26953),
+	.C1(n_24767),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[483]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745087 (
+	.A1(n_1334),
+	.A2(n_31342),
+	.B1(n_31344),
+	.B2(n_26946),
+	.C1(n_25032),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[482]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745088 (
+	.A1(n_1336),
+	.A2(n_31342),
+	.B1(n_31344),
+	.B2(n_26936),
+	.C1(n_25162),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[481]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745089 (
+	.A1(n_29871),
+	.A2(n_31351),
+	.B1(n_31353),
+	.B2(n_26944),
+	.C1(n_24780),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[380]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745090 (
+	.A1(n_31353),
+	.A2(n_26945),
+	.B1(n_24305),
+	.B2(n_31351),
+	.C1(n_25323),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[379]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745091 (
+	.A1(n_29882),
+	.A2(n_31351),
+	.B1(n_31353),
+	.B2(n_26948),
+	.C1(n_24711),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[378]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745092 (
+	.A1(n_24299),
+	.A2(n_31351),
+	.B1(n_31353),
+	.B2(n_26949),
+	.C1(n_24574),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[377]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745093 (
+	.A1(n_31353),
+	.A2(n_26950),
+	.B1(n_24065),
+	.B2(n_31351),
+	.C1(n_24722),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[376]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745094 (
+	.A1(n_29884),
+	.A2(n_31351),
+	.B1(n_31353),
+	.B2(n_26951),
+	.C1(n_24824),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[372]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745095 (
+	.A1(n_26939),
+	.A2(n_31353),
+	.B1(n_24306),
+	.B2(n_31351),
+	.C1(n_24555),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[371]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745096 (
+	.A1(n_29879),
+	.A2(n_31351),
+	.B1(n_26940),
+	.B2(n_31353),
+	.C1(n_24565),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[370]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745097 (
+	.A1(n_29878),
+	.A2(n_31351),
+	.B1(n_26941),
+	.B2(n_31353),
+	.C1(n_24807),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[369]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745098 (
+	.A1(n_24304),
+	.A2(n_31351),
+	.B1(n_31353),
+	.B2(n_26952),
+	.C1(n_24839),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[368]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745099 (
+	.A1(n_24303),
+	.A2(n_31351),
+	.B1(n_31353),
+	.B2(n_26935),
+	.C1(n_24876),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[367]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745100 (
+	.A1(n_31353),
+	.A2(n_26947),
+	.B1(n_24062),
+	.B2(n_31351),
+	.C1(n_24855),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[366]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745101 (
+	.A1(n_31353),
+	.A2(n_26938),
+	.B1(n_24300),
+	.B2(n_31351),
+	.C1(n_24963),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[365]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745102 (
+	.A1(n_24063),
+	.A2(n_31351),
+	.B1(n_31353),
+	.B2(n_26937),
+	.C1(n_24715),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[363]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745103 (
+	.A1(n_24302),
+	.A2(n_31351),
+	.B1(n_31353),
+	.B2(n_26934),
+	.C1(n_24784),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[362]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745104 (
+	.A1(n_1337),
+	.A2(n_31351),
+	.B1(n_26942),
+	.B2(n_31353),
+	.C1(n_24773),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[358]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745105 (
+	.A1(n_1333),
+	.A2(n_31351),
+	.B1(n_26943),
+	.B2(n_31353),
+	.C1(n_24611),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[356]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745106 (
+	.A1(n_1335),
+	.A2(n_31351),
+	.B1(n_31353),
+	.B2(n_26953),
+	.C1(n_25283),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[355]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745107 (
+	.A1(n_31353),
+	.A2(n_26946),
+	.B1(n_1334),
+	.B2(n_31351),
+	.C1(n_24847),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[354]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745108 (
+	.A1(n_1336),
+	.A2(n_31351),
+	.B1(n_31353),
+	.B2(n_26936),
+	.C1(n_25220),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[353]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745109 (
+	.A1(n_29871),
+	.A2(n_31345),
+	.B1(n_26944),
+	.B2(n_31347),
+	.C1(n_24701),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[444]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745110 (
+	.A1(n_24305),
+	.A2(n_31345),
+	.B1(n_26945),
+	.B2(n_31347),
+	.C1(n_24553),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[443]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745111 (
+	.A1(n_29882),
+	.A2(n_31345),
+	.B1(n_26948),
+	.B2(n_31347),
+	.C1(n_24868),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[442]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745112 (
+	.A1(n_26949),
+	.A2(n_31347),
+	.B1(n_24299),
+	.B2(n_31345),
+	.C1(n_24700),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[441]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745113 (
+	.A1(n_26950),
+	.A2(n_31347),
+	.B1(n_24065),
+	.B2(n_31345),
+	.C1(n_24935),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[440]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745114 (
+	.A1(n_29884),
+	.A2(n_31345),
+	.B1(n_26951),
+	.B2(n_31347),
+	.C1(n_25087),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[436]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745115 (
+	.A1(n_26939),
+	.A2(n_31347),
+	.B1(n_24306),
+	.B2(n_31345),
+	.C1(n_25287),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[435]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745116 (
+	.A1(n_29879),
+	.A2(n_31345),
+	.B1(n_26940),
+	.B2(n_31347),
+	.C1(n_24564),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[434]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745117 (
+	.A1(n_29878),
+	.A2(n_31345),
+	.B1(n_26941),
+	.B2(n_31347),
+	.C1(n_24938),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[433]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745118 (
+	.A1(n_24304),
+	.A2(n_31345),
+	.B1(n_26952),
+	.B2(n_31347),
+	.C1(n_24694),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[432]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745119 (
+	.A1(n_24303),
+	.A2(n_31345),
+	.B1(n_26935),
+	.B2(n_31347),
+	.C1(n_25185),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[431]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745120 (
+	.A1(n_26947),
+	.A2(n_31347),
+	.B1(n_24062),
+	.B2(n_31345),
+	.C1(n_24665),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[430]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745121 (
+	.A1(n_24300),
+	.A2(n_31345),
+	.B1(n_26938),
+	.B2(n_31347),
+	.C1(n_24743),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[429]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745122 (
+	.A1(n_24063),
+	.A2(n_31345),
+	.B1(n_26937),
+	.B2(n_31347),
+	.C1(n_24534),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[427]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745123 (
+	.A1(n_24302),
+	.A2(n_31345),
+	.B1(n_26934),
+	.B2(n_31347),
+	.C1(n_24836),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[426]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745124 (
+	.A1(n_1337),
+	.A2(n_31345),
+	.B1(n_26942),
+	.B2(n_31347),
+	.C1(n_24582),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[422]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745125 (
+	.A1(n_1333),
+	.A2(n_31345),
+	.B1(n_26943),
+	.B2(n_31347),
+	.C1(n_24878),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[420]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745126 (
+	.A1(n_1335),
+	.A2(n_31345),
+	.B1(n_26953),
+	.B2(n_31347),
+	.C1(n_24934),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[419]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745127 (
+	.A1(n_1334),
+	.A2(n_31345),
+	.B1(n_26946),
+	.B2(n_31347),
+	.C1(n_24595),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[418]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745128 (
+	.A1(n_1336),
+	.A2(n_31345),
+	.B1(n_26936),
+	.B2(n_31347),
+	.C1(n_25021),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[417]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745129 (
+	.A1(n_29871),
+	.A2(n_31333),
+	.B1(n_26944),
+	.B2(n_31335),
+	.C1(n_24833),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[284]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745130 (
+	.A1(n_24305),
+	.A2(n_31333),
+	.B1(n_26945),
+	.B2(n_31335),
+	.C1(n_24769),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[283]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745131 (
+	.A1(n_29882),
+	.A2(n_31333),
+	.B1(n_26948),
+	.B2(n_31335),
+	.C1(n_24804),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[282]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745132 (
+	.A1(n_26949),
+	.A2(n_31335),
+	.B1(n_24299),
+	.B2(n_31333),
+	.C1(n_24846),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[281]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745133 (
+	.A1(n_26950),
+	.A2(n_31335),
+	.B1(n_24065),
+	.B2(n_31333),
+	.C1(n_24529),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[280]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745134 (
+	.A1(n_26951),
+	.A2(n_31335),
+	.B1(n_29884),
+	.B2(n_31333),
+	.C1(n_24580),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[276]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745135 (
+	.A1(n_26939),
+	.A2(n_31335),
+	.B1(n_24306),
+	.B2(n_31333),
+	.C1(n_24570),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[275]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745136 (
+	.A1(n_26940),
+	.A2(n_31335),
+	.B1(n_29879),
+	.B2(n_31333),
+	.C1(n_24881),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[274]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745137 (
+	.A1(n_29878),
+	.A2(n_31333),
+	.B1(n_26941),
+	.B2(n_31335),
+	.C1(n_24576),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[273]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745138 (
+	.A1(n_24304),
+	.A2(n_31333),
+	.B1(n_26952),
+	.B2(n_31335),
+	.C1(n_24672),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[272]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745139 (
+	.A1(n_26935),
+	.A2(n_31335),
+	.B1(n_24303),
+	.B2(n_31333),
+	.C1(n_24537),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[271]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745140 (
+	.A1(n_24062),
+	.A2(n_31333),
+	.B1(n_26947),
+	.B2(n_31335),
+	.C1(n_24668),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[270]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745141 (
+	.A1(n_26938),
+	.A2(n_31335),
+	.B1(n_24300),
+	.B2(n_31333),
+	.C1(n_24852),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[269]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745142 (
+	.A1(n_24063),
+	.A2(n_31333),
+	.B1(n_26937),
+	.B2(n_31335),
+	.C1(n_24838),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[267]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745143 (
+	.A1(n_24302),
+	.A2(n_31333),
+	.B1(n_26934),
+	.B2(n_31335),
+	.C1(n_24993),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[266]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745144 (
+	.A1(n_1337),
+	.A2(n_31333),
+	.B1(n_26942),
+	.B2(n_31335),
+	.C1(n_24908),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[262]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745145 (
+	.A1(n_1333),
+	.A2(n_31333),
+	.B1(n_26943),
+	.B2(n_31335),
+	.C1(n_24933),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[260]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745146 (
+	.A1(n_1335),
+	.A2(n_31333),
+	.B1(n_26953),
+	.B2(n_31335),
+	.C1(n_24977),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[259]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745147 (
+	.A1(n_1334),
+	.A2(n_31333),
+	.B1(n_26946),
+	.B2(n_31335),
+	.C1(n_24871),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[258]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745148 (
+	.A1(n_1336),
+	.A2(n_31333),
+	.B1(n_26936),
+	.B2(n_31335),
+	.C1(n_24649),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[257]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745149 (
+	.A1(n_29871),
+	.A2(n_31357),
+	.B1(n_31359),
+	.B2(n_26944),
+	.C1(n_24733),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[316]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745150 (
+	.A1(n_24305),
+	.A2(n_31357),
+	.B1(n_31359),
+	.B2(n_26945),
+	.C1(n_24563),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[315]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745151 (
+	.A1(n_29882),
+	.A2(n_31357),
+	.B1(n_31359),
+	.B2(n_26948),
+	.C1(n_25197),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[314]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745152 (
+	.A1(n_24299),
+	.A2(n_31357),
+	.B1(n_31359),
+	.B2(n_26949),
+	.C1(n_24794),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[313]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745153 (
+	.A1(n_24065),
+	.A2(n_31357),
+	.B1(n_31359),
+	.B2(n_26950),
+	.C1(n_24813),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[312]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745154 (
+	.A1(n_31359),
+	.A2(n_26951),
+	.B1(n_29884),
+	.B2(n_31357),
+	.C1(n_24685),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[308]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745155 (
+	.A1(n_26939),
+	.A2(n_31359),
+	.B1(n_24306),
+	.B2(n_31357),
+	.C1(n_24902),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[307]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745156 (
+	.A1(n_29879),
+	.A2(n_31357),
+	.B1(n_26940),
+	.B2(n_31359),
+	.C1(n_24681),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[306]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745157 (
+	.A1(n_29878),
+	.A2(n_31357),
+	.B1(n_26941),
+	.B2(n_31359),
+	.C1(n_24567),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[305]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745158 (
+	.A1(n_24304),
+	.A2(n_31357),
+	.B1(n_31359),
+	.B2(n_26952),
+	.C1(n_24609),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[304]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745159 (
+	.A1(n_31359),
+	.A2(n_26935),
+	.B1(n_24303),
+	.B2(n_31357),
+	.C1(n_24651),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[303]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745160 (
+	.A1(n_31359),
+	.A2(n_26947),
+	.B1(n_24062),
+	.B2(n_31357),
+	.C1(n_24726),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[302]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745161 (
+	.A1(n_24300),
+	.A2(n_31357),
+	.B1(n_31359),
+	.B2(n_26938),
+	.C1(n_24809),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[301]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745162 (
+	.A1(n_24063),
+	.A2(n_31357),
+	.B1(n_31359),
+	.B2(n_26937),
+	.C1(n_24629),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[299]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745163 (
+	.A1(n_24302),
+	.A2(n_31357),
+	.B1(n_31359),
+	.B2(n_26934),
+	.C1(n_25294),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[298]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745164 (
+	.A1(n_1337),
+	.A2(n_31357),
+	.B1(n_26942),
+	.B2(n_31359),
+	.C1(n_25025),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[294]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745165 (
+	.A1(n_1333),
+	.A2(n_31357),
+	.B1(n_26943),
+	.B2(n_31359),
+	.C1(n_25151),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[292]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745166 (
+	.A1(n_1335),
+	.A2(n_31357),
+	.B1(n_31359),
+	.B2(n_26953),
+	.C1(n_24821),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[291]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745167 (
+	.A1(n_1334),
+	.A2(n_31357),
+	.B1(n_31359),
+	.B2(n_26946),
+	.C1(n_24812),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[290]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745168 (
+	.A1(n_1336),
+	.A2(n_31357),
+	.B1(n_31359),
+	.B2(n_26936),
+	.C1(n_24918),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[289]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745169 (
+	.A1(n_24305),
+	.A2(n_31360),
+	.B1(n_26945),
+	.B2(n_31362),
+	.C1(n_24592),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[475]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745170 (
+	.A1(n_26948),
+	.A2(n_31362),
+	.B1(n_29882),
+	.B2(n_31360),
+	.C1(n_24608),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[474]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745171 (
+	.A1(n_26949),
+	.A2(n_31362),
+	.B1(n_24299),
+	.B2(n_31360),
+	.C1(n_24614),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[473]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745172 (
+	.A1(n_26950),
+	.A2(n_31362),
+	.B1(n_24065),
+	.B2(n_31360),
+	.C1(n_24816),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[472]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745173 (
+	.A1(n_29884),
+	.A2(n_31360),
+	.B1(n_26951),
+	.B2(n_31362),
+	.C1(n_24602),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[468]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745174 (
+	.A1(n_26939),
+	.A2(n_31362),
+	.B1(n_24306),
+	.B2(n_31360),
+	.C1(n_24682),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[467]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745175 (
+	.A1(n_26940),
+	.A2(n_31362),
+	.B1(n_29879),
+	.B2(n_31360),
+	.C1(n_24577),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[466]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745176 (
+	.A1(n_29878),
+	.A2(n_31360),
+	.B1(n_26941),
+	.B2(n_31362),
+	.C1(n_24579),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[465]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745177 (
+	.A1(n_24304),
+	.A2(n_31360),
+	.B1(n_26952),
+	.B2(n_31362),
+	.C1(n_24856),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[464]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745178 (
+	.A1(n_26935),
+	.A2(n_31362),
+	.B1(n_24303),
+	.B2(n_31360),
+	.C1(n_24891),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[463]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745179 (
+	.A1(n_24062),
+	.A2(n_31360),
+	.B1(n_26947),
+	.B2(n_31362),
+	.C1(n_24791),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[462]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745180 (
+	.A1(n_24300),
+	.A2(n_31360),
+	.B1(n_26938),
+	.B2(n_31362),
+	.C1(n_24859),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[461]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745181 (
+	.A1(n_24063),
+	.A2(n_31360),
+	.B1(n_26937),
+	.B2(n_31362),
+	.C1(n_24540),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[459]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745182 (
+	.A1(n_26934),
+	.A2(n_31362),
+	.B1(n_24302),
+	.B2(n_31360),
+	.C1(n_24884),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[458]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745183 (
+	.A1(n_1337),
+	.A2(n_31360),
+	.B1(n_26942),
+	.B2(n_31362),
+	.C1(n_24719),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[454]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745184 (
+	.A1(n_1333),
+	.A2(n_31360),
+	.B1(n_26943),
+	.B2(n_31362),
+	.C1(n_25183),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[452]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745185 (
+	.A1(n_1335),
+	.A2(n_31360),
+	.B1(n_26953),
+	.B2(n_31362),
+	.C1(n_24851),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[451]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745186 (
+	.A1(n_1334),
+	.A2(n_31360),
+	.B1(n_26946),
+	.B2(n_31362),
+	.C1(n_24599),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[450]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745187 (
+	.A1(n_1336),
+	.A2(n_31360),
+	.B1(n_26936),
+	.B2(n_31362),
+	.C1(n_24613),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[449]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745188 (
+	.A1(n_29871),
+	.A2(n_31348),
+	.B1(n_26944),
+	.B2(n_31350),
+	.C1(n_24624),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[412]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745189 (
+	.A1(n_24305),
+	.A2(n_31348),
+	.B1(n_26945),
+	.B2(n_31350),
+	.C1(n_24526),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[411]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745190 (
+	.A1(n_26948),
+	.A2(n_31350),
+	.B1(n_29882),
+	.B2(n_31348),
+	.C1(n_25054),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[410]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745191 (
+	.A1(n_24299),
+	.A2(n_31348),
+	.B1(n_26949),
+	.B2(n_31350),
+	.C1(n_24832),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[409]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745192 (
+	.A1(n_24065),
+	.A2(n_31348),
+	.B1(n_26950),
+	.B2(n_31350),
+	.C1(n_24827),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[408]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745193 (
+	.A1(n_26951),
+	.A2(n_31350),
+	.B1(n_29884),
+	.B2(n_31348),
+	.C1(n_24828),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[404]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745194 (
+	.A1(n_26939),
+	.A2(n_31350),
+	.B1(n_24306),
+	.B2(n_31348),
+	.C1(n_24835),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[403]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745195 (
+	.A1(n_26938),
+	.A2(n_31372),
+	.B1(n_24300),
+	.B2(n_31370),
+	.C1(n_24805),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[109]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745196 (
+	.A1(n_29878),
+	.A2(n_31348),
+	.B1(n_26941),
+	.B2(n_31350),
+	.C1(n_24735),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[401]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745197 (
+	.A1(n_24304),
+	.A2(n_31348),
+	.B1(n_26952),
+	.B2(n_31350),
+	.C1(n_24647),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[400]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745198 (
+	.A1(n_24303),
+	.A2(n_31348),
+	.B1(n_26935),
+	.B2(n_31350),
+	.C1(n_25170),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[399]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745199 (
+	.A1(n_24062),
+	.A2(n_31348),
+	.B1(n_26947),
+	.B2(n_31350),
+	.C1(n_25099),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[398]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745200 (
+	.A1(n_26938),
+	.A2(n_31350),
+	.B1(n_24300),
+	.B2(n_31348),
+	.C1(n_24675),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[397]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745201 (
+	.A1(n_26937),
+	.A2(n_31350),
+	.B1(n_24063),
+	.B2(n_31348),
+	.C1(n_25083),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[395]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745202 (
+	.A1(n_26934),
+	.A2(n_31350),
+	.B1(n_24302),
+	.B2(n_31348),
+	.C1(n_24707),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[394]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745203 (
+	.A1(n_26942),
+	.A2(n_31350),
+	.B1(n_1337),
+	.B2(n_31348),
+	.C1(n_24845),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[390]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745204 (
+	.A1(n_1333),
+	.A2(n_31348),
+	.B1(n_26943),
+	.B2(n_31350),
+	.C1(n_24899),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[388]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745205 (
+	.A1(n_1335),
+	.A2(n_31348),
+	.B1(n_26953),
+	.B2(n_31350),
+	.C1(n_25127),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[387]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745206 (
+	.A1(n_1334),
+	.A2(n_31348),
+	.B1(n_26946),
+	.B2(n_31350),
+	.C1(n_24566),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[386]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745207 (
+	.A1(n_1336),
+	.A2(n_31348),
+	.B1(n_26936),
+	.B2(n_31350),
+	.C1(n_25195),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[385]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745208 (
+	.A1(n_29871),
+	.A2(n_31354),
+	.B1(n_31356),
+	.B2(n_26944),
+	.C1(n_25053),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[348]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745209 (
+	.A1(n_24305),
+	.A2(n_31354),
+	.B1(n_31356),
+	.B2(n_26945),
+	.C1(n_24598),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[347]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745210 (
+	.A1(n_29882),
+	.A2(n_31354),
+	.B1(n_31356),
+	.B2(n_26948),
+	.C1(n_24837),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[346]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745211 (
+	.A1(n_24299),
+	.A2(n_31354),
+	.B1(n_31356),
+	.B2(n_26949),
+	.C1(n_24597),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[345]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745212 (
+	.A1(n_31356),
+	.A2(n_26950),
+	.B1(n_24065),
+	.B2(n_31354),
+	.C1(n_24688),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[344]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745213 (
+	.A1(n_31356),
+	.A2(n_26951),
+	.B1(n_29884),
+	.B2(n_31354),
+	.C1(n_24621),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[340]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745214 (
+	.A1(n_24306),
+	.A2(n_31354),
+	.B1(n_26939),
+	.B2(n_31356),
+	.C1(n_24826),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[339]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745215 (
+	.A1(n_29879),
+	.A2(n_31354),
+	.B1(n_26940),
+	.B2(n_31356),
+	.C1(n_24623),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[338]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745216 (
+	.A1(n_29878),
+	.A2(n_31354),
+	.B1(n_26941),
+	.B2(n_31356),
+	.C1(n_24834),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[337]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745217 (
+	.A1(n_24304),
+	.A2(n_31354),
+	.B1(n_31356),
+	.B2(n_26952),
+	.C1(n_24622),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[336]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745218 (
+	.A1(n_24303),
+	.A2(n_31354),
+	.B1(n_31356),
+	.B2(n_26935),
+	.C1(n_24841),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[335]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745219 (
+	.A1(n_31356),
+	.A2(n_26947),
+	.B1(n_24062),
+	.B2(n_31354),
+	.C1(n_25192),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[334]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745220 (
+	.A1(n_31356),
+	.A2(n_26938),
+	.B1(n_24300),
+	.B2(n_31354),
+	.C1(n_24849),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[333]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745221 (
+	.A1(n_24063),
+	.A2(n_31354),
+	.B1(n_31356),
+	.B2(n_26937),
+	.C1(n_24616),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[331]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745222 (
+	.A1(n_24302),
+	.A2(n_31354),
+	.B1(n_31356),
+	.B2(n_26934),
+	.C1(n_25044),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[330]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745223 (
+	.A1(n_1337),
+	.A2(n_31354),
+	.B1(n_26942),
+	.B2(n_31356),
+	.C1(n_25190),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[326]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745224 (
+	.A1(n_1333),
+	.A2(n_31354),
+	.B1(n_26943),
+	.B2(n_31356),
+	.C1(n_24956),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[324]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745225 (
+	.A1(n_31356),
+	.A2(n_26953),
+	.B1(n_1335),
+	.B2(n_31354),
+	.C1(n_24588),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[323]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745226 (
+	.A1(n_1334),
+	.A2(n_31354),
+	.B1(n_31356),
+	.B2(n_26946),
+	.C1(n_24674),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[322]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745227 (
+	.A1(n_1336),
+	.A2(n_31354),
+	.B1(n_31356),
+	.B2(n_26936),
+	.C1(n_24543),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[321]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g745228 (
+	.A1(brqrv_top_brqrv_dec_decode_r_t[16]),
+	.A2(n_24443),
+	.B1(brqrv_top_brqrv_dec_decode_x_t[15]),
+	.B2(n_24449),
+	.C1(n_27433),
+	.Y(n_27566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g745229 (
+	.A1(n_24247),
+	.A2(n_39307),
+	.B1(n_25634),
+	.C1(n_26994),
+	.D1(n_27390),
+	.Y(n_27565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g745230 (
+	.A1(n_24242),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[22]),
+	.B1(n_25628),
+	.C1(n_26995),
+	.D1(n_27389),
+	.Y(n_27564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g745231 (
+	.A(n_25326),
+	.B(n_25828),
+	.C(n_26988),
+	.D(n_26985),
+	.Y(n_27563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745232 (
+	.A1(n_26247),
+	.A2(n_26077),
+	.B1(n_31291),
+	.B2(n_31137),
+	.C1(n_27332),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_byteenff_n_12 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745233 (
+	.A1(n_26247),
+	.A2(n_26078),
+	.B1(n_31291),
+	.B2(n_32307),
+	.C1(n_27331),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_byteenff_n_12 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745234 (
+	.A1(n_26247),
+	.A2(n_26079),
+	.B1(n_31291),
+	.B2(n_31493),
+	.C1(n_27330),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_byteenff_n_12 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745235 (
+	.A1(n_26247),
+	.A2(n_26080),
+	.B1(n_31291),
+	.B2(n_31140),
+	.C1(n_27329),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_byteenff_n_12 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745236 (
+	.A1(n_24100),
+	.A2(n_26700),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[9]),
+	.B2(n_26701),
+	.C1(n_27435),
+	.Y(n_27562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745237 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[2]),
+	.A2(n_27067),
+	.B1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.B2(brqrv_top_brqrv_lsu_error_pkt_r[36]),
+	.C1(n_27068),
+	.C2(brqrv_top_brqrv_dec_tlu_mscause[2]),
+	.Y(n_27561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745238 (
+	.A1(n_24472),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[22]),
+	.B1(n_24489),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[23]),
+	.C1(n_27391),
+	.Y(n_27560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745239 (
+	.A1(brqrv_top_brqrv_dec_tlu_mtval[5]),
+	.A2(n_27289),
+	.B1(n_24027),
+	.B2(brqrv_top_brqrv_dec_tlu_n_5594),
+	.C1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.C2(brqrv_top_brqrv_lsu_error_pkt_r[7]),
+	.Y(n_27559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g745240 (
+	.A1(n_26695),
+	.A2(brqrv_top_brqrv_lsu_end_addr_m[2]),
+	.B1(n_26710),
+	.B2(brqrv_top_brqrv_lsu_end_addr_m[4]),
+	.C1(n_27432),
+	.Y(n_27558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g745241 (
+	.A(n_25923),
+	.B(n_25322),
+	.C(n_27424),
+	.D(n_25667),
+	.Y(n_27557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745242 (
+	.A1(brqrv_top_brqrv_dec_tlu_mtval[21]),
+	.A2(n_27289),
+	.B1(n_24027),
+	.B2(brqrv_top_brqrv_dec_tlu_n_5610),
+	.C1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.C2(brqrv_top_brqrv_lsu_error_pkt_r[23]),
+	.Y(n_27556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745243 (
+	.A1(brqrv_top_brqrv_dec_tlu_mtval[19]),
+	.A2(n_27289),
+	.B1(n_24027),
+	.B2(brqrv_top_brqrv_dec_tlu_n_5608),
+	.C1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.C2(brqrv_top_brqrv_lsu_error_pkt_r[21]),
+	.Y(n_27555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745244 (
+	.A1(brqrv_top_brqrv_dec_tlu_mtval[18]),
+	.A2(n_27289),
+	.B1(n_26006),
+	.B2(brqrv_top_brqrv_dec_dec_illegal_inst[18]),
+	.C1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.C2(brqrv_top_brqrv_lsu_error_pkt_r[20]),
+	.Y(n_27554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745245 (
+	.A1(brqrv_top_brqrv_dec_tlu_mtval[16]),
+	.A2(n_27289),
+	.B1(n_24027),
+	.B2(brqrv_top_brqrv_dec_tlu_n_5605),
+	.C1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.C2(brqrv_top_brqrv_lsu_error_pkt_r[18]),
+	.Y(n_27553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745246 (
+	.A1(brqrv_top_brqrv_dec_tlu_mtval[15]),
+	.A2(n_27289),
+	.B1(n_24027),
+	.B2(brqrv_top_brqrv_dec_tlu_n_5604),
+	.C1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.C2(brqrv_top_brqrv_lsu_error_pkt_r[17]),
+	.Y(n_27552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745247 (
+	.A1(brqrv_top_brqrv_dec_tlu_mtval[11]),
+	.A2(n_27289),
+	.B1(n_24027),
+	.B2(brqrv_top_brqrv_dec_tlu_n_5600),
+	.C1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.C2(brqrv_top_brqrv_lsu_error_pkt_r[13]),
+	.Y(n_27551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745248 (
+	.A1(brqrv_top_brqrv_dec_tlu_mtval[10]),
+	.A2(n_27289),
+	.B1(n_24027),
+	.B2(brqrv_top_brqrv_dec_tlu_n_5599),
+	.C1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.C2(brqrv_top_brqrv_lsu_error_pkt_r[12]),
+	.Y(n_27550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745249 (
+	.A1(brqrv_top_brqrv_dec_tlu_mtval[8]),
+	.A2(n_27289),
+	.B1(n_24027),
+	.B2(brqrv_top_brqrv_dec_tlu_n_5597),
+	.C1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.C2(brqrv_top_brqrv_lsu_error_pkt_r[10]),
+	.Y(n_27549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g745250 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_n_328),
+	.A2(n_26685),
+	.B1(n_27493),
+	.C1(n_27029),
+	.Y(brqrv_top_brqrv_dec_tlu_mcause_ns[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g745251 (
+	.A(n_27093),
+	.B(n_27493),
+	.Y(brqrv_top_brqrv_dec_tlu_mcause_ns[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g745252 (
+	.A(n_27147),
+	.B(n_27493),
+	.Y(brqrv_top_brqrv_dec_tlu_mcause_ns[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745253 (
+	.A1(n_27035),
+	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[31]),
+	.B1(n_29891),
+	.B2(brqrv_top_brqrv_dbg_sbdata0_reg[31]),
+	.C1(brqrv_top_brqrv_dbg_data1_reg[31]),
+	.C2(n_27034),
+	.Y(n_27548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745254 (
+	.A1(n_27034),
+	.A2(brqrv_top_brqrv_dbg_data1_reg[30]),
+	.B1(n_29891),
+	.B2(brqrv_top_brqrv_dbg_sbdata0_reg[30]),
+	.C1(brqrv_top_brqrv_dbg_cmd_wrdata[30]),
+	.C2(n_27035),
+	.Y(n_27547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745255 (
+	.A1(n_27034),
+	.A2(brqrv_top_brqrv_dbg_data1_reg[29]),
+	.B1(brqrv_top_brqrv_dbg_sbaddress0_reg[29]),
+	.B2(n_26005),
+	.C1(brqrv_top_brqrv_dbg_cmd_wrdata[29]),
+	.C2(n_27035),
+	.Y(n_27546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745256 (
+	.A1(n_27035),
+	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[28]),
+	.B1(n_29891),
+	.B2(brqrv_top_brqrv_dbg_sbdata0_reg[28]),
+	.C1(brqrv_top_brqrv_dbg_data1_reg[28]),
+	.C2(n_27034),
+	.Y(n_27545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745257 (
+	.A1(n_27035),
+	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[27]),
+	.B1(n_29891),
+	.B2(brqrv_top_brqrv_dbg_sbdata0_reg[27]),
+	.C1(brqrv_top_brqrv_dbg_data1_reg[27]),
+	.C2(n_27034),
+	.Y(n_27544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745258 (
+	.A1(n_27035),
+	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[26]),
+	.B1(n_29891),
+	.B2(brqrv_top_brqrv_dbg_sbdata0_reg[26]),
+	.C1(brqrv_top_brqrv_dbg_data1_reg[26]),
+	.C2(n_27034),
+	.Y(n_27543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745259 (
+	.A1(n_27035),
+	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[25]),
+	.B1(n_29891),
+	.B2(brqrv_top_brqrv_dbg_sbdata0_reg[25]),
+	.C1(brqrv_top_brqrv_dbg_data1_reg[25]),
+	.C2(n_27034),
+	.Y(n_27542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745260 (
+	.A1(n_27034),
+	.A2(brqrv_top_brqrv_dbg_data1_reg[24]),
+	.B1(n_29891),
+	.B2(brqrv_top_brqrv_dbg_sbdata0_reg[24]),
+	.C1(brqrv_top_brqrv_dbg_cmd_wrdata[24]),
+	.C2(n_27035),
+	.Y(n_27541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745261 (
+	.A1(n_27035),
+	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[21]),
+	.B1(n_29891),
+	.B2(brqrv_top_brqrv_dbg_sbdata0_reg[21]),
+	.C1(brqrv_top_brqrv_dbg_data1_reg[21]),
+	.C2(n_27034),
+	.Y(n_27540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745262 (
+	.A1(n_27034),
+	.A2(brqrv_top_brqrv_dbg_data1_reg[15]),
+	.B1(brqrv_top_brqrv_dbg_cmd_wrdata[15]),
+	.B2(n_27035),
+	.C1(brqrv_top_brqrv_dbg_sbcs_reg_int[15]),
+	.C2(n_25352),
+	.Y(n_27539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745263 (
+	.A1(n_27034),
+	.A2(brqrv_top_brqrv_dbg_data1_reg[14]),
+	.B1(brqrv_top_brqrv_dbg_cmd_wrdata[14]),
+	.B2(n_27035),
+	.C1(brqrv_top_brqrv_dbg_sbcs_reg[14]),
+	.C2(n_25352),
+	.Y(n_27538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745264 (
+	.A1(n_27034),
+	.A2(brqrv_top_brqrv_dbg_data1_reg[10]),
+	.B1(n_29891),
+	.B2(brqrv_top_brqrv_dbg_sbdata0_reg[10]),
+	.C1(brqrv_top_brqrv_dbg_command_reg[10]),
+	.C2(n_26341),
+	.Y(n_27537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745265 (
+	.A1(n_27034),
+	.A2(brqrv_top_brqrv_dbg_data1_reg[9]),
+	.B1(brqrv_top_brqrv_dbg_sbaddress0_reg[9]),
+	.B2(n_26005),
+	.C1(brqrv_top_brqrv_dbg_cmd_wrdata[9]),
+	.C2(n_27035),
+	.Y(n_27536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745266 (
+	.A1(n_27034),
+	.A2(brqrv_top_brqrv_dbg_data1_reg[6]),
+	.B1(n_29891),
+	.B2(brqrv_top_brqrv_dbg_sbdata0_reg[6]),
+	.C1(brqrv_top_brqrv_dbg_cmd_wrdata[6]),
+	.C2(n_27035),
+	.Y(n_27535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745267 (
+	.A1(n_27034),
+	.A2(brqrv_top_brqrv_dbg_data1_reg[5]),
+	.B1(brqrv_top_brqrv_dbg_cmd_wrdata[5]),
+	.B2(n_27035),
+	.C1(n_29891),
+	.C2(brqrv_top_brqrv_dbg_sbdata0_reg[5]),
+	.Y(n_27534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745268 (
+	.A1(n_27034),
+	.A2(brqrv_top_brqrv_dbg_data1_reg[4]),
+	.B1(brqrv_top_brqrv_dbg_cmd_wrdata[4]),
+	.B2(n_27035),
+	.C1(n_29891),
+	.C2(brqrv_top_brqrv_dbg_sbdata0_reg[4]),
+	.Y(n_27533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a41oi_1 g745269 (
+	.A1(n_27064),
+	.A2(n_32554),
+	.A3(n_23065),
+	.A4(n_25157),
+	.B1(n_25954),
+	.Y(n_27532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745270 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[3]),
+	.A2(n_27067),
+	.B1(n_27068),
+	.B2(brqrv_top_brqrv_dec_tlu_mscause[3]),
+	.C1(brqrv_top_brqrv_dec_tlu_exc_or_int_valid_r),
+	.C2(n_26120),
+	.Y(n_27531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745271 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[23]),
+	.A2(n_26728),
+	.B1(n_27055),
+	.B2(n_33227),
+	.C1(n_33226),
+	.C2(brqrv_top_brqrv_lsu_ldst_dual_r),
+	.Y(n_27530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745272 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[22]),
+	.A2(n_26728),
+	.B1(n_27055),
+	.B2(n_33225),
+	.C1(n_33224),
+	.C2(brqrv_top_brqrv_lsu_ldst_dual_r),
+	.Y(n_27529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745273 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[21]),
+	.A2(n_26728),
+	.B1(n_27055),
+	.B2(n_33223),
+	.C1(n_33222),
+	.C2(brqrv_top_brqrv_lsu_ldst_dual_r),
+	.Y(n_27528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745274 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[20]),
+	.A2(n_26728),
+	.B1(n_27055),
+	.B2(n_33221),
+	.C1(n_33220),
+	.C2(brqrv_top_brqrv_lsu_ldst_dual_r),
+	.Y(n_27527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745275 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[19]),
+	.A2(n_26728),
+	.B1(n_27055),
+	.B2(n_33219),
+	.C1(n_33218),
+	.C2(brqrv_top_brqrv_lsu_ldst_dual_r),
+	.Y(n_27526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745276 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[18]),
+	.A2(n_26728),
+	.B1(n_27055),
+	.B2(n_33217),
+	.C1(n_33216),
+	.C2(brqrv_top_brqrv_lsu_ldst_dual_r),
+	.Y(n_27525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745277 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[17]),
+	.A2(n_26728),
+	.B1(n_27055),
+	.B2(n_33215),
+	.C1(n_33214),
+	.C2(brqrv_top_brqrv_lsu_ldst_dual_r),
+	.Y(n_27524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745278 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[16]),
+	.A2(n_26728),
+	.B1(n_27055),
+	.B2(n_33213),
+	.C1(n_33212),
+	.C2(brqrv_top_brqrv_lsu_ldst_dual_r),
+	.Y(n_27523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g745279 (
+	.A1(brqrv_top_brqrv_lsu_lsu_addr_m[4]),
+	.A2(n_26710),
+	.B1(n_27088),
+	.C1(n_27249),
+	.D1(n_26979),
+	.Y(n_27522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g745280 (
+	.A1(n_24429),
+	.A2(n_27313),
+	.B1(n_26345),
+	.Y(brqrv_top_brqrv_dma_ctrl_WrPtr_dff_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745281 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_int_timers_mitctl0[2]),
+	.A2_N(n_27302),
+	.B1(n_1703),
+	.B2(n_27302),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_mitctl0_ff_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745282 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_int_timers_mitctl0[1]),
+	.A2_N(n_27302),
+	.B1(n_1704),
+	.B2(n_27302),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_mitctl0_ff_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g745283 (
+	.A(n_26301),
+	.B(n_26260),
+	.C(n_25248),
+	.D(n_26886),
+	.Y(n_27521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g745284 (
+	.A1(n_24125),
+	.A2(n_27313),
+	.B1(n_26689),
+	.Y(brqrv_top_brqrv_dma_ctrl_WrPtr_dff_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745285 (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[37]),
+	.A2_N(n_27290),
+	.B1(n_2025),
+	.B2(n_27290),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ns[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745286 (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[36]),
+	.A2_N(n_27290),
+	.B1(n_1304),
+	.B2(n_27290),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745287 (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[32]),
+	.A2_N(n_27290),
+	.B1(n_1705),
+	.B2(n_27290),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745288 (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[35]),
+	.A2_N(n_27290),
+	.B1(n_1704),
+	.B2(n_27290),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745289 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mtdata1_t0[6]),
+	.A2_N(n_27290),
+	.B1(n_26088),
+	.B2(n_27290),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745292 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_dcsr[15]),
+	.A2_N(n_27295),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_313),
+	.B2(n_27295),
+	.Y(brqrv_top_brqrv_dec_tlu_dcsr_ns[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745293 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_dcsr[10]),
+	.A2_N(n_27295),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_308),
+	.B2(n_27295),
+	.Y(brqrv_top_brqrv_dec_tlu_dcsr_ns[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745294 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_dcsr[11]),
+	.A2_N(n_27295),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_309),
+	.B2(n_27295),
+	.Y(brqrv_top_brqrv_dec_tlu_dcsr_ns[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745295 (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[75]),
+	.A2_N(n_27292),
+	.B1(n_2025),
+	.B2(n_27292),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ns[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745296 (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[74]),
+	.A2_N(n_27292),
+	.B1(n_1304),
+	.B2(n_27292),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745297 (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[70]),
+	.A2_N(n_27292),
+	.B1(n_1705),
+	.B2(n_27292),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745298 (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[151]),
+	.A2_N(n_27291),
+	.B1(n_2025),
+	.B2(n_27291),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ns[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745299 (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[150]),
+	.A2_N(n_27291),
+	.B1(n_1304),
+	.B2(n_27291),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745300 (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[146]),
+	.A2_N(n_27291),
+	.B1(n_1705),
+	.B2(n_27291),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745301 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mtdata1_t0[2]),
+	.A2_N(n_27290),
+	.B1(n_25059),
+	.B2(n_27290),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745302 (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[34]),
+	.A2_N(n_27290),
+	.B1(n_25060),
+	.B2(n_27290),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745303 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_dcsr[2]),
+	.A2_N(n_27295),
+	.B1(n_1703),
+	.B2(n_27295),
+	.Y(brqrv_top_brqrv_dec_tlu_dcsr_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g745304 (
+	.A(brqrv_top_brqrv_ifu_ifc_state[1]),
+	.B(brqrv_top_brqrv_ifu_ifc_next_state[1]),
+	.X(n_27520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g745306 (
+	.A1(n_27296),
+	.A2(n_27303),
+	.B1(n_27304),
+	.B2(n_27297),
+	.Y(n_27519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g745307 (
+	.A1(n_27293),
+	.A2(n_27307),
+	.B1(n_27308),
+	.B2(n_27294),
+	.Y(n_27518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745308 (
+	.A1(n_27289),
+	.A2(brqrv_top_brqrv_dec_tlu_mtval[29]),
+	.B1(n_26006),
+	.B2(brqrv_top_brqrv_dec_dec_illegal_inst[29]),
+	.X(n_27517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745309 (
+	.A1(n_27289),
+	.A2(brqrv_top_brqrv_dec_tlu_mtval[27]),
+	.B1(n_26006),
+	.B2(brqrv_top_brqrv_dec_dec_illegal_inst[27]),
+	.X(n_27516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745310 (
+	.A1(n_27289),
+	.A2(brqrv_top_brqrv_dec_tlu_mtval[26]),
+	.B1(n_26006),
+	.B2(brqrv_top_brqrv_dec_dec_illegal_inst[26]),
+	.X(n_27515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745311 (
+	.A1(n_27289),
+	.A2(brqrv_top_brqrv_dec_tlu_mtval[25]),
+	.B1(n_26006),
+	.B2(brqrv_top_brqrv_dec_dec_illegal_inst[25]),
+	.X(n_27514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g745312 (
+	.A1(n_27289),
+	.A2(brqrv_top_brqrv_dec_tlu_mtval[13]),
+	.B1(n_26006),
+	.B2(brqrv_top_brqrv_dec_dec_illegal_inst[13]),
+	.Y(n_27513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745313 (
+	.A1(n_27289),
+	.A2(brqrv_top_brqrv_dec_tlu_mtval[12]),
+	.B1(n_26006),
+	.B2(brqrv_top_brqrv_dec_dec_illegal_inst[12]),
+	.X(n_27512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g745314 (
+	.A1(n_27289),
+	.A2(brqrv_top_brqrv_dec_tlu_mtval[4]),
+	.B1(n_26006),
+	.B2(brqrv_top_brqrv_dec_dec_illegal_inst[4]),
+	.Y(n_27511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g745315 (
+	.A1(n_27289),
+	.A2(brqrv_top_brqrv_dec_tlu_mtval[1]),
+	.B1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.B2(brqrv_top_brqrv_lsu_error_pkt_r[3]),
+	.Y(n_27510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745316 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mtval[0]),
+	.A2_N(n_27289),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_298),
+	.B2(n_26682),
+	.Y(n_27509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g745317 (
+	.A1(n_27308),
+	.A2(n_27304),
+	.B1(n_27294),
+	.B2(n_27297),
+	.Y(n_27508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g745318 (
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[31]),
+	.A2(n_27237),
+	.B1(n_23065),
+	.B2(n_32542),
+	.Y(n_27507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745319 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mtdata1_t0[9]),
+	.A2_N(n_27290),
+	.B1(n_25396),
+	.B2(n_27290),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ns[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745320 (
+	.A1(n_27067),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[1]),
+	.B1(n_27068),
+	.B2(brqrv_top_brqrv_dec_tlu_mscause[1]),
+	.C1(n_26959),
+	.X(brqrv_top_brqrv_dec_tlu_mscause_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745321 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mtdata1_t0[5]),
+	.A2_N(n_27290),
+	.B1(n_27070),
+	.B2(n_27290),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745322 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mtdata1_t1[9]),
+	.A2_N(n_27292),
+	.B1(n_25396),
+	.B2(n_27292),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ns[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745323 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mtdata1_t1[6]),
+	.A2_N(n_27292),
+	.B1(n_26088),
+	.B2(n_27292),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745324 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mtdata1_t1[5]),
+	.A2_N(n_27292),
+	.B1(n_27070),
+	.B2(n_27292),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745325 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mtdata1_t1[2]),
+	.A2_N(n_27292),
+	.B1(n_25059),
+	.B2(n_27292),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745326 (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[73]),
+	.A2_N(n_27292),
+	.B1(n_1704),
+	.B2(n_27292),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745327 (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[72]),
+	.A2_N(n_27292),
+	.B1(n_25060),
+	.B2(n_27292),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745328 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mtdata1_t3[9]),
+	.A2_N(n_27291),
+	.B1(n_25396),
+	.B2(n_27291),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ns[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745329 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mtdata1_t3[6]),
+	.A2_N(n_27291),
+	.B1(n_26088),
+	.B2(n_27291),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745330 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mtdata1_t3[5]),
+	.A2_N(n_27291),
+	.B1(n_27070),
+	.B2(n_27291),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745331 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mtdata1_t3[2]),
+	.A2_N(n_27291),
+	.B1(n_25059),
+	.B2(n_27291),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745332 (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[149]),
+	.A2_N(n_27291),
+	.B1(n_1704),
+	.B2(n_27291),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745333 (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[148]),
+	.A2_N(n_27291),
+	.B1(n_25060),
+	.B2(n_27291),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g745334 (
+	.A1(brqrv_top_brqrv_dbg_n_4554),
+	.A2(n_31514),
+	.B1(n_27462),
+	.Y(n_27506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745335 (
+	.A1(n_24034),
+	.A2(brqrv_top_dmi_reg_wdata[31]),
+	.B1(n_34892),
+	.B2(n_26673),
+	.X(brqrv_top_brqrv_dbg_data1_din[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745336 (
+	.A1(n_24034),
+	.A2(brqrv_top_dmi_reg_wdata[30]),
+	.B1(n_34891),
+	.B2(n_26673),
+	.X(brqrv_top_brqrv_dbg_data1_din[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745337 (
+	.A1(n_24034),
+	.A2(brqrv_top_dmi_reg_wdata[29]),
+	.B1(n_34890),
+	.B2(n_26673),
+	.X(brqrv_top_brqrv_dbg_data1_din[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745338 (
+	.A1(n_24034),
+	.A2(brqrv_top_dmi_reg_wdata[28]),
+	.B1(n_34889),
+	.B2(n_26673),
+	.X(brqrv_top_brqrv_dbg_data1_din[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745339 (
+	.A1(n_24034),
+	.A2(brqrv_top_dmi_reg_wdata[27]),
+	.B1(n_34888),
+	.B2(n_26673),
+	.X(brqrv_top_brqrv_dbg_data1_din[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745340 (
+	.A1(n_24034),
+	.A2(brqrv_top_dmi_reg_wdata[26]),
+	.B1(n_34887),
+	.B2(n_26673),
+	.X(brqrv_top_brqrv_dbg_data1_din[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745341 (
+	.A1(n_24034),
+	.A2(brqrv_top_dmi_reg_wdata[25]),
+	.B1(n_34886),
+	.B2(n_26673),
+	.X(brqrv_top_brqrv_dbg_data1_din[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745342 (
+	.A1(n_24034),
+	.A2(brqrv_top_dmi_reg_wdata[24]),
+	.B1(n_34885),
+	.B2(n_26673),
+	.X(brqrv_top_brqrv_dbg_data1_din[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745343 (
+	.A1(n_24034),
+	.A2(brqrv_top_dmi_reg_wdata[23]),
+	.B1(n_34884),
+	.B2(n_26673),
+	.X(brqrv_top_brqrv_dbg_data1_din[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745344 (
+	.A1(n_24034),
+	.A2(brqrv_top_dmi_reg_wdata[22]),
+	.B1(n_34883),
+	.B2(n_26673),
+	.X(brqrv_top_brqrv_dbg_data1_din[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745345 (
+	.A1(n_24034),
+	.A2(brqrv_top_dmi_reg_wdata[21]),
+	.B1(n_34882),
+	.B2(n_26673),
+	.X(brqrv_top_brqrv_dbg_data1_din[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745346 (
+	.A1(n_24034),
+	.A2(brqrv_top_dmi_reg_wdata[20]),
+	.B1(n_34881),
+	.B2(n_26673),
+	.X(brqrv_top_brqrv_dbg_data1_din[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745347 (
+	.A1(n_24034),
+	.A2(brqrv_top_dmi_reg_wdata[19]),
+	.B1(n_34880),
+	.B2(n_26673),
+	.X(brqrv_top_brqrv_dbg_data1_din[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745348 (
+	.A1_N(n_26673),
+	.A2_N(n_34879),
+	.B1(brqrv_top_brqrv_dbg_n_1024),
+	.B2(n_27286),
+	.Y(brqrv_top_brqrv_dbg_data1_din[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745349 (
+	.A1(n_24034),
+	.A2(brqrv_top_dmi_reg_wdata[17]),
+	.B1(n_34878),
+	.B2(n_26673),
+	.X(brqrv_top_brqrv_dbg_data1_din[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745350 (
+	.A1(n_24034),
+	.A2(brqrv_top_dmi_reg_wdata[16]),
+	.B1(n_34877),
+	.B2(n_26673),
+	.X(brqrv_top_brqrv_dbg_data1_din[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745351 (
+	.A1(n_24034),
+	.A2(brqrv_top_dmi_reg_wdata[15]),
+	.B1(n_34876),
+	.B2(n_26673),
+	.X(brqrv_top_brqrv_dbg_data1_din[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745352 (
+	.A1(n_24034),
+	.A2(brqrv_top_dmi_reg_wdata[14]),
+	.B1(n_34875),
+	.B2(n_26673),
+	.X(brqrv_top_brqrv_dbg_data1_din[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745353 (
+	.A1(n_24034),
+	.A2(brqrv_top_dmi_reg_wdata[13]),
+	.B1(n_34874),
+	.B2(n_26673),
+	.X(brqrv_top_brqrv_dbg_data1_din[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745354 (
+	.A1(n_24034),
+	.A2(brqrv_top_dmi_reg_wdata[12]),
+	.B1(n_34873),
+	.B2(n_26673),
+	.X(brqrv_top_brqrv_dbg_data1_din[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745355 (
+	.A1(n_24034),
+	.A2(brqrv_top_dmi_reg_wdata[11]),
+	.B1(n_34872),
+	.B2(n_26673),
+	.X(brqrv_top_brqrv_dbg_data1_din[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745356 (
+	.A1(n_24034),
+	.A2(brqrv_top_dmi_reg_wdata[10]),
+	.B1(n_34871),
+	.B2(n_26673),
+	.X(brqrv_top_brqrv_dbg_data1_din[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745357 (
+	.A1(n_24034),
+	.A2(brqrv_top_dmi_reg_wdata[9]),
+	.B1(n_34870),
+	.B2(n_26673),
+	.X(brqrv_top_brqrv_dbg_data1_din[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745358 (
+	.A1(n_24034),
+	.A2(brqrv_top_dmi_reg_wdata[8]),
+	.B1(n_34869),
+	.B2(n_26673),
+	.X(brqrv_top_brqrv_dbg_data1_din[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745359 (
+	.A1(n_24034),
+	.A2(brqrv_top_dmi_reg_wdata[7]),
+	.B1(n_34868),
+	.B2(n_26673),
+	.X(brqrv_top_brqrv_dbg_data1_din[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745360 (
+	.A1(n_24034),
+	.A2(brqrv_top_dmi_reg_wdata[6]),
+	.B1(n_34867),
+	.B2(n_26673),
+	.X(brqrv_top_brqrv_dbg_data1_din[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745361 (
+	.A1(n_24034),
+	.A2(brqrv_top_dmi_reg_wdata[5]),
+	.B1(n_34866),
+	.B2(n_26673),
+	.X(brqrv_top_brqrv_dbg_data1_din[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745362 (
+	.A1(n_24034),
+	.A2(brqrv_top_dmi_reg_wdata[4]),
+	.B1(n_34865),
+	.B2(n_26673),
+	.X(brqrv_top_brqrv_dbg_data1_din[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745363 (
+	.A1(n_24034),
+	.A2(brqrv_top_dmi_reg_wdata[3]),
+	.B1(n_34864),
+	.B2(n_26673),
+	.X(brqrv_top_brqrv_dbg_data1_din[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745364 (
+	.A1(n_24034),
+	.A2(brqrv_top_dmi_reg_wdata[2]),
+	.B1(n_34863),
+	.B2(n_26673),
+	.X(brqrv_top_brqrv_dbg_data1_din[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745365 (
+	.A1(n_24034),
+	.A2(brqrv_top_dmi_reg_wdata[1]),
+	.B1(n_34862),
+	.B2(n_26673),
+	.X(brqrv_top_brqrv_dbg_data1_din[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745366 (
+	.A1(n_24034),
+	.A2(brqrv_top_dmi_reg_wdata[0]),
+	.B1(n_26673),
+	.B2(n_34861),
+	.X(brqrv_top_brqrv_dbg_data1_din[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745367 (
+	.A1(n_27067),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[0]),
+	.B1(n_27068),
+	.B2(brqrv_top_brqrv_dec_tlu_mscause[0]),
+	.C1(n_26592),
+	.X(brqrv_top_brqrv_dec_tlu_mscause_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745368 (
+	.A1(n_27298),
+	.A2(n_33227),
+	.B1(n_27050),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[23]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[119]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745369 (
+	.A1(n_27298),
+	.A2(n_33223),
+	.B1(n_27050),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[21]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[117]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745370 (
+	.A1(n_27298),
+	.A2(n_33225),
+	.B1(n_27050),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[22]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[118]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745371 (
+	.A1(n_27298),
+	.A2(n_33221),
+	.B1(n_27050),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[20]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[116]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745372 (
+	.A1(n_27298),
+	.A2(n_33219),
+	.B1(n_27050),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[19]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[115]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745373 (
+	.A1(n_27298),
+	.A2(n_33217),
+	.B1(n_27050),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[18]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[114]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745374 (
+	.A1(n_27298),
+	.A2(n_33215),
+	.B1(n_27050),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[17]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[113]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745375 (
+	.A1(n_27298),
+	.A2(n_33213),
+	.B1(n_27050),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[16]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[112]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745376 (
+	.A1(n_27301),
+	.A2(n_33227),
+	.B1(n_27052),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[23]),
+	.X(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[23]_3377 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745377 (
+	.A1(n_27301),
+	.A2(n_33225),
+	.B1(n_27052),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[22]),
+	.X(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[22]_3376 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745378 (
+	.A1(n_27301),
+	.A2(n_33223),
+	.B1(n_27052),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[21]),
+	.X(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[21]_3375 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745379 (
+	.A1(n_27301),
+	.A2(n_33217),
+	.B1(n_27052),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[18]),
+	.X(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[18]_3372 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745380 (
+	.A1(n_27301),
+	.A2(n_33221),
+	.B1(n_27052),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[20]),
+	.X(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[20]_3374 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745381 (
+	.A1(n_27301),
+	.A2(n_33219),
+	.B1(n_27052),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[19]),
+	.X(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[19]_3373 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745382 (
+	.A1(n_27301),
+	.A2(n_33215),
+	.B1(n_27052),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[17]),
+	.X(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[17]_3371 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745383 (
+	.A1(n_27301),
+	.A2(n_33213),
+	.B1(n_27052),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[16]),
+	.X(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[16]_3370 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745384 (
+	.A1(n_27300),
+	.A2(n_33227),
+	.B1(n_27049),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[23]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745385 (
+	.A1(n_27300),
+	.A2(n_33225),
+	.B1(n_27049),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[22]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745386 (
+	.A1(n_27300),
+	.A2(n_33223),
+	.B1(n_27049),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[21]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745387 (
+	.A1(n_27300),
+	.A2(n_33221),
+	.B1(n_27049),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[20]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745388 (
+	.A1(n_27300),
+	.A2(n_33219),
+	.B1(n_27049),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[19]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745389 (
+	.A1(n_27300),
+	.A2(n_33217),
+	.B1(n_27049),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[18]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745390 (
+	.A1(n_27300),
+	.A2(n_33215),
+	.B1(n_27049),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[17]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745391 (
+	.A1(n_27300),
+	.A2(n_33213),
+	.B1(n_27049),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[16]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745392 (
+	.A1(n_27299),
+	.A2(n_33227),
+	.B1(n_27051),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[23]),
+	.X(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[23]_3293 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745393 (
+	.A1(n_27299),
+	.A2(n_33225),
+	.B1(n_27051),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[22]),
+	.X(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[22]_3292 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745394 (
+	.A1(n_27299),
+	.A2(n_33223),
+	.B1(n_27051),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[21]),
+	.X(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[21]_3291 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745395 (
+	.A1(n_27299),
+	.A2(n_33221),
+	.B1(n_27051),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[20]),
+	.X(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[20]_3290 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745396 (
+	.A1(n_27299),
+	.A2(n_33219),
+	.B1(n_27051),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[19]),
+	.X(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[19]_3289 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745397 (
+	.A1(n_27299),
+	.A2(n_33217),
+	.B1(n_27051),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[18]),
+	.X(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[18]_3288 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745398 (
+	.A1(n_27299),
+	.A2(n_33213),
+	.B1(n_27051),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[16]),
+	.X(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[16]_3286 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745399 (
+	.A1(n_27299),
+	.A2(n_33215),
+	.B1(n_27051),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[17]),
+	.X(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[17]_3287 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745400 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_int_timers_mitctl0_0_b),
+	.A2_N(n_27302),
+	.B1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[0]),
+	.B2(n_27302),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_mitctl0_0_b_ns), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g745401 (
+	.A1(n_27307),
+	.A2(n_27303),
+	.B1(n_27296),
+	.B2(n_27293),
+	.Y(n_27505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g745402 (
+	.A1(n_27307),
+	.A2(n_27304),
+	.B1(n_27293),
+	.B2(n_27297),
+	.Y(n_27504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g745403 (
+	.A(n_25782),
+	.B(n_25720),
+	.C(n_25572),
+	.D(n_27182),
+	.Y(n_27503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g745404 (
+	.A1(n_27085),
+	.A2(n_24289),
+	.B1(n_1304),
+	.B2(n_31511),
+	.Y(\brqrv_top_brqrv_dec_tlu_mcyclel_ns[7]_3323 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g745405 (
+	.A1(n_27084),
+	.A2(n_24052),
+	.B1(n_1304),
+	.B2(n_32511),
+	.Y(\brqrv_top_brqrv_dec_tlu_minstretl_ns[7]_3334 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g745406 (
+	.A1(n_27250),
+	.A2(n_27166),
+	.B1(n_27165),
+	.B2(n_27164),
+	.Y(n_27502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g745407 (
+	.A1(n_27308),
+	.A2(n_27303),
+	.B1(n_27296),
+	.B2(n_27294),
+	.Y(n_27501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g745408 (
+	.A1(n_25547),
+	.A2(n_27236),
+	.B1(n_25313),
+	.Y(n_27567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g745409 (
+	.A(n_27405),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g745410 (
+	.A(n_27404),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g745411 (
+	.A(n_27403),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g745412 (
+	.A(n_27402),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g745413 (
+	.A(n_27401),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g745414 (
+	.A(n_27400),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g745415 (
+	.A(n_27399),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g745416 (
+	.A(n_27398),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g745418 (
+	.A(n_27496),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_fghr_ns [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g745419 (
+	.A(n_27495),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_fghr_ns [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g745420 (
+	.A(n_27494),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_WrPtr1_m[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g745421 (
+	.A(brqrv_top_brqrv_lsu_nonblock_load_tag_m[0]),
+	.Y(n_27491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g745422 (
+	.A(n_27489),
+	.Y(n_27488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g745423 (
+	.A(n_27485),
+	.Y(n_27486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g745424 (
+	.A(n_27484),
+	.Y(n_27483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g745425 (
+	.A(n_27482),
+	.Y(n_27481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g745426 (
+	.A(n_27289),
+	.B(brqrv_top_brqrv_dec_tlu_mtval[6]),
+	.Y(n_27480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g745427 (
+	.A1(n_34075),
+	.A2(n_26799),
+	.A3(n_26010),
+	.B1(brqrv_top_brqrv_exu_i_alu_pcout[14]),
+	.B2(n_467),
+	.Y(n_27479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g745428 (
+	.A(n_27289),
+	.B(brqrv_top_brqrv_dec_tlu_mtval[31]),
+	.Y(n_27478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g745429 (
+	.A(n_27289),
+	.B(brqrv_top_brqrv_dec_tlu_mtval[30]),
+	.Y(n_27477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g745430 (
+	.A(n_27289),
+	.B(brqrv_top_brqrv_dec_tlu_mtval[28]),
+	.Y(n_27476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g745431 (
+	.A(n_27289),
+	.B(brqrv_top_brqrv_dec_tlu_mtval[24]),
+	.Y(n_27475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g745432 (
+	.A(n_27289),
+	.B(brqrv_top_brqrv_dec_tlu_mtval[23]),
+	.Y(n_27474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g745433 (
+	.A(n_27289),
+	.B(brqrv_top_brqrv_dec_tlu_mtval[22]),
+	.Y(n_27473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g745434 (
+	.A(n_27289),
+	.B(brqrv_top_brqrv_dec_tlu_mtval[20]),
+	.Y(n_27472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g745435 (
+	.A(n_27289),
+	.B(brqrv_top_brqrv_dec_tlu_mtval[17]),
+	.Y(n_27471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g745436 (
+	.A(n_27289),
+	.B(brqrv_top_brqrv_dec_tlu_mtval[14]),
+	.Y(n_27470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g745437 (
+	.A(n_27289),
+	.B(brqrv_top_brqrv_dec_tlu_mtval[9]),
+	.Y(n_27469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g745438 (
+	.A(n_27289),
+	.B(brqrv_top_brqrv_dec_tlu_mtval[7]),
+	.Y(n_27468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g745439 (
+	.A(n_27289),
+	.B(brqrv_top_brqrv_dec_tlu_mtval[3]),
+	.Y(n_27467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g745440 (
+	.A(n_27289),
+	.B(brqrv_top_brqrv_dec_tlu_mtval[2]),
+	.Y(n_27466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g745441 (
+	.A(n_27292),
+	.B(n_32754),
+	.Y(brqrv_top_brqrv_dec_tlu_n_3394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g745442 (
+	.A(n_27291),
+	.B(n_32751),
+	.Y(brqrv_top_brqrv_dec_tlu_n_3396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g745443 (
+	.A(n_27290),
+	.B(n_32757),
+	.Y(brqrv_top_brqrv_dec_tlu_n_3393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g745444 (
+	.A1(n_33058),
+	.A2(n_27036),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[14]),
+	.B2(n_24298),
+	.Y(n_27465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g745445 (
+	.A(n_27285),
+	.B(brqrv_top_dmi_reg_wdata[20]),
+	.Y(n_27464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g745446 (
+	.A1(n_33059),
+	.A2(n_27036),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[15]),
+	.B2(n_24298),
+	.Y(n_27463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g745447 (
+	.A(n_24024),
+	.B(n_27311),
+	.Y(n_27462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g745448 (
+	.A1(n_26010),
+	.A2(n_26975),
+	.B1(n_34091),
+	.Y(n_27461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g745449 (
+	.A(n_27245),
+	.B(n_27077),
+	.Y(n_27460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g745450 (
+	.A(n_33034),
+	.B(n_26832),
+	.Y(n_27459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745451 (
+	.A1(n_26788),
+	.A2(n_26142),
+	.B1(brqrv_top_brqrv_dma_ctrl_n_1834),
+	.B2(n_464),
+	.C1(n_32346),
+	.X(brqrv_top_brqrv_dma_ctrl_fifo_data_en[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g745452 (
+	.A(n_27221),
+	.B(brqrv_top_brqrv_ifu_aln_qwen[1]),
+	.Y(brqrv_top_brqrv_ifu_aln_q1off_in), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g745453 (
+	.A1(n_33060),
+	.A2(n_27036),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[16]),
+	.B2(n_24298),
+	.Y(n_27458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g745454 (
+	.A1(n_33062),
+	.A2(n_27036),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[18]),
+	.B2(n_24298),
+	.Y(n_27457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g745455 (
+	.A1(n_26993),
+	.A2(n_25334),
+	.A3(n_25333),
+	.B1(n_26098),
+	.Y(brqrv_top_brqrv_dec_decode_trap_xff_dff_left_final_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g745456 (
+	.A1(n_26987),
+	.A2(n_26514),
+	.B1(brqrv_top_brqrv_dec_decode_i0_dp_raw[63]),
+	.Y(n_27456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g745457 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_miss_state[1]),
+	.A2(n_26261),
+	.B1(n_27238),
+	.Y(n_27455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g745458 (
+	.A1(n_33063),
+	.A2(n_27036),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[19]),
+	.B2(n_24298),
+	.Y(n_27454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g745459 (
+	.A(n_27295),
+	.B(n_27048),
+	.Y(n_27453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g745460 (
+	.A(brqrv_top_dccm_clk_override),
+	.B(n_26976),
+	.C(n_25447),
+	.Y(n_27452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g745461 (
+	.A(n_26986),
+	.B(brqrv_top_brqrv_dbg_sbcs_sbbusyerror_din),
+	.Y(brqrv_top_brqrv_dbg_sbcs_sbbusyerror_wren), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g745463 (
+	.A(brqrv_top_dccm_clk_override),
+	.B(n_26977),
+	.C(n_25451),
+	.Y(n_27451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g745464 (
+	.A1(n_33064),
+	.A2(n_27036),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[20]),
+	.B2(n_24298),
+	.Y(n_27450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g745465 (
+	.A1(n_33066),
+	.A2(n_27036),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[22]),
+	.B2(n_24298),
+	.Y(n_27449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g745466 (
+	.A1(brqrv_top_dccm_rd_addr_lo[2]),
+	.A2(n_26557),
+	.B1(brqrv_top_dccm_clk_override),
+	.C1(n_24020),
+	.Y(n_27448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g745467 (
+	.A1(n_33067),
+	.A2(n_27036),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[23]),
+	.B2(n_24298),
+	.Y(n_27447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g745468 (
+	.A1(n_33068),
+	.A2(n_27036),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[24]),
+	.B2(n_24298),
+	.Y(n_27446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g745470 (
+	.A1(n_33069),
+	.A2(n_27036),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[25]),
+	.B2(n_24298),
+	.Y(n_27445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g745471 (
+	.A1(brqrv_top_brqrv_ifu_ifc_state[0]),
+	.A2(n_26382),
+	.B1(n_27162),
+	.Y(n_27444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745472 (
+	.A1(n_26582),
+	.A2(brqrv_top_brqrv_dec_decode_csr_rddata_x[31]),
+	.B1(n_26343),
+	.B2(brqrv_top_brqrv_exu_csr_rs1_x[31]),
+	.C1(n_26215),
+	.X(brqrv_top_brqrv_dec_decode_write_csr_data_in[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745473 (
+	.A1(n_26579),
+	.A2(brqrv_top_brqrv_dec_decode_csr_rddata_x[30]),
+	.B1(n_26343),
+	.B2(brqrv_top_brqrv_exu_csr_rs1_x[30]),
+	.C1(n_26214),
+	.X(brqrv_top_brqrv_dec_decode_write_csr_data_in[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745474 (
+	.A1(n_26611),
+	.A2(brqrv_top_brqrv_dec_decode_csr_rddata_x[29]),
+	.B1(n_26343),
+	.B2(brqrv_top_brqrv_exu_csr_rs1_x[29]),
+	.C1(n_26223),
+	.X(brqrv_top_brqrv_dec_decode_write_csr_data_in[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745475 (
+	.A1(n_26610),
+	.A2(brqrv_top_brqrv_dec_decode_csr_rddata_x[28]),
+	.B1(n_26343),
+	.B2(brqrv_top_brqrv_exu_csr_rs1_x[28]),
+	.C1(n_26191),
+	.X(brqrv_top_brqrv_dec_decode_write_csr_data_in[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745476 (
+	.A1(n_26585),
+	.A2(brqrv_top_brqrv_dec_decode_csr_rddata_x[27]),
+	.B1(n_26343),
+	.B2(brqrv_top_brqrv_exu_csr_rs1_x[27]),
+	.C1(n_26213),
+	.X(brqrv_top_brqrv_dec_decode_write_csr_data_in[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745477 (
+	.A1(n_26606),
+	.A2(brqrv_top_brqrv_dec_decode_csr_rddata_x[26]),
+	.B1(n_26343),
+	.B2(brqrv_top_brqrv_exu_csr_rs1_x[26]),
+	.C1(n_26196),
+	.X(brqrv_top_brqrv_dec_decode_write_csr_data_in[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745478 (
+	.A1(n_26586),
+	.A2(brqrv_top_brqrv_dec_decode_csr_rddata_x[25]),
+	.B1(n_26343),
+	.B2(brqrv_top_brqrv_exu_csr_rs1_x[25]),
+	.C1(n_26212),
+	.X(brqrv_top_brqrv_dec_decode_write_csr_data_in[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745479 (
+	.A1(n_26662),
+	.A2(brqrv_top_brqrv_dec_decode_csr_rddata_x[24]),
+	.B1(n_26343),
+	.B2(brqrv_top_brqrv_exu_csr_rs1_x[24]),
+	.C1(n_26203),
+	.X(brqrv_top_brqrv_dec_decode_write_csr_data_in[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745480 (
+	.A1(n_26588),
+	.A2(brqrv_top_brqrv_dec_decode_csr_rddata_x[23]),
+	.B1(n_26343),
+	.B2(brqrv_top_brqrv_exu_csr_rs1_x[23]),
+	.C1(n_26192),
+	.X(brqrv_top_brqrv_dec_decode_write_csr_data_in[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745481 (
+	.A1(n_26580),
+	.A2(brqrv_top_brqrv_dec_decode_csr_rddata_x[22]),
+	.B1(n_26343),
+	.B2(brqrv_top_brqrv_exu_csr_rs1_x[22]),
+	.C1(n_26220),
+	.X(brqrv_top_brqrv_dec_decode_write_csr_data_in[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745482 (
+	.A1(n_26590),
+	.A2(brqrv_top_brqrv_dec_decode_csr_rddata_x[21]),
+	.B1(n_26343),
+	.B2(brqrv_top_brqrv_exu_csr_rs1_x[21]),
+	.C1(n_26210),
+	.X(brqrv_top_brqrv_dec_decode_write_csr_data_in[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745483 (
+	.A1(n_26591),
+	.A2(brqrv_top_brqrv_dec_decode_csr_rddata_x[20]),
+	.B1(n_26343),
+	.B2(brqrv_top_brqrv_exu_csr_rs1_x[20]),
+	.C1(n_26202),
+	.X(brqrv_top_brqrv_dec_decode_write_csr_data_in[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745484 (
+	.A1(n_26604),
+	.A2(brqrv_top_brqrv_dec_decode_csr_rddata_x[19]),
+	.B1(n_26343),
+	.B2(brqrv_top_brqrv_exu_csr_rs1_x[19]),
+	.C1(n_26209),
+	.X(brqrv_top_brqrv_dec_decode_write_csr_data_in[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745485 (
+	.A1(n_26593),
+	.A2(brqrv_top_brqrv_dec_decode_csr_rddata_x[18]),
+	.B1(n_26343),
+	.B2(brqrv_top_brqrv_exu_csr_rs1_x[18]),
+	.C1(n_26194),
+	.X(brqrv_top_brqrv_dec_decode_write_csr_data_in[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745486 (
+	.A1(n_26594),
+	.A2(brqrv_top_brqrv_dec_decode_csr_rddata_x[17]),
+	.B1(n_26343),
+	.B2(brqrv_top_brqrv_exu_csr_rs1_x[17]),
+	.C1(n_26219),
+	.X(brqrv_top_brqrv_dec_decode_write_csr_data_in[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745487 (
+	.A1(n_26595),
+	.A2(brqrv_top_brqrv_dec_decode_csr_rddata_x[16]),
+	.B1(n_26343),
+	.B2(brqrv_top_brqrv_exu_csr_rs1_x[16]),
+	.C1(n_26201),
+	.X(brqrv_top_brqrv_dec_decode_write_csr_data_in[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745488 (
+	.A1(n_26596),
+	.A2(brqrv_top_brqrv_dec_decode_csr_rddata_x[15]),
+	.B1(n_26343),
+	.B2(brqrv_top_brqrv_exu_csr_rs1_x[15]),
+	.C1(n_26216),
+	.X(brqrv_top_brqrv_dec_decode_write_csr_data_in[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745489 (
+	.A1(n_26598),
+	.A2(brqrv_top_brqrv_dec_decode_csr_rddata_x[14]),
+	.B1(n_26343),
+	.B2(brqrv_top_brqrv_exu_csr_rs1_x[14]),
+	.C1(n_26200),
+	.X(brqrv_top_brqrv_dec_decode_write_csr_data_in[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745490 (
+	.A1(n_26599),
+	.A2(brqrv_top_brqrv_dec_decode_csr_rddata_x[13]),
+	.B1(n_26343),
+	.B2(brqrv_top_brqrv_exu_csr_rs1_x[13]),
+	.C1(n_26208),
+	.X(brqrv_top_brqrv_dec_decode_write_csr_data_in[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745491 (
+	.A1(n_26609),
+	.A2(brqrv_top_brqrv_dec_decode_csr_rddata_x[12]),
+	.B1(n_26343),
+	.B2(brqrv_top_brqrv_exu_csr_rs1_x[12]),
+	.C1(n_26193),
+	.X(brqrv_top_brqrv_dec_decode_write_csr_data_in[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745492 (
+	.A1(n_26600),
+	.A2(brqrv_top_brqrv_dec_decode_csr_rddata_x[11]),
+	.B1(n_26343),
+	.B2(brqrv_top_brqrv_exu_csr_rs1_x[11]),
+	.C1(n_26207),
+	.X(brqrv_top_brqrv_dec_decode_write_csr_data_in[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745493 (
+	.A1(n_26601),
+	.A2(brqrv_top_brqrv_dec_decode_csr_rddata_x[10]),
+	.B1(n_26343),
+	.B2(brqrv_top_brqrv_exu_csr_rs1_x[10]),
+	.C1(n_26217),
+	.X(brqrv_top_brqrv_dec_decode_write_csr_data_in[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745494 (
+	.A1(n_26605),
+	.A2(brqrv_top_brqrv_dec_decode_csr_rddata_x[9]),
+	.B1(n_26343),
+	.B2(brqrv_top_brqrv_exu_csr_rs1_x[9]),
+	.C1(n_26245),
+	.X(brqrv_top_brqrv_dec_decode_write_csr_data_in[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745495 (
+	.A1(n_26602),
+	.A2(brqrv_top_brqrv_dec_decode_csr_rddata_x[8]),
+	.B1(n_26343),
+	.B2(brqrv_top_brqrv_exu_csr_rs1_x[8]),
+	.C1(n_26206),
+	.X(brqrv_top_brqrv_dec_decode_write_csr_data_in[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745496 (
+	.A1(n_26583),
+	.A2(brqrv_top_brqrv_dec_decode_csr_rddata_x[7]),
+	.B1(n_26343),
+	.B2(brqrv_top_brqrv_exu_csr_rs1_x[7]),
+	.C1(n_26205),
+	.X(brqrv_top_brqrv_dec_decode_write_csr_data_in[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745497 (
+	.A1(n_26603),
+	.A2(brqrv_top_brqrv_dec_decode_csr_rddata_x[6]),
+	.B1(n_26343),
+	.B2(brqrv_top_brqrv_exu_csr_rs1_x[6]),
+	.C1(n_26218),
+	.X(brqrv_top_brqrv_dec_decode_write_csr_data_in[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745498 (
+	.A1(n_26581),
+	.A2(brqrv_top_brqrv_dec_decode_csr_rddata_x[5]),
+	.B1(n_26343),
+	.B2(brqrv_top_brqrv_exu_csr_rs1_x[5]),
+	.C1(n_26204),
+	.X(brqrv_top_brqrv_dec_decode_write_csr_data_in[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g745499 (
+	.A1(n_33379),
+	.A2(n_26712),
+	.B1(n_24112),
+	.B2(n_26709),
+	.C1(n_27149),
+	.Y(n_27443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g745500 (
+	.A(n_25263),
+	.B(n_25917),
+	.C(n_26650),
+	.D(n_26992),
+	.Y(n_27442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g745501 (
+	.A1(n_1704),
+	.A2(n_26685),
+	.B1(n_25993),
+	.C1(n_27144),
+	.Y(brqrv_top_brqrv_dec_tlu_mcause_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745502 (
+	.A1(n_25164),
+	.A2(n_25408),
+	.B1(n_26672),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[0]),
+	.C1(n_25384),
+	.C2(brqrv_top_brqrv_dec_tlu_exc_cause_r[0]),
+	.Y(n_27441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745503 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_4284),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[6]),
+	.B1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[6]),
+	.C1(n_27118),
+	.X(brqrv_top_brqrv_dec_tlu_mepc_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745504 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_4284),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[31]),
+	.B1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[31]),
+	.C1(n_27143),
+	.X(brqrv_top_brqrv_dec_tlu_mepc_ns[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745505 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_4284),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[30]),
+	.B1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[30]),
+	.C1(n_27142),
+	.X(brqrv_top_brqrv_dec_tlu_mepc_ns[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745506 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_4284),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[29]),
+	.B1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[29]),
+	.C1(n_27141),
+	.X(brqrv_top_brqrv_dec_tlu_mepc_ns[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745507 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_4284),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[28]),
+	.B1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[28]),
+	.C1(n_27140),
+	.X(brqrv_top_brqrv_dec_tlu_mepc_ns[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745508 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_4284),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[27]),
+	.B1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[27]),
+	.C1(n_27139),
+	.X(brqrv_top_brqrv_dec_tlu_mepc_ns[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745509 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_4284),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[26]),
+	.B1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[26]),
+	.C1(n_27138),
+	.X(brqrv_top_brqrv_dec_tlu_mepc_ns[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745510 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_4284),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[25]),
+	.B1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[25]),
+	.C1(n_27137),
+	.X(brqrv_top_brqrv_dec_tlu_mepc_ns[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745511 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_4284),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[24]),
+	.B1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[24]),
+	.C1(n_27136),
+	.X(brqrv_top_brqrv_dec_tlu_mepc_ns[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745512 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_4284),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[23]),
+	.B1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[23]),
+	.C1(n_27135),
+	.X(brqrv_top_brqrv_dec_tlu_mepc_ns[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745513 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_4284),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[22]),
+	.B1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[22]),
+	.C1(n_27134),
+	.X(brqrv_top_brqrv_dec_tlu_mepc_ns[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745514 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_4284),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[21]),
+	.B1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[21]),
+	.C1(n_27133),
+	.X(brqrv_top_brqrv_dec_tlu_mepc_ns[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745515 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_4284),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[20]),
+	.B1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[20]),
+	.C1(n_27132),
+	.X(brqrv_top_brqrv_dec_tlu_mepc_ns[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745516 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_4284),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[19]),
+	.B1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[19]),
+	.C1(n_27131),
+	.X(brqrv_top_brqrv_dec_tlu_mepc_ns[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745517 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_4284),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[18]),
+	.B1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[18]),
+	.C1(n_27130),
+	.X(brqrv_top_brqrv_dec_tlu_mepc_ns[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745518 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_4284),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[17]),
+	.B1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[17]),
+	.C1(n_27129),
+	.X(brqrv_top_brqrv_dec_tlu_mepc_ns[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745519 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_4284),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[16]),
+	.B1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[16]),
+	.C1(n_27128),
+	.X(brqrv_top_brqrv_dec_tlu_mepc_ns[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745520 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_4284),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[15]),
+	.B1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[15]),
+	.C1(n_27127),
+	.X(brqrv_top_brqrv_dec_tlu_mepc_ns[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745521 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_4284),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[14]),
+	.B1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[14]),
+	.C1(n_27126),
+	.X(brqrv_top_brqrv_dec_tlu_mepc_ns[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745522 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_4284),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[13]),
+	.B1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[13]),
+	.C1(n_27125),
+	.X(brqrv_top_brqrv_dec_tlu_mepc_ns[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745523 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_4284),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[12]),
+	.B1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[12]),
+	.C1(n_27124),
+	.X(brqrv_top_brqrv_dec_tlu_mepc_ns[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745524 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_4284),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[11]),
+	.B1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[11]),
+	.C1(n_27123),
+	.X(brqrv_top_brqrv_dec_tlu_mepc_ns[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745525 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_4284),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[10]),
+	.B1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[10]),
+	.C1(n_27122),
+	.X(brqrv_top_brqrv_dec_tlu_mepc_ns[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745526 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_4284),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[9]),
+	.B1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[9]),
+	.C1(n_27121),
+	.X(brqrv_top_brqrv_dec_tlu_mepc_ns[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745527 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_4284),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[8]),
+	.B1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[8]),
+	.C1(n_27120),
+	.X(brqrv_top_brqrv_dec_tlu_mepc_ns[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745528 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_4284),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[7]),
+	.B1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[7]),
+	.C1(n_27119),
+	.X(brqrv_top_brqrv_dec_tlu_mepc_ns[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745529 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_4284),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[5]),
+	.B1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[5]),
+	.C1(n_27117),
+	.X(brqrv_top_brqrv_dec_tlu_mepc_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745530 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_4284),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[4]),
+	.B1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[4]),
+	.C1(n_27116),
+	.X(brqrv_top_brqrv_dec_tlu_mepc_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745531 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_4284),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[3]),
+	.B1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[3]),
+	.C1(n_27115),
+	.X(brqrv_top_brqrv_dec_tlu_mepc_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745532 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_4284),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[2]),
+	.B1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[2]),
+	.C1(n_27114),
+	.X(brqrv_top_brqrv_dec_tlu_mepc_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745533 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_4284),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[1]),
+	.B1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[1]),
+	.C1(n_27113),
+	.X(brqrv_top_brqrv_dec_tlu_mepc_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g745534 (
+	.A(n_27220),
+	.B(brqrv_top_brqrv_ifu_aln_qwen[0]),
+	.Y(brqrv_top_brqrv_ifu_aln_q0off_in), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g745535 (
+	.A1(brqrv_top_brqrv_dec_tlu_mtdata1_t1[8]),
+	.A2(brqrv_top_brqrv_dec_tlu_i0_trigger_chain_masked_r[1]),
+	.B1(n_27292),
+	.Y(n_27440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g745536 (
+	.A(brqrv_top_brqrv_dec_tlu_mstatus_mie_ns),
+	.B(n_26909),
+	.C_N(brqrv_top_brqrv_dec_tlu_mie_ns[3]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timer1_int_hold), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745537 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_dcsr[7]),
+	.A2_N(n_27048),
+	.B1(n_26281),
+	.B2(n_27048),
+	.Y(brqrv_top_brqrv_dec_tlu_dcsr_ns[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g745538 (
+	.A1(brqrv_top_dccm_rd_addr_lo[2]),
+	.A2(brqrv_top_dccm_rd_addr_lo[3]),
+	.B1(brqrv_top_dccm_rd_addr_hi[3]),
+	.B2(brqrv_top_dccm_rd_addr_hi[2]),
+	.C1(brqrv_top_dccm_clk_override),
+	.Y(n_27439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g745539 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill[0]),
+	.B(n_25710),
+	.C(n_25711),
+	.D(n_27006),
+	.Y(n_27438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g745540 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[35]),
+	.A2(n_24106),
+	.B1(n_25672),
+	.C1(n_26584),
+	.D1(n_26636),
+	.Y(n_27437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g745541 (
+	.A1(n_27072),
+	.A2(brqrv_top_brqrv_dec_tlu_mpc_run_state_ns),
+	.B1(brqrv_top_brqrv_dec_tlu_dbg_run_state_ns),
+	.Y(n_27436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g745542 (
+	.A1(n_26703),
+	.A2(brqrv_top_brqrv_lsu_lsu_addr_r[8]),
+	.B1(n_26702),
+	.B2(n_24101),
+	.C1(n_27099),
+	.Y(n_27435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745543 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_n_298),
+	.A2(n_25346),
+	.B1(brqrv_top_brqrv_dec_decode_write_csr_data[0]),
+	.B2(n_24061),
+	.C1(n_27156),
+	.Y(brqrv_top_brqrv_dec_decode_write_csr_data_in[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g745544 (
+	.A(n_26092),
+	.B(n_27035),
+	.C(n_27034),
+	.Y(n_27434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g745545 (
+	.A1(brqrv_top_brqrv_dec_decode_x_t[12]),
+	.A2(n_24502),
+	.B1(n_25641),
+	.C1(n_25997),
+	.D1(n_26962),
+	.Y(n_27433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745546 (
+	.A1(n_26710),
+	.A2(brqrv_top_brqrv_lsu_end_addr_m[4]),
+	.B1(n_26712),
+	.B2(brqrv_top_brqrv_lsu_end_addr_m[5]),
+	.C1(n_27267),
+	.Y(n_27432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g745547 (
+	.A(n_27169),
+	.B(n_25952),
+	.C(n_25173),
+	.Y(n_27431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745548 (
+	.A1(n_26022),
+	.A2(n_26077),
+	.B1(n_24413),
+	.B2(n_31137),
+	.C1(n_27109),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_byteenff_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745549 (
+	.A1(n_26022),
+	.A2(n_26078),
+	.B1(n_24413),
+	.B2(n_32307),
+	.C1(n_27107),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_byteenff_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745550 (
+	.A1(n_26022),
+	.A2(n_26079),
+	.B1(n_24413),
+	.B2(n_31493),
+	.C1(n_27106),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_byteenff_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745551 (
+	.A1(n_26022),
+	.A2(n_26080),
+	.B1(n_24413),
+	.B2(n_31140),
+	.C1(n_27105),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_byteenff_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g745552 (
+	.A(brqrv_top_brqrv_ifu_aln_first2B),
+	.B(n_26905),
+	.C(n_32814),
+	.Y(n_27430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g745553 (
+	.A_N(n_26957),
+	.B(n_26139),
+	.C(brqrv_top_brqrv_dma_ctrl_fifo_valid[0]),
+	.Y(n_27429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g745554 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill[0]),
+	.B(n_25687),
+	.C(n_25686),
+	.D(n_26964),
+	.Y(n_27428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g745555 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill[3]),
+	.B(n_25681),
+	.C(n_25680),
+	.D(n_26967),
+	.Y(n_27427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g745556 (
+	.A1(n_24230),
+	.A2(brqrv_top_brqrv_dec_tlu_flush_path_r[23]),
+	.B1(n_26462),
+	.C1(n_26527),
+	.D1(n_26511),
+	.Y(n_27426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g745557 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill[1]),
+	.B(n_25670),
+	.C(n_25668),
+	.D(n_26968),
+	.Y(n_27425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745558 (
+	.A1(n_24482),
+	.A2(brqrv_top_brqrv_dec_tlu_exc_cause_r[1]),
+	.B1(n_24467),
+	.B2(brqrv_top_brqrv_dec_tlu_exc_cause_r[2]),
+	.C1(n_27229),
+	.Y(n_27424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745559 (
+	.A1(n_2384),
+	.A2(n_33125),
+	.B1(n_33124),
+	.B2(n_2387),
+	.C1(n_27111),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745560 (
+	.A1(n_2384),
+	.A2(n_33121),
+	.B1(n_33120),
+	.B2(n_2387),
+	.C1(n_27161),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745561 (
+	.A1(n_25347),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[4]),
+	.B1(n_33623),
+	.B2(brqrv_top_brqrv_dec_dec_pause_state),
+	.C1(n_27159),
+	.X(brqrv_top_brqrv_dec_decode_write_csr_data_in[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745562 (
+	.A1(n_25347),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[3]),
+	.B1(n_33622),
+	.B2(brqrv_top_brqrv_dec_dec_pause_state),
+	.C1(n_27163),
+	.X(brqrv_top_brqrv_dec_decode_write_csr_data_in[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745563 (
+	.A1(n_25347),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[2]),
+	.B1(n_33621),
+	.B2(brqrv_top_brqrv_dec_dec_pause_state),
+	.C1(n_27158),
+	.X(brqrv_top_brqrv_dec_decode_write_csr_data_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745564 (
+	.A1(n_25347),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[1]),
+	.B1(n_33620),
+	.B2(brqrv_top_brqrv_dec_dec_pause_state),
+	.C1(n_27157),
+	.X(brqrv_top_brqrv_dec_decode_write_csr_data_in[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745565 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[30]),
+	.A2(n_26683),
+	.B1(n_26006),
+	.B2(brqrv_top_brqrv_dec_dec_illegal_inst[30]),
+	.C1(n_27037),
+	.C2(brqrv_top_brqrv_dec_tlu_pc_r[30]),
+	.Y(n_27423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745566 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[28]),
+	.A2(n_26683),
+	.B1(n_26006),
+	.B2(brqrv_top_brqrv_dec_dec_illegal_inst[28]),
+	.C1(n_27037),
+	.C2(brqrv_top_brqrv_dec_tlu_pc_r[28]),
+	.Y(n_27422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745567 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[14]),
+	.A2(n_26683),
+	.B1(n_26006),
+	.B2(brqrv_top_brqrv_dec_dec_illegal_inst[14]),
+	.C1(n_27037),
+	.C2(brqrv_top_brqrv_dec_tlu_pc_r[14]),
+	.Y(n_27421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745568 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[7]),
+	.A2(n_26683),
+	.B1(n_26006),
+	.B2(brqrv_top_brqrv_dec_dec_illegal_inst[7]),
+	.C1(n_27037),
+	.C2(brqrv_top_brqrv_dec_tlu_pc_r[7]),
+	.Y(n_27420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745569 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[6]),
+	.A2(n_26683),
+	.B1(n_26006),
+	.B2(brqrv_top_brqrv_dec_dec_illegal_inst[6]),
+	.C1(n_27037),
+	.C2(brqrv_top_brqrv_dec_tlu_pc_r[6]),
+	.Y(n_27419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745570 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[3]),
+	.A2(n_26683),
+	.B1(n_26006),
+	.B2(brqrv_top_brqrv_dec_dec_illegal_inst[3]),
+	.C1(n_27037),
+	.C2(brqrv_top_brqrv_dec_tlu_pc_r[3]),
+	.Y(n_27418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745571 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[2]),
+	.A2(n_26683),
+	.B1(n_26006),
+	.B2(brqrv_top_brqrv_dec_dec_illegal_inst[2]),
+	.C1(n_27037),
+	.C2(brqrv_top_brqrv_dec_tlu_pc_r[2]),
+	.Y(n_27417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g745572 (
+	.A1(n_33381),
+	.A2(n_26705),
+	.B1(n_33383),
+	.B2(n_26701),
+	.C1(n_27150),
+	.Y(n_27416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745573 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[31]),
+	.A2(n_24032),
+	.B1(n_25384),
+	.B2(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.C1(n_26672),
+	.C2(brqrv_top_brqrv_dec_tlu_mcause[31]),
+	.Y(n_27415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745574 (
+	.A1(n_24032),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[12]),
+	.B1(n_26672),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[12]),
+	.C1(n_25408),
+	.X(brqrv_top_brqrv_dec_tlu_mcause_ns[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745575 (
+	.A1(n_24032),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[4]),
+	.B1(n_26672),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[4]),
+	.C1(brqrv_top_brqrv_dec_tlu_exc_cause_r[4]),
+	.X(brqrv_top_brqrv_dec_tlu_mcause_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745576 (
+	.A1(n_24032),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[2]),
+	.B1(n_26672),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[2]),
+	.C1(brqrv_top_brqrv_dec_tlu_exc_cause_r[2]),
+	.X(brqrv_top_brqrv_dec_tlu_mcause_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745577 (
+	.A1(brqrv_top_brqrv_dbg_sbdata0_reg[23]),
+	.A2(n_29891),
+	.B1(n_29892),
+	.B2(brqrv_top_brqrv_dbg_sbdata1_reg[23]),
+	.C1(brqrv_top_brqrv_dbg_cmd_wrdata[23]),
+	.C2(n_27035),
+	.Y(n_27414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g745578 (
+	.A(n_29925),
+	.B(n_31522),
+	.C(n_27066),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_wr_mitb1_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745579 (
+	.A1(n_25352),
+	.A2(brqrv_top_brqrv_dbg_sbcs_reg[22]),
+	.B1(n_29891),
+	.B2(brqrv_top_brqrv_dbg_sbdata0_reg[22]),
+	.C1(brqrv_top_brqrv_dbg_cmd_wrdata[22]),
+	.C2(n_27035),
+	.Y(n_27413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745580 (
+	.A1(n_25352),
+	.A2(brqrv_top_brqrv_dbg_sbcs_reg[20]),
+	.B1(n_29891),
+	.B2(brqrv_top_brqrv_dbg_sbdata0_reg[20]),
+	.C1(brqrv_top_brqrv_dbg_cmd_wrdata[20]),
+	.C2(n_27035),
+	.Y(n_27412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745581 (
+	.A1(n_26341),
+	.A2(brqrv_top_brqrv_dbg_command_reg[17]),
+	.B1(brqrv_top_brqrv_dbg_sbcs_reg_int[17]),
+	.B2(n_25352),
+	.C1(brqrv_top_brqrv_dbg_cmd_wrdata[17]),
+	.C2(n_27035),
+	.Y(n_27411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745582 (
+	.A1(n_27034),
+	.A2(brqrv_top_brqrv_dbg_data1_reg[11]),
+	.B1(brqrv_top_brqrv_dbg_command_reg[11]),
+	.B2(n_26341),
+	.C1(n_29892),
+	.C2(brqrv_top_brqrv_dbg_sbdata1_reg[11]),
+	.Y(n_27410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745583 (
+	.A1(n_26005),
+	.A2(brqrv_top_brqrv_dbg_sbaddress0_reg[7]),
+	.B1(n_29891),
+	.B2(brqrv_top_brqrv_dbg_sbdata0_reg[7]),
+	.C1(brqrv_top_brqrv_dbg_data1_reg[7]),
+	.C2(n_27034),
+	.Y(n_27409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745584 (
+	.A1(n_26341),
+	.A2(brqrv_top_brqrv_dbg_command_reg[3]),
+	.B1(brqrv_top_brqrv_dbg_sbaddress0_reg[3]),
+	.B2(n_26005),
+	.C1(brqrv_top_brqrv_dbg_cmd_wrdata[3]),
+	.C2(n_27035),
+	.Y(n_27408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745585 (
+	.A1(n_27034),
+	.A2(brqrv_top_brqrv_dbg_data1_reg[2]),
+	.B1(brqrv_top_brqrv_dbg_sbaddress0_reg[2]),
+	.B2(n_26005),
+	.C1(n_29891),
+	.C2(brqrv_top_brqrv_dbg_sbdata0_reg[2]),
+	.Y(n_27407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745586 (
+	.A1(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[5]),
+	.A2(n_26730),
+	.B1(n_26071),
+	.B2(brqrv_top_brqrv_exu_ghr_x[6]),
+	.C1(n_26558),
+	.C2(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[6]),
+	.Y(n_27406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g745587 (
+	.A1(brqrv_top_brqrv_dec_tlu_trigger_hit_r_d1),
+	.A2(n_26258),
+	.A3(n_27048),
+	.B1(n_27258),
+	.Y(brqrv_top_brqrv_dec_tlu_dcsr_ns[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 g745588 (
+	.A1(brqrv_top_brqrv_dec_tlu_trigger_hit_dmode_r_d1),
+	.A2(n_25492),
+	.A3(n_25476),
+	.A4(n_27048),
+	.B1(n_27257),
+	.Y(brqrv_top_brqrv_dec_tlu_dcsr_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g745589 (
+	.A1(n_26788),
+	.A2(n_26134),
+	.B1(brqrv_top_brqrv_dma_ctrl_n_1834),
+	.B2(n_5424),
+	.C1(n_31128),
+	.X(brqrv_top_brqrv_dma_ctrl_fifo_data_en[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745590 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[7]),
+	.A2(n_26361),
+	.B1(n_26726),
+	.B2(n_33259),
+	.C1(n_33258),
+	.C2(brqrv_top_brqrv_lsu_ldst_dual_r),
+	.Y(n_27405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745591 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[6]),
+	.A2(n_26361),
+	.B1(n_26726),
+	.B2(n_33257),
+	.C1(n_33256),
+	.C2(brqrv_top_brqrv_lsu_ldst_dual_r),
+	.Y(n_27404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745592 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[5]),
+	.A2(n_26361),
+	.B1(n_26726),
+	.B2(n_33255),
+	.C1(n_33254),
+	.C2(brqrv_top_brqrv_lsu_ldst_dual_r),
+	.Y(n_27403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745593 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[4]),
+	.A2(n_26361),
+	.B1(n_26726),
+	.B2(n_33253),
+	.C1(n_33252),
+	.C2(brqrv_top_brqrv_lsu_ldst_dual_r),
+	.Y(n_27402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745594 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[3]),
+	.A2(n_26361),
+	.B1(n_26726),
+	.B2(n_33251),
+	.C1(n_33250),
+	.C2(brqrv_top_brqrv_lsu_ldst_dual_r),
+	.Y(n_27401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745595 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[2]),
+	.A2(n_26361),
+	.B1(n_26726),
+	.B2(n_33249),
+	.C1(n_33248),
+	.C2(brqrv_top_brqrv_lsu_ldst_dual_r),
+	.Y(n_27400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745596 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[1]),
+	.A2(n_26361),
+	.B1(n_26726),
+	.B2(n_33247),
+	.C1(n_33246),
+	.C2(brqrv_top_brqrv_lsu_ldst_dual_r),
+	.Y(n_27399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745597 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[0]),
+	.A2(n_26361),
+	.B1(n_26726),
+	.B2(n_33245),
+	.C1(n_33244),
+	.C2(brqrv_top_brqrv_lsu_ldst_dual_r),
+	.Y(n_27398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g745598 (
+	.A1(n_26705),
+	.A2(brqrv_top_brqrv_lsu_lsu_addr_m[7]),
+	.B1(n_26701),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[9]),
+	.C1(n_27090),
+	.Y(n_27397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745599 (
+	.A1(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[2]),
+	.A2(n_26730),
+	.B1(n_26558),
+	.B2(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[3]),
+	.C1(n_26082),
+	.C2(brqrv_top_brqrv_exu_ghr_d[3]),
+	.Y(n_27396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745600 (
+	.A1(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[2]),
+	.A2(n_26766),
+	.B1(n_26558),
+	.B2(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[4]),
+	.C1(n_26071),
+	.C2(brqrv_top_brqrv_exu_ghr_x[4]),
+	.Y(n_27395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745601 (
+	.A1(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[0]),
+	.A2(n_26766),
+	.B1(n_26558),
+	.B2(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[2]),
+	.C1(n_26071),
+	.C2(brqrv_top_brqrv_exu_ghr_x[2]),
+	.Y(n_27394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g745602 (
+	.A1(n_1702),
+	.A2(n_26685),
+	.B1(n_27145),
+	.Y(brqrv_top_brqrv_dec_tlu_mcause_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g745603 (
+	.A1(n_33065),
+	.A2(n_27036),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[21]),
+	.B2(n_24298),
+	.Y(n_27393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745605 (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[113]),
+	.A2_N(n_27038),
+	.B1(n_2025),
+	.B2(n_27038),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ns[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745606 (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[112]),
+	.A2_N(n_27038),
+	.B1(n_1304),
+	.B2(n_27038),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745607 (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[108]),
+	.A2_N(n_27038),
+	.B1(n_1705),
+	.B2(n_27038),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g745608 (
+	.A1(n_26452),
+	.A2(n_26978),
+	.B1(n_25870),
+	.B2(n_24414),
+	.Y(n_27392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g745609 (
+	.A(n_26502),
+	.B(n_26517),
+	.C(n_26522),
+	.D(n_26984),
+	.Y(n_27391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g745610 (
+	.A(n_26495),
+	.B(n_25331),
+	.C(n_26524),
+	.D(n_26530),
+	.Y(n_27390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g745611 (
+	.A(n_26494),
+	.B(n_25327),
+	.C(n_26526),
+	.D(n_26525),
+	.Y(n_27389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g745612 (
+	.A1(n_24255),
+	.A2(brqrv_top_brqrv_dec_tlu_flush_path_r[22]),
+	.B1(n_25620),
+	.C1(n_27003),
+	.Y(n_27388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g745613 (
+	.A1(brqrv_top_brqrv_exu_pred_correct_npc_r[25]),
+	.A2(n_24491),
+	.B1(n_25613),
+	.C1(n_27004),
+	.Y(n_27387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g745614 (
+	.A1(brqrv_top_brqrv_exu_pred_correct_npc_r[21]),
+	.A2(n_24235),
+	.B1(n_26528),
+	.C1(n_26493),
+	.D1(n_26529),
+	.Y(n_27386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g745615 (
+	.A1(brqrv_top_brqrv_dbg_command_reg[18]),
+	.A2(n_26341),
+	.B1(brqrv_top_brqrv_dbg_cmd_wrdata[18]),
+	.B2(n_27035),
+	.C1(n_25992),
+	.Y(n_27385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g745616 (
+	.A(n_26533),
+	.B(n_26429),
+	.C(n_24022),
+	.D(n_26531),
+	.Y(n_27384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g745617 (
+	.A1(n_27079),
+	.A2(brqrv_top_brqrv_lsu_lsu_pkt_d[0]),
+	.B1(n_26790),
+	.B2(n_27073),
+	.Y(n_27383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g745618 (
+	.A1(n_34065),
+	.A2(n_26804),
+	.A3(n_26010),
+	.B1(n_31463),
+	.B2(n_467),
+	.Y(n_27382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g745619 (
+	.A(n_33040),
+	.B(n_26831),
+	.Y(n_27381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g745620 (
+	.A1_N(brqrv_top_brqrv_exu_ghr_d[0]),
+	.A2_N(brqrv_top_brqrv_exu_ghr_d_ns[0]),
+	.B1(brqrv_top_brqrv_exu_ghr_d[0]),
+	.B2(brqrv_top_brqrv_exu_ghr_d_ns[0]),
+	.Y(n_27380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g745621 (
+	.A(n_33038),
+	.B(n_26833),
+	.Y(n_27379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g745622 (
+	.A1(n_33075),
+	.A2(n_27036),
+	.B1(n_34092),
+	.B2(n_25353),
+	.Y(n_27378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g745623 (
+	.A1(brqrv_top_brqrv_dec_dec_i0_instr_d[12]),
+	.A2(n_27076),
+	.B1(n_25435),
+	.B2(n_26128),
+	.Y(n_27377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g745624 (
+	.A1(n_33073),
+	.A2(n_27036),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[29]),
+	.B2(n_24298),
+	.Y(n_27376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g745625 (
+	.A1(n_33071),
+	.A2(n_27036),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[27]),
+	.B2(n_24298),
+	.Y(n_27375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g745626 (
+	.A1(n_33070),
+	.A2(n_27036),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[26]),
+	.B2(n_24298),
+	.Y(n_27374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g745627 (
+	.A(n_33036),
+	.B(n_26834),
+	.Y(n_27373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745628 (
+	.A1(n_26365),
+	.A2(n_540),
+	.B1(n_24226),
+	.B2(n_32416),
+	.C1(n_27244),
+	.Y(n_27500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745629 (
+	.A1(n_26369),
+	.A2(n_540),
+	.B1(n_24458),
+	.B2(n_32416),
+	.C1(n_27246),
+	.Y(n_27499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745630 (
+	.A1(n_26366),
+	.A2(n_540),
+	.B1(n_24453),
+	.B2(n_32416),
+	.C1(n_27247),
+	.Y(n_27498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g745631 (
+	.A1(n_30530),
+	.A2(n_27065),
+	.B1_N(brqrv_top_brqrv_dec_decode_d_d[2]),
+	.Y(n_27497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745632 (
+	.A1(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[0]),
+	.A2(n_26730),
+	.B1(n_26558),
+	.B2(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[1]),
+	.C1(n_24023),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_n_10401 ),
+	.Y(n_27496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g745633 (
+	.A1(n_26096),
+	.A2(n_32235),
+	.B1(n_26071),
+	.B2(brqrv_top_brqrv_exu_ghr_x[0]),
+	.C1(n_27094),
+	.Y(n_27495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g745634 (
+	.A(n_26795),
+	.B(n_31822),
+	.C(n_26787),
+	.X(n_27494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g745635 (
+	.A1(brqrv_top_brqrv_dec_tlu_take_nmi),
+	.A2(n_27028),
+	.B1(n_25408),
+	.Y(n_27493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745636 (
+	.A1(brqrv_top_dccm_rd_addr_lo[3]),
+	.A2(n_24124),
+	.B1(n_26557),
+	.B2(brqrv_top_dccm_rd_addr_hi[3]),
+	.C1(brqrv_top_brqrv_lsu_stbuf_n_865),
+	.Y(n_27492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g745637 (
+	.A1(n_26805),
+	.A2(n_27053),
+	.B1(n_26787),
+	.B2(brqrv_top_brqrv_lsu_nonblock_load_tag_m[1]),
+	.Y(brqrv_top_brqrv_lsu_nonblock_load_tag_m[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g745638 (
+	.A(n_26589),
+	.B(n_26337),
+	.C(n_26339),
+	.D(n_26335),
+	.Y(n_27490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g745639 (
+	.A(n_27312),
+	.B(n_26823),
+	.Y(n_27489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g745640 (
+	.A(n_27323),
+	.B(n_24137),
+	.Y(n_27487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g745641 (
+	.A(n_27239),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[12]),
+	.Y(n_27485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g745642 (
+	.A(n_27323),
+	.B(n_29925),
+	.X(n_27484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g745643 (
+	.A(brqrv_top_brqrv_dec_tlu_dec_timer_t0_pulse),
+	.B(n_27288),
+	.Y(n_27482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745644 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_m[26]),
+	.A2_N(n_26677),
+	.B1(n_27041),
+	.B2(n_26063),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[122]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g745645 (
+	.A1(n_33057),
+	.A2(n_27036),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[13]),
+	.B2(n_24298),
+	.Y(n_27372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g745646 (
+	.A1(n_33056),
+	.A2(n_27036),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[12]),
+	.B2(n_24298),
+	.Y(n_27371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g745647 (
+	.A1(n_33055),
+	.A2(n_27036),
+	.B1(n_31466),
+	.B2(n_467),
+	.Y(n_27370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g745648 (
+	.A1(n_27037),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[24]),
+	.B1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.B2(brqrv_top_brqrv_lsu_error_pkt_r[26]),
+	.Y(n_27369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g745649 (
+	.A1(n_27037),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[23]),
+	.B1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.B2(brqrv_top_brqrv_lsu_error_pkt_r[25]),
+	.Y(n_27368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g745650 (
+	.A1(n_33054),
+	.A2(n_27036),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[10]),
+	.B2(n_24298),
+	.Y(n_27367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g745651 (
+	.A1(n_27037),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[22]),
+	.B1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.B2(brqrv_top_brqrv_lsu_error_pkt_r[24]),
+	.Y(n_27366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g745652 (
+	.A1(n_34071),
+	.A2(n_26821),
+	.A3(n_26010),
+	.B1(n_31544),
+	.B2(n_467),
+	.Y(n_27365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g745653 (
+	.A1(n_27037),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[20]),
+	.B1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.B2(brqrv_top_brqrv_lsu_error_pkt_r[22]),
+	.Y(n_27364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g745654 (
+	.A1(n_33053),
+	.A2(n_27036),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[9]),
+	.B2(n_24298),
+	.Y(n_27363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g745655 (
+	.A1(n_27037),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[17]),
+	.B1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.B2(brqrv_top_brqrv_lsu_error_pkt_r[19]),
+	.Y(n_27362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g745656 (
+	.A1(n_33052),
+	.A2(n_27036),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[8]),
+	.B2(n_24298),
+	.Y(n_27361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g745657 (
+	.A1(n_34069),
+	.A2(n_26574),
+	.A3(n_26010),
+	.B1(n_32517),
+	.B2(n_467),
+	.Y(n_27360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g745658 (
+	.A1(n_33051),
+	.A2(n_27036),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[7]),
+	.B2(n_24298),
+	.Y(n_27359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g745659 (
+	.A1(n_33050),
+	.A2(n_27036),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[6]),
+	.B2(n_24298),
+	.Y(n_27358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g745660 (
+	.A1(n_34067),
+	.A2(n_26819),
+	.A3(n_26010),
+	.B1(n_31464),
+	.B2(n_467),
+	.Y(n_27357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g745661 (
+	.A1(n_27037),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[9]),
+	.B1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.B2(brqrv_top_brqrv_lsu_error_pkt_r[11]),
+	.Y(n_27356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g745662 (
+	.A1(n_33049),
+	.A2(n_27036),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[5]),
+	.B2(n_24298),
+	.Y(n_27355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g745663 (
+	.A1(n_33048),
+	.A2(n_27036),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[4]),
+	.B2(n_24298),
+	.Y(n_27354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32a_1 g745664 (
+	.A1(n_24139),
+	.A2(brqrv_top_brqrv_ifu_aln_q0ptr),
+	.A3(n_26396),
+	.B1(n_30516),
+	.B2(n_26844),
+	.X(n_27353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g745665 (
+	.A1(n_33047),
+	.A2(n_27036),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[3]),
+	.B2(n_24298),
+	.Y(n_27352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g745666 (
+	.A1(n_33046),
+	.A2(n_27036),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[2]),
+	.B2(n_24298),
+	.Y(n_27351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g745667 (
+	.A1(n_34063),
+	.A2(n_26802),
+	.A3(n_26010),
+	.B1(n_31550),
+	.B2(n_467),
+	.Y(n_27350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g745668 (
+	.A1(n_33045),
+	.A2(n_27036),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[1]),
+	.B2(n_24298),
+	.Y(n_27349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g745669 (
+	.A1(brqrv_top_brqrv_exu_i_alu_lt),
+	.A2(n_23065),
+	.A3(n_25547),
+	.B1(n_33044),
+	.B2(n_27036),
+	.Y(n_27348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g745670 (
+	.A1(brqrv_top_brqrv_dbg_data1_reg[23]),
+	.A2(n_27034),
+	.B1(brqrv_top_brqrv_dbg_sbaddress0_reg[23]),
+	.B2(n_26005),
+	.Y(n_27347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g745671 (
+	.A1(brqrv_top_brqrv_dbg_data1_reg[22]),
+	.A2(n_27034),
+	.B1(brqrv_top_brqrv_dbg_command_reg[22]),
+	.B2(n_26341),
+	.Y(n_27346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g745672 (
+	.A1(brqrv_top_brqrv_dbg_data1_reg[20]),
+	.A2(n_27034),
+	.B1(brqrv_top_brqrv_dbg_cmd_size[0]),
+	.B2(n_26341),
+	.Y(n_27345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745673 (
+	.A1(brqrv_top_brqrv_dbg_data1_reg[19]),
+	.A2(n_27034),
+	.B1(brqrv_top_brqrv_dbg_sbcs_reg_int[19]),
+	.B2(n_25352),
+	.X(n_27344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g745674 (
+	.A1(brqrv_top_brqrv_dbg_cmd_wrdata[16]),
+	.A2(n_27035),
+	.B1(n_29892),
+	.B2(brqrv_top_brqrv_dbg_sbdata1_reg[16]),
+	.Y(n_27343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g745675 (
+	.A1(brqrv_top_brqrv_dbg_data1_reg[16]),
+	.A2(n_27034),
+	.B1(brqrv_top_brqrv_dbg_sbcs_reg_int[16]),
+	.B2(n_25352),
+	.Y(n_27342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g745676 (
+	.A1(brqrv_top_brqrv_dbg_cmd_wrdata[13]),
+	.A2(n_27035),
+	.B1(brqrv_top_brqrv_dbg_sbcs_reg[13]),
+	.B2(n_25352),
+	.Y(n_27341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g745677 (
+	.A1(brqrv_top_brqrv_dbg_data1_reg[12]),
+	.A2(n_27034),
+	.B1(brqrv_top_brqrv_dbg_abstractcs_reg[12]),
+	.B2(n_26364),
+	.Y(n_27340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g745678 (
+	.A1(brqrv_top_brqrv_dbg_cmd_wrdata[11]),
+	.A2(n_27035),
+	.B1(n_29891),
+	.B2(brqrv_top_brqrv_dbg_sbdata0_reg[11]),
+	.Y(n_27339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g745679 (
+	.A1(brqrv_top_brqrv_dbg_cmd_wrdata[8]),
+	.A2(n_27035),
+	.B1(n_29892),
+	.B2(brqrv_top_brqrv_dbg_sbdata1_reg[8]),
+	.Y(n_27338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g745680 (
+	.A1(brqrv_top_brqrv_dbg_data1_reg[3]),
+	.A2(n_27034),
+	.B1(n_29892),
+	.B2(brqrv_top_brqrv_dbg_sbdata1_reg[3]),
+	.Y(n_27337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g745681 (
+	.A1(brqrv_top_brqrv_dbg_cmd_wrdata[0]),
+	.A2(n_27035),
+	.B1(brqrv_top_brqrv_dbg_abstractauto_reg[0]),
+	.B2(n_26092),
+	.Y(n_27336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g745682 (
+	.A1(brqrv_top_brqrv_dbg_data1_reg[0]),
+	.A2(n_27034),
+	.B1(brqrv_top_brqrv_dbg_command_reg[0]),
+	.B2(n_26341),
+	.Y(n_27335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g745683 (
+	.A1(brqrv_top_brqrv_dbg_abstractauto_reg[0]),
+	.A2(n_27035),
+	.B1(brqrv_top_brqrv_dbg_abstractauto_reg[1]),
+	.B2(n_27034),
+	.Y(n_27334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745684 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mtdata1_t2[9]),
+	.A2_N(n_27038),
+	.B1(n_25396),
+	.B2(n_27038),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ns[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745685 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mtdata1_t2[6]),
+	.A2_N(n_27038),
+	.B1(n_26088),
+	.B2(n_27038),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745686 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mtdata1_t2[5]),
+	.A2_N(n_27038),
+	.B1(n_27070),
+	.B2(n_27038),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745687 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mtdata1_t2[2]),
+	.A2_N(n_27038),
+	.B1(n_25059),
+	.B2(n_27038),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745688 (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[111]),
+	.A2_N(n_27038),
+	.B1(n_1704),
+	.B2(n_27038),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745689 (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[110]),
+	.A2_N(n_27038),
+	.B1(n_25060),
+	.B2(n_27038),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g745690 (
+	.A1_N(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[3]),
+	.A2_N(n_26899),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[3]),
+	.B2(n_27077),
+	.Y(n_27333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g745691 (
+	.A1_N(n_27069),
+	.A2_N(n_32502),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[6]),
+	.B2(n_31301),
+	.Y(n_27332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g745692 (
+	.A1_N(n_27069),
+	.A2_N(n_32442),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[2]),
+	.B2(n_32440),
+	.Y(n_27331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g745693 (
+	.A1_N(n_27069),
+	.A2_N(n_31244),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[14]),
+	.B2(n_31494),
+	.Y(n_27330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g745694 (
+	.A1_N(n_27069),
+	.A2_N(n_31444),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[10]),
+	.B2(n_32453),
+	.Y(n_27329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745695 (
+	.A1(n_34948),
+	.A2(n_26933),
+	.B1(n_24029),
+	.B2(brqrv_top_dmi_reg_wdata[31]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745696 (
+	.A1(n_34947),
+	.A2(n_26933),
+	.B1(n_24029),
+	.B2(brqrv_top_dmi_reg_wdata[30]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745697 (
+	.A1(n_34946),
+	.A2(n_26933),
+	.B1(n_24029),
+	.B2(brqrv_top_dmi_reg_wdata[29]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745698 (
+	.A1(n_34945),
+	.A2(n_26933),
+	.B1(n_24029),
+	.B2(brqrv_top_dmi_reg_wdata[28]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745699 (
+	.A1(n_34944),
+	.A2(n_26933),
+	.B1(n_24029),
+	.B2(brqrv_top_dmi_reg_wdata[27]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745700 (
+	.A1(n_34943),
+	.A2(n_26933),
+	.B1(n_24029),
+	.B2(brqrv_top_dmi_reg_wdata[26]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745701 (
+	.A1(n_34942),
+	.A2(n_26933),
+	.B1(n_24029),
+	.B2(brqrv_top_dmi_reg_wdata[25]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745702 (
+	.A1(n_34941),
+	.A2(n_26933),
+	.B1(n_24029),
+	.B2(brqrv_top_dmi_reg_wdata[24]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745703 (
+	.A1(n_34940),
+	.A2(n_26933),
+	.B1(n_24029),
+	.B2(brqrv_top_dmi_reg_wdata[23]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745704 (
+	.A1(n_34939),
+	.A2(n_26933),
+	.B1(n_24029),
+	.B2(brqrv_top_dmi_reg_wdata[22]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745705 (
+	.A1(n_34938),
+	.A2(n_26933),
+	.B1(n_24029),
+	.B2(brqrv_top_dmi_reg_wdata[21]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745706 (
+	.A1(n_34937),
+	.A2(n_26933),
+	.B1(n_24029),
+	.B2(brqrv_top_dmi_reg_wdata[20]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745707 (
+	.A1(n_34936),
+	.A2(n_26933),
+	.B1(n_24029),
+	.B2(brqrv_top_dmi_reg_wdata[19]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745708 (
+	.A1(n_34935),
+	.A2(n_26933),
+	.B1(n_24029),
+	.B2(brqrv_top_dmi_reg_wdata[18]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745709 (
+	.A1(n_34934),
+	.A2(n_26933),
+	.B1(n_24029),
+	.B2(brqrv_top_dmi_reg_wdata[17]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745710 (
+	.A1(n_34933),
+	.A2(n_26933),
+	.B1(n_24029),
+	.B2(brqrv_top_dmi_reg_wdata[16]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745711 (
+	.A1(n_34932),
+	.A2(n_26933),
+	.B1(n_24029),
+	.B2(brqrv_top_dmi_reg_wdata[15]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745712 (
+	.A1(n_34931),
+	.A2(n_26933),
+	.B1(n_24029),
+	.B2(brqrv_top_dmi_reg_wdata[14]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745713 (
+	.A1(n_34930),
+	.A2(n_26933),
+	.B1(n_24029),
+	.B2(brqrv_top_dmi_reg_wdata[13]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745714 (
+	.A1(n_34929),
+	.A2(n_26933),
+	.B1(n_24029),
+	.B2(brqrv_top_dmi_reg_wdata[12]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745715 (
+	.A1(n_34928),
+	.A2(n_26933),
+	.B1(n_24029),
+	.B2(brqrv_top_dmi_reg_wdata[11]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745716 (
+	.A1(n_34927),
+	.A2(n_26933),
+	.B1(n_24029),
+	.B2(brqrv_top_dmi_reg_wdata[10]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745717 (
+	.A1(n_34926),
+	.A2(n_26933),
+	.B1(n_24029),
+	.B2(brqrv_top_dmi_reg_wdata[9]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745718 (
+	.A1(n_34925),
+	.A2(n_26933),
+	.B1(n_24029),
+	.B2(brqrv_top_dmi_reg_wdata[8]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745719 (
+	.A1(n_34924),
+	.A2(n_26933),
+	.B1(n_24029),
+	.B2(brqrv_top_dmi_reg_wdata[7]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745720 (
+	.A1(n_34923),
+	.A2(n_26933),
+	.B1(n_24029),
+	.B2(brqrv_top_dmi_reg_wdata[6]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745721 (
+	.A1(n_34922),
+	.A2(n_26933),
+	.B1(n_24029),
+	.B2(brqrv_top_dmi_reg_wdata[5]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745722 (
+	.A1(n_34921),
+	.A2(n_26933),
+	.B1(n_24029),
+	.B2(brqrv_top_dmi_reg_wdata[4]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745723 (
+	.A1(n_34920),
+	.A2(n_26933),
+	.B1(n_24029),
+	.B2(brqrv_top_dmi_reg_wdata[3]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745724 (
+	.A1(n_34919),
+	.A2(n_26933),
+	.B1(n_24029),
+	.B2(brqrv_top_dmi_reg_wdata[2]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745725 (
+	.A1(n_26933),
+	.A2(n_34918),
+	.B1(n_24029),
+	.B2(brqrv_top_dmi_reg_wdata[1]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745726 (
+	.A1(n_26933),
+	.A2(n_34917),
+	.B1(n_24029),
+	.B2(brqrv_top_dmi_reg_wdata[0]),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_din[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745727 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_m[31]),
+	.A2_N(n_26681),
+	.B1(n_27043),
+	.B2(n_26047),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[95]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745728 (
+	.A1(n_26048),
+	.A2(n_27044),
+	.B1(n_26681),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[30]),
+	.X(brqrv_top_brqrv_lsu_trigger_lsu_match_data[94]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745729 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_m[29]),
+	.A2_N(n_26681),
+	.B1(n_27043),
+	.B2(n_26051),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[93]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745730 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_m[28]),
+	.A2_N(n_26681),
+	.B1(n_27043),
+	.B2(n_26053),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[92]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745731 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_m[27]),
+	.A2_N(n_26681),
+	.B1(n_27043),
+	.B2(n_26058),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[91]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745732 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_m[26]),
+	.A2_N(n_26681),
+	.B1(n_27043),
+	.B2(n_26063),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[90]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745733 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_m[25]),
+	.A2_N(n_26681),
+	.B1(n_27043),
+	.B2(n_26027),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[89]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745734 (
+	.A1(n_26034),
+	.A2(n_27044),
+	.B1(n_26681),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[24]),
+	.X(brqrv_top_brqrv_lsu_trigger_lsu_match_data[88]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745735 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_m[23]),
+	.A2_N(n_26681),
+	.B1(n_27043),
+	.B2(n_26041),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[87]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745736 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_m[22]),
+	.A2_N(n_26681),
+	.B1(n_27043),
+	.B2(n_26046),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[86]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745737 (
+	.A1(n_26038),
+	.A2(n_27044),
+	.B1(n_26681),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[21]),
+	.X(brqrv_top_brqrv_lsu_trigger_lsu_match_data[85]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745738 (
+	.A1(n_26056),
+	.A2(n_27044),
+	.B1(n_26681),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[20]),
+	.X(brqrv_top_brqrv_lsu_trigger_lsu_match_data[84]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745739 (
+	.A1(n_26061),
+	.A2(n_27044),
+	.B1(n_26681),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[19]),
+	.X(brqrv_top_brqrv_lsu_trigger_lsu_match_data[83]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745740 (
+	.A1(n_26024),
+	.A2(n_27044),
+	.B1(n_26681),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[18]),
+	.X(brqrv_top_brqrv_lsu_trigger_lsu_match_data[82]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745741 (
+	.A1(n_26030),
+	.A2(n_27044),
+	.B1(n_26681),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[17]),
+	.X(brqrv_top_brqrv_lsu_trigger_lsu_match_data[81]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745742 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_m[16]),
+	.A2_N(n_26681),
+	.B1(n_27043),
+	.B2(n_26032),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[80]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745743 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_m[15]),
+	.A2_N(n_26681),
+	.B1(n_27057),
+	.B2(n_26042),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[79]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745744 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_m[14]),
+	.A2_N(n_26681),
+	.B1(n_27057),
+	.B2(n_26050),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[78]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745745 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_m[13]),
+	.A2_N(n_26681),
+	.B1(n_27057),
+	.B2(n_26052),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[77]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745746 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_m[12]),
+	.A2_N(n_26681),
+	.B1(n_27057),
+	.B2(n_26054),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[76]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g745747 (
+	.A1(n_24139),
+	.A2(brqrv_top_brqrv_ifu_aln_q0ptr),
+	.A3(n_26403),
+	.B1(n_30516),
+	.B2(n_26910),
+	.Y(n_27328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745748 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_m[31]),
+	.A2_N(n_26677),
+	.B1(n_27041),
+	.B2(n_26047),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[127]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745749 (
+	.A1(n_26048),
+	.A2(n_27042),
+	.B1(n_26677),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[30]),
+	.X(brqrv_top_brqrv_lsu_trigger_lsu_match_data[126]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745750 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_m[29]),
+	.A2_N(n_26677),
+	.B1(n_27041),
+	.B2(n_26051),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[125]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745751 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_m[28]),
+	.A2_N(n_26677),
+	.B1(n_27041),
+	.B2(n_26053),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[124]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745752 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_m[27]),
+	.A2_N(n_26677),
+	.B1(n_27041),
+	.B2(n_26058),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[123]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g745753 (
+	.A1(n_27037),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[31]),
+	.B1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.B2(brqrv_top_brqrv_lsu_error_pkt_r[33]),
+	.Y(n_27327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745754 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_m[25]),
+	.A2_N(n_26677),
+	.B1(n_27041),
+	.B2(n_26027),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[121]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745755 (
+	.A1(n_26034),
+	.A2(n_27042),
+	.B1(n_26677),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[24]),
+	.X(brqrv_top_brqrv_lsu_trigger_lsu_match_data[120]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745756 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_m[23]),
+	.A2_N(n_26677),
+	.B1(n_27041),
+	.B2(n_26041),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[119]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745757 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_m[22]),
+	.A2_N(n_26677),
+	.B1(n_27041),
+	.B2(n_26046),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[118]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745758 (
+	.A1(n_26038),
+	.A2(n_27042),
+	.B1(n_26677),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[21]),
+	.X(brqrv_top_brqrv_lsu_trigger_lsu_match_data[117]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745759 (
+	.A1(n_26056),
+	.A2(n_27042),
+	.B1(n_26677),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[20]),
+	.X(brqrv_top_brqrv_lsu_trigger_lsu_match_data[116]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745760 (
+	.A1(n_26061),
+	.A2(n_27042),
+	.B1(n_26677),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[19]),
+	.X(brqrv_top_brqrv_lsu_trigger_lsu_match_data[115]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745761 (
+	.A1(n_26024),
+	.A2(n_27042),
+	.B1(n_26677),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[18]),
+	.X(brqrv_top_brqrv_lsu_trigger_lsu_match_data[114]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745762 (
+	.A1(n_26030),
+	.A2(n_27042),
+	.B1(n_26677),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[17]),
+	.X(brqrv_top_brqrv_lsu_trigger_lsu_match_data[113]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745763 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_m[16]),
+	.A2_N(n_26677),
+	.B1(n_27041),
+	.B2(n_26032),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[112]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745764 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_m[15]),
+	.A2_N(n_26677),
+	.B1(n_27054),
+	.B2(n_26042),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[111]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745765 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_m[14]),
+	.A2_N(n_26677),
+	.B1(n_27054),
+	.B2(n_26050),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[110]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745766 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_m[13]),
+	.A2_N(n_26677),
+	.B1(n_27054),
+	.B2(n_26052),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[109]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745767 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_m[12]),
+	.A2_N(n_26677),
+	.B1(n_27054),
+	.B2(n_26054),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[108]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745768 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_m[31]),
+	.A2_N(n_26675),
+	.B1(n_27045),
+	.B2(n_26047),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[63]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745769 (
+	.A1(n_26048),
+	.A2(n_27046),
+	.B1(n_26675),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[30]),
+	.X(brqrv_top_brqrv_lsu_trigger_lsu_match_data[62]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745770 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_m[29]),
+	.A2_N(n_26675),
+	.B1(n_27045),
+	.B2(n_26051),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[61]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745771 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_m[28]),
+	.A2_N(n_26675),
+	.B1(n_27045),
+	.B2(n_26053),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[60]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745772 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_m[27]),
+	.A2_N(n_26675),
+	.B1(n_27045),
+	.B2(n_26058),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[59]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745773 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_m[26]),
+	.A2_N(n_26675),
+	.B1(n_27045),
+	.B2(n_26063),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[58]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745774 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_m[25]),
+	.A2_N(n_26675),
+	.B1(n_27045),
+	.B2(n_26027),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[57]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745775 (
+	.A1(n_26034),
+	.A2(n_27046),
+	.B1(n_26675),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[24]),
+	.X(brqrv_top_brqrv_lsu_trigger_lsu_match_data[56]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745776 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_m[23]),
+	.A2_N(n_26675),
+	.B1(n_27045),
+	.B2(n_26041),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[55]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745777 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_m[22]),
+	.A2_N(n_26675),
+	.B1(n_27045),
+	.B2(n_26046),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[54]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745778 (
+	.A1(n_26038),
+	.A2(n_27046),
+	.B1(n_26675),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[21]),
+	.X(brqrv_top_brqrv_lsu_trigger_lsu_match_data[53]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745779 (
+	.A1(n_26056),
+	.A2(n_27046),
+	.B1(n_26675),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[20]),
+	.X(brqrv_top_brqrv_lsu_trigger_lsu_match_data[52]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745780 (
+	.A1(n_26061),
+	.A2(n_27046),
+	.B1(n_26675),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[19]),
+	.X(brqrv_top_brqrv_lsu_trigger_lsu_match_data[51]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745781 (
+	.A1(n_26024),
+	.A2(n_27046),
+	.B1(n_26675),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[18]),
+	.X(brqrv_top_brqrv_lsu_trigger_lsu_match_data[50]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745782 (
+	.A1(n_26030),
+	.A2(n_27046),
+	.B1(n_26675),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[17]),
+	.X(brqrv_top_brqrv_lsu_trigger_lsu_match_data[49]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745783 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_m[16]),
+	.A2_N(n_26675),
+	.B1(n_27045),
+	.B2(n_26032),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[48]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745784 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_m[15]),
+	.A2_N(n_26675),
+	.B1(n_27056),
+	.B2(n_26042),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[47]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745785 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_m[14]),
+	.A2_N(n_26675),
+	.B1(n_27056),
+	.B2(n_26050),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[46]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745786 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_m[13]),
+	.A2_N(n_26675),
+	.B1(n_27056),
+	.B2(n_26052),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[45]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745787 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_m[12]),
+	.A2_N(n_26675),
+	.B1(n_27056),
+	.B2(n_26054),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[44]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745788 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_m[31]),
+	.A2_N(n_26679),
+	.B1(n_27039),
+	.B2(n_26047),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745789 (
+	.A1(n_26048),
+	.A2(n_27040),
+	.B1(n_26679),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[30]),
+	.X(brqrv_top_brqrv_lsu_trigger_lsu_match_data[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745790 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_m[29]),
+	.A2_N(n_26679),
+	.B1(n_27039),
+	.B2(n_26051),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745791 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_m[28]),
+	.A2_N(n_26679),
+	.B1(n_27039),
+	.B2(n_26053),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745792 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_m[27]),
+	.A2_N(n_26679),
+	.B1(n_27039),
+	.B2(n_26058),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745793 (
+	.A1(n_27058),
+	.A2(n_33259),
+	.B1(n_26716),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[7]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[103]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745794 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_m[26]),
+	.A2_N(n_26679),
+	.B1(n_27039),
+	.B2(n_26063),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745795 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_m[25]),
+	.A2_N(n_26679),
+	.B1(n_27039),
+	.B2(n_26027),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745796 (
+	.A1(n_26034),
+	.A2(n_27040),
+	.B1(n_26679),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[24]),
+	.X(brqrv_top_brqrv_lsu_trigger_lsu_match_data[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745797 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_m[23]),
+	.A2_N(n_26679),
+	.B1(n_27039),
+	.B2(n_26041),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745798 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_m[22]),
+	.A2_N(n_26679),
+	.B1(n_27039),
+	.B2(n_26046),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745799 (
+	.A1(n_26038),
+	.A2(n_27040),
+	.B1(n_26679),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[21]),
+	.X(brqrv_top_brqrv_lsu_trigger_lsu_match_data[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745800 (
+	.A1(n_26056),
+	.A2(n_27040),
+	.B1(n_26679),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[20]),
+	.X(brqrv_top_brqrv_lsu_trigger_lsu_match_data[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745801 (
+	.A1(n_26061),
+	.A2(n_27040),
+	.B1(n_26679),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[19]),
+	.X(brqrv_top_brqrv_lsu_trigger_lsu_match_data[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745802 (
+	.A1(n_27058),
+	.A2(n_33257),
+	.B1(n_26716),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[6]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[102]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745803 (
+	.A1(n_26024),
+	.A2(n_27040),
+	.B1(n_26679),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[18]),
+	.X(brqrv_top_brqrv_lsu_trigger_lsu_match_data[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745804 (
+	.A1(n_26030),
+	.A2(n_27040),
+	.B1(n_26679),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[17]),
+	.X(brqrv_top_brqrv_lsu_trigger_lsu_match_data[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745805 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_m[16]),
+	.A2_N(n_26679),
+	.B1(n_27039),
+	.B2(n_26032),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745806 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_m[15]),
+	.A2_N(n_26679),
+	.B1(n_27059),
+	.B2(n_26042),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745807 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_m[14]),
+	.A2_N(n_26679),
+	.B1(n_27059),
+	.B2(n_26050),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745808 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_m[13]),
+	.A2_N(n_26679),
+	.B1(n_27059),
+	.B2(n_26052),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745809 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_m[12]),
+	.A2_N(n_26679),
+	.B1(n_27059),
+	.B2(n_26054),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745810 (
+	.A1(n_27058),
+	.A2(n_33253),
+	.B1(n_26716),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[4]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[100]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745811 (
+	.A1(n_27058),
+	.A2(n_33255),
+	.B1(n_26716),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[5]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[101]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745812 (
+	.A1(n_27058),
+	.A2(n_33251),
+	.B1(n_26716),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[3]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[99]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745813 (
+	.A1(n_27058),
+	.A2(n_33247),
+	.B1(n_26716),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[1]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[97]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745814 (
+	.A1(n_27058),
+	.A2(n_33249),
+	.B1(n_26716),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[2]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[98]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745815 (
+	.A1(n_27058),
+	.A2(n_33245),
+	.B1(n_26716),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[0]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[96]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745816 (
+	.A1(n_27060),
+	.A2(n_33259),
+	.B1(n_26715),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[7]),
+	.X(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[7]_3361 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745817 (
+	.A1(n_27060),
+	.A2(n_33257),
+	.B1(n_26715),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[6]),
+	.X(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[6]_3360 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745818 (
+	.A1(n_27060),
+	.A2(n_33253),
+	.B1(n_26715),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[4]),
+	.X(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[4]_3358 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745819 (
+	.A1(n_27060),
+	.A2(n_33255),
+	.B1(n_26715),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[5]),
+	.X(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[5]_3359 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745820 (
+	.A1(n_27060),
+	.A2(n_33249),
+	.B1(n_26715),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[2]),
+	.X(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[2]_3356 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745821 (
+	.A1(n_27060),
+	.A2(n_33251),
+	.B1(n_26715),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[3]),
+	.X(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[3]_3357 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745822 (
+	.A1(n_27060),
+	.A2(n_33245),
+	.B1(n_26715),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[0]),
+	.X(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[0]_3354 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745823 (
+	.A1(n_27060),
+	.A2(n_33247),
+	.B1(n_26715),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[1]),
+	.X(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[1]_3355 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745824 (
+	.A1(n_27061),
+	.A2(n_33255),
+	.B1(n_26713),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[5]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745825 (
+	.A1(n_27061),
+	.A2(n_33257),
+	.B1(n_26713),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[6]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745826 (
+	.A1(n_27061),
+	.A2(n_33253),
+	.B1(n_26713),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[4]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745827 (
+	.A1(n_27061),
+	.A2(n_33251),
+	.B1(n_26713),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[3]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745828 (
+	.A1(n_27061),
+	.A2(n_33249),
+	.B1(n_26713),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[2]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745829 (
+	.A1(n_27061),
+	.A2(n_33247),
+	.B1(n_26713),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[1]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745830 (
+	.A1(n_27061),
+	.A2(n_33245),
+	.B1(n_26713),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[0]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745831 (
+	.A1(n_27061),
+	.A2(n_33259),
+	.B1(n_26713),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[7]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745832 (
+	.A1(n_27062),
+	.A2(n_33257),
+	.B1(n_26714),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[6]),
+	.X(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[6]_3276 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745833 (
+	.A1(n_27062),
+	.A2(n_33259),
+	.B1(n_26714),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[7]),
+	.X(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[7]_3277 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745834 (
+	.A1(n_27062),
+	.A2(n_33255),
+	.B1(n_26714),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[5]),
+	.X(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[5]_3275 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745835 (
+	.A1(n_27062),
+	.A2(n_33253),
+	.B1(n_26714),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[4]),
+	.X(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[4]_3274 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745836 (
+	.A1(n_27062),
+	.A2(n_33249),
+	.B1(n_26714),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[2]),
+	.X(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[2]_3272 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745837 (
+	.A1(n_27062),
+	.A2(n_33251),
+	.B1(n_26714),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[3]),
+	.X(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[3]_3273 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745838 (
+	.A1(n_27062),
+	.A2(n_33247),
+	.B1(n_26714),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[1]),
+	.X(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[1]_3271 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745839 (
+	.A1(n_27062),
+	.A2(n_33245),
+	.B1(n_26714),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[0]),
+	.X(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[0]_3270 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g745840 (
+	.A1(n_27057),
+	.A2(n_26059),
+	.B1(n_24377),
+	.B2(n_26680),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[75]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g745841 (
+	.A1(n_27057),
+	.A2(n_26023),
+	.B1(n_24144),
+	.B2(n_26680),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[74]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g745842 (
+	.A1(n_27057),
+	.A2(n_26029),
+	.B1(n_24374),
+	.B2(n_26680),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[73]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g745843 (
+	.A1(n_27057),
+	.A2(n_26036),
+	.B1(n_24152),
+	.B2(n_26680),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[72]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g745844 (
+	.A1(n_27054),
+	.A2(n_26059),
+	.B1(n_24377),
+	.B2(n_26676),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[107]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g745845 (
+	.A1(n_27054),
+	.A2(n_26023),
+	.B1(n_24144),
+	.B2(n_26676),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[106]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g745846 (
+	.A1(n_27054),
+	.A2(n_26029),
+	.B1(n_24374),
+	.B2(n_26676),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[105]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g745847 (
+	.A1(n_27054),
+	.A2(n_26036),
+	.B1(n_24152),
+	.B2(n_26676),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[104]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g745848 (
+	.A1(n_27056),
+	.A2(n_26059),
+	.B1(n_24377),
+	.B2(n_26674),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[43]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g745849 (
+	.A1(n_27056),
+	.A2(n_26023),
+	.B1(n_24144),
+	.B2(n_26674),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[42]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g745850 (
+	.A1(n_27056),
+	.A2(n_26029),
+	.B1(n_24374),
+	.B2(n_26674),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[41]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g745851 (
+	.A1(n_27056),
+	.A2(n_26036),
+	.B1(n_24152),
+	.B2(n_26674),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[40]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g745852 (
+	.A1(n_27059),
+	.A2(n_26059),
+	.B1(n_24377),
+	.B2(n_26678),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g745853 (
+	.A1(n_27059),
+	.A2(n_26023),
+	.B1(n_24144),
+	.B2(n_26678),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g745854 (
+	.A1(n_27059),
+	.A2(n_26029),
+	.B1(n_24374),
+	.B2(n_26678),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g745855 (
+	.A1(n_27059),
+	.A2(n_26036),
+	.B1(n_24152),
+	.B2(n_26678),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g745856 (
+	.A1(n_26911),
+	.A2(n_24289),
+	.B1(n_1295),
+	.B2(n_31511),
+	.Y(\brqrv_top_brqrv_dec_tlu_mcyclel_ns[5]_3321 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g745857 (
+	.A1(n_26912),
+	.A2(n_24289),
+	.B1(n_1702),
+	.B2(n_31511),
+	.Y(\brqrv_top_brqrv_dec_tlu_mcyclel_ns[3]_3319 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g745858 (
+	.A1(n_26913),
+	.A2(n_24052),
+	.B1(n_1295),
+	.B2(n_32511),
+	.Y(\brqrv_top_brqrv_dec_tlu_minstretl_ns[5]_3332 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g745859 (
+	.A1(n_26914),
+	.A2(n_24052),
+	.B1(n_1702),
+	.B2(n_32511),
+	.Y(\brqrv_top_brqrv_dec_tlu_minstretl_ns[3]_3330 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745860 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_n_3477),
+	.A2(n_26140),
+	.B1(n_31598),
+	.B2(n_26811),
+	.C1(n_25244),
+	.Y(n_27326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g745861 (
+	.A(n_25717),
+	.B(n_25815),
+	.C(n_25816),
+	.D(n_26932),
+	.Y(n_27325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g745862 (
+	.A(n_27317),
+	.Y(n_27318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g745863 (
+	.A(n_27314),
+	.Y(n_27315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g745864 (
+	.A(n_27311),
+	.Y(n_27312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g745865 (
+	.A(n_27308),
+	.Y(n_27307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g745866 (
+	.A(n_27306),
+	.Y(n_27305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g745867 (
+	.A(n_27304),
+	.Y(n_27303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g745868 (
+	.A(n_27297),
+	.Y(n_27296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g745869 (
+	.A(n_27294),
+	.Y(n_27293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g745872 (
+	.A(n_27288),
+	.Y(n_27287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g745873 (
+	.A(n_24034),
+	.Y(n_27286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g745874 (
+	.A(n_27037),
+	.B(brqrv_top_brqrv_dec_tlu_pc_r[8]),
+	.Y(n_27284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g745875 (
+	.A(n_31478),
+	.B(n_27066),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_wr_mitb0_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g745876 (
+	.A(n_33074),
+	.B(n_27036),
+	.Y(n_27283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g745877 (
+	.A(n_27037),
+	.B(brqrv_top_brqrv_dec_tlu_pc_r[16]),
+	.Y(n_27282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g745879 (
+	.A(n_33072),
+	.B(n_27036),
+	.Y(n_27280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g745880 (
+	.A1(n_26010),
+	.A2(n_26607),
+	.B1(n_34089),
+	.Y(n_27279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g745881 (
+	.A(n_27037),
+	.B(brqrv_top_brqrv_dec_tlu_pc_r[4]),
+	.Y(n_27278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g745882 (
+	.A(n_27037),
+	.B(brqrv_top_brqrv_dec_tlu_pc_r[21]),
+	.Y(n_27277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g745883 (
+	.A(n_27037),
+	.B(brqrv_top_brqrv_dec_tlu_pc_r[13]),
+	.Y(n_27276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g745884 (
+	.A(n_27037),
+	.B(brqrv_top_brqrv_dec_tlu_pc_r[11]),
+	.Y(n_27275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g745885 (
+	.A(n_27037),
+	.B(brqrv_top_brqrv_dec_tlu_pc_r[5]),
+	.Y(n_27274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g745886 (
+	.A(n_27037),
+	.B(brqrv_top_brqrv_dec_tlu_pc_r[1]),
+	.Y(n_27273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g745887 (
+	.A(n_27038),
+	.B(n_32753),
+	.Y(brqrv_top_brqrv_dec_tlu_n_3395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g745888 (
+	.A(brqrv_top_brqrv_dbg_data1_reg[13]),
+	.B(n_27034),
+	.Y(n_27272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g745889 (
+	.A(brqrv_top_brqrv_dbg_data1_reg[8]),
+	.B(n_27034),
+	.Y(n_27271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g745890 (
+	.A(brqrv_top_brqrv_dbg_cmd_wrdata[7]),
+	.B(n_27035),
+	.Y(n_27270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g745891 (
+	.A(brqrv_top_brqrv_dbg_cmd_wrdata[2]),
+	.B(n_27035),
+	.Y(n_27269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g745892 (
+	.A(brqrv_top_brqrv_dbg_cmd_wrdata[1]),
+	.B(n_27035),
+	.Y(n_27268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g745893 (
+	.A1_N(n_26693),
+	.A2_N(brqrv_top_brqrv_lsu_end_addr_m[3]),
+	.B1(n_26693),
+	.B2(brqrv_top_brqrv_lsu_end_addr_m[3]),
+	.Y(n_27267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g745894 (
+	.A(n_27071),
+	.B_N(n_30530),
+	.Y(n_27266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g745895 (
+	.A1_N(brqrv_top_brqrv_lsu_end_addr_m[2]),
+	.A2_N(n_26695),
+	.B1(brqrv_top_brqrv_lsu_end_addr_m[5]),
+	.B2(n_26712),
+	.Y(n_27265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g745896 (
+	.A(n_27037),
+	.B(brqrv_top_brqrv_dec_tlu_pc_r[15]),
+	.Y(n_27264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745897 (
+	.A1_N(brqrv_top_brqrv_lsu_end_addr_m[7]),
+	.A2_N(n_26705),
+	.B1(brqrv_top_brqrv_lsu_end_addr_m[7]),
+	.B2(n_26705),
+	.Y(n_27263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745898 (
+	.A1(n_26703),
+	.A2(brqrv_top_brqrv_lsu_end_addr_m[8]),
+	.B1(n_26701),
+	.B2(brqrv_top_brqrv_lsu_end_addr_m[9]),
+	.X(n_27262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745899 (
+	.A1_N(brqrv_top_brqrv_lsu_end_addr_m[6]),
+	.A2_N(n_26699),
+	.B1(brqrv_top_brqrv_lsu_end_addr_m[8]),
+	.B2(n_26703),
+	.Y(n_27261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g745900 (
+	.A(n_27037),
+	.B(brqrv_top_brqrv_dec_tlu_pc_r[10]),
+	.Y(n_27260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g745901 (
+	.A1(n_26565),
+	.A2(brqrv_top_brqrv_exu_ghr_d[3]),
+	.B1(n_26568),
+	.B2(brqrv_top_brqrv_exu_ghr_d[6]),
+	.Y(n_27259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g745902 (
+	.A(n_27048),
+	.B(brqrv_top_brqrv_dec_tlu_dcsr[8]),
+	.Y(n_27258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g745903 (
+	.A(n_27048),
+	.B(brqrv_top_brqrv_dec_tlu_dcsr[6]),
+	.Y(n_27257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g745904 (
+	.A(n_27037),
+	.B(brqrv_top_brqrv_dec_tlu_pc_r[19]),
+	.Y(n_27256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g745905 (
+	.A1(brqrv_top_brqrv_lsu_end_addr_m[6]),
+	.A2(n_26699),
+	.B1(brqrv_top_brqrv_lsu_end_addr_m[9]),
+	.B2(n_26701),
+	.Y(n_27255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g745906 (
+	.A_N(n_27071),
+	.B(brqrv_top_brqrv_dec_dec_csr_wen_unq_d),
+	.Y(n_27254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g745907 (
+	.A1_N(brqrv_top_brqrv_lsu_end_addr_m[10]),
+	.A2_N(n_26697),
+	.B1(brqrv_top_brqrv_lsu_end_addr_m[11]),
+	.B2(n_26707),
+	.Y(n_27253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g745908 (
+	.A1(n_34074),
+	.A2(n_26010),
+	.A3(n_26386),
+	.B1(brqrv_top_brqrv_exu_i_alu_pcout[13]),
+	.B2(n_467),
+	.Y(n_27252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g745909 (
+	.A1(n_34066),
+	.A2(n_26253),
+	.A3(n_26010),
+	.B1(n_32509),
+	.B2(n_467),
+	.Y(n_27251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g745910 (
+	.A1(n_26557),
+	.A2(n_26693),
+	.B1(brqrv_top_dccm_rd_addr_lo[3]),
+	.B2(n_26692),
+	.Y(n_27250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g745911 (
+	.A1(n_26790),
+	.A2(n_26608),
+	.B1(brqrv_top_brqrv_dec_tlu_pic_clk_override),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_pic_raddr_c1_clken), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g745912 (
+	.A(n_26970),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_wr_en),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_timer_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g745913 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_m[3]),
+	.A2_N(n_26693),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_m[3]),
+	.B2(n_26693),
+	.Y(n_27249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g745914 (
+	.A(n_26901),
+	.B(brqrv_top_brqrv_ifu_aln_qwen[2]),
+	.Y(brqrv_top_brqrv_ifu_aln_q2off_in), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32o_1 g745916 (
+	.A1(n_26317),
+	.A2(brqrv_top_brqrv_dma_mem_tag[0]),
+	.A3(brqrv_top_brqrv_dma_mem_tag[1]),
+	.B1(n_26265),
+	.B2(brqrv_top_brqrv_dma_mem_tag[2]),
+	.X(brqrv_top_brqrv_dma_ctrl_RdPtr_dff_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g745917 (
+	.A1(n_1293),
+	.A2(n_31183),
+	.B1(n_39135),
+	.B2(n_31185),
+	.C1(n_26971),
+	.Y(n_27247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g745918 (
+	.A1(n_1293),
+	.A2(n_31191),
+	.B1(n_39135),
+	.B2(n_31194),
+	.C1(n_26972),
+	.Y(n_27246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745919 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[4]),
+	.A2(n_26409),
+	.B1(n_24136),
+	.B2(n_25897),
+	.C1(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[3]),
+	.Y(n_27245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g745920 (
+	.A1(n_1293),
+	.A2(n_31209),
+	.B1(n_39135),
+	.B2(n_32395),
+	.C1(n_26973),
+	.Y(n_27244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745921 (
+	.A1(n_26022),
+	.A2(n_32449),
+	.B1(n_26420),
+	.B2(n_32450),
+	.C1(n_24511),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen_in[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745922 (
+	.A1(n_31454),
+	.A2(n_32449),
+	.B1(n_26418),
+	.B2(n_32450),
+	.C1(n_24518),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen_in[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745923 (
+	.A1(n_26247),
+	.A2(n_32449),
+	.B1(n_26413),
+	.B2(n_32450),
+	.C1(n_24277),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g745924 (
+	.A(n_26927),
+	.B(n_26431),
+	.Y(n_27243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g745926 (
+	.A1(brqrv_top_brqrv_picm_rdaddr[0]),
+	.A2(n_26587),
+	.B1(brqrv_top_brqrv_lsu_lsu_pkt_d[6]),
+	.X(n_27242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745927 (
+	.A1(n_26022),
+	.A2(n_31299),
+	.B1(n_26420),
+	.B2(n_31298),
+	.C1(n_24524),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen_in[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g745928 (
+	.A1(n_24517),
+	.A2(n_32288),
+	.B1(n_26135),
+	.C1(n_25274),
+	.D1(n_26641),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [32]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745929 (
+	.A1(n_31454),
+	.A2(n_31299),
+	.B1(n_26418),
+	.B2(n_31298),
+	.C1(n_24287),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen_in[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g745930 (
+	.A1(n_26825),
+	.A2(brqrv_top_brqrv_dbg_dmstatus_halted),
+	.B1_N(n_26138),
+	.Y(n_27241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g745931 (
+	.A1(n_26068),
+	.A2(n_26374),
+	.B1(n_25661),
+	.C1(n_26801),
+	.Y(n_27240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745932 (
+	.A1(n_26247),
+	.A2(n_31299),
+	.B1(n_26413),
+	.B2(n_31298),
+	.C1(n_24286),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen_in[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g745933 (
+	.A1(n_31604),
+	.A2(n_25435),
+	.B1(n_27076),
+	.Y(n_27239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g745934 (
+	.A(n_26965),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_miss_state[1]),
+	.Y(n_27238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745936 (
+	.A1_N(n_26664),
+	.A2_N(n_32511),
+	.B1(n_1705),
+	.B2(n_32511),
+	.Y(\brqrv_top_brqrv_dec_tlu_minstretl_ns[6]_3333 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g745937 (
+	.A1(brqrv_top_brqrv_ifu_miss_state_idle),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_reset_ic_ff),
+	.B1(n_26532),
+	.C1(n_25574),
+	.X(brqrv_top_brqrv_ifu_mem_ctl_misc1_ff_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g745938 (
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[28]),
+	.A2(n_26195),
+	.B1(n_26451),
+	.C1(n_26520),
+	.Y(n_27237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g745939 (
+	.A1(n_23065),
+	.A2(n_26283),
+	.B1(brqrv_top_brqrv_i0_ap[7]),
+	.C1(n_39224),
+	.Y(n_27236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g745940 (
+	.A1(n_11336),
+	.A2(n_26794),
+	.B1(n_25461),
+	.Y(brqrv_top_n_992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g745941 (
+	.A1(n_11336),
+	.A2(n_26793),
+	.B1(n_25489),
+	.Y(brqrv_top_n_990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g745942 (
+	.A1(n_11336),
+	.A2(n_26792),
+	.B1(n_25523),
+	.Y(brqrv_top_n_989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745943 (
+	.A1_N(n_26668),
+	.A2_N(n_31511),
+	.B1(n_1705),
+	.B2(n_31511),
+	.Y(\brqrv_top_brqrv_dec_tlu_mcyclel_ns[6]_3322 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g745944 (
+	.A1(n_1701),
+	.A2(n_26688),
+	.B1(n_24370),
+	.B2(brqrv_top_brqrv_dec_tlu_wr_mcgc_r),
+	.Y(brqrv_top_brqrv_dec_tlu_mcgc_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g745945 (
+	.A1(n_26819),
+	.A2(n_25353),
+	.B1(n_26010),
+	.X(n_27235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g745946 (
+	.A1(n_1704),
+	.A2(n_26688),
+	.B1(n_24378),
+	.B2(brqrv_top_brqrv_dec_tlu_wr_mcgc_r),
+	.Y(brqrv_top_brqrv_dec_tlu_mcgc_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g745947 (
+	.A1(n_24367),
+	.A2(n_26828),
+	.B1(n_26009),
+	.Y(n_27234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g745948 (
+	.A1(n_26802),
+	.A2(n_25353),
+	.B1(n_26010),
+	.X(n_27233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g745949 (
+	.A1(n_26634),
+	.A2(n_26796),
+	.B1(n_32776),
+	.Y(n_27232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745950 (
+	.A1(n_26518),
+	.A2(n_23065),
+	.B1(n_39170),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [0]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_in [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g745951 (
+	.A1(n_26821),
+	.A2(n_25353),
+	.B1(n_26010),
+	.X(n_27231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g745952 (
+	.A1(n_26804),
+	.A2(n_25353),
+	.B1(n_26010),
+	.X(n_27230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g745953 (
+	.A1(n_24220),
+	.A2(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_n_23),
+	.B1(n_27008),
+	.Y(n_27229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g745954 (
+	.A1(n_26013),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_error[5]),
+	.B1(n_26015),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_error[7]),
+	.C1(n_26900),
+	.Y(n_27228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g745955 (
+	.A(n_27005),
+	.B(n_26324),
+	.C(n_25690),
+	.D(n_25695),
+	.Y(n_27227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g745956 (
+	.A(n_26496),
+	.B(n_26497),
+	.C(n_26553),
+	.Y(n_27226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g745957 (
+	.A(n_27047),
+	.B(brqrv_top_brqrv_dec_tlu_internal_dbg_halt_mode),
+	.C(n_26404),
+	.D(brqrv_top_brqrv_dec_tlu_take_nmi),
+	.X(brqrv_top_brqrv_dec_tlu_n_3388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g745958 (
+	.A(brqrv_top_brqrv_dma_ctrl_wrbuf_vld),
+	.B(n_30441),
+	.C(n_26621),
+	.X(n_27225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745960 (
+	.A1(brqrv_top_brqrv_dec_tlu_wr_micect_r),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[0]),
+	.B1(n_26556),
+	.B2(brqrv_top_brqrv_dec_tlu_micect[0]),
+	.X(brqrv_top_brqrv_dec_tlu_micect_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g745961 (
+	.A(n_25442),
+	.B(brqrv_top_brqrv_dec_decode_n_1216),
+	.C(n_26628),
+	.X(brqrv_top_brqrv_dec_decode_d_d[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g745962 (
+	.A1(brqrv_top_brqrv_dec_tlu_pc_r_d1[22]),
+	.A2(n_24192),
+	.B1(n_25596),
+	.C1(n_26238),
+	.D1(n_26322),
+	.Y(n_27223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g745963 (
+	.A(n_26823),
+	.B(n_26378),
+	.C(n_26102),
+	.Y(n_27222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g745964 (
+	.A1(n_26562),
+	.A2(n_24450),
+	.A3(brqrv_top_brqrv_trigger_pkt_any[110]),
+	.B1(n_26784),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[111]),
+	.Y(brqrv_top_brqrv_lsu_trigger_n_626_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g745965 (
+	.A1(n_26562),
+	.A2(n_24225),
+	.A3(brqrv_top_brqrv_trigger_pkt_any[72]),
+	.B1(n_26784),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[73]),
+	.Y(brqrv_top_brqrv_lsu_trigger_n_549_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g745966 (
+	.A1(n_26562),
+	.A2(n_24448),
+	.A3(brqrv_top_brqrv_trigger_pkt_any[148]),
+	.B1(n_26784),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[149]),
+	.Y(brqrv_top_brqrv_lsu_trigger_n_703_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g745967 (
+	.A1(n_26562),
+	.A2(n_24222),
+	.A3(brqrv_top_brqrv_trigger_pkt_any[34]),
+	.B1(n_26784),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[35]),
+	.Y(brqrv_top_brqrv_lsu_trigger_n_472_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32o_1 g745968 (
+	.A1(n_26117),
+	.A2(n_24205),
+	.A3(brqrv_top_brqrv_dma_ctrl_dma_nack_count[0]),
+	.B1(n_26820),
+	.B2(brqrv_top_brqrv_dma_ctrl_dma_nack_count[1]),
+	.X(brqrv_top_brqrv_dma_ctrl_nack_count_dff_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745969 (
+	.A1(n_24126),
+	.A2(n_31299),
+	.B1(n_26419),
+	.B2(n_31298),
+	.C1(n_31241),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen_in[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745970 (
+	.A1(n_24126),
+	.A2(n_32449),
+	.B1(n_26419),
+	.B2(n_32450),
+	.C1(n_31242),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen_in[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g745971 (
+	.A1(n_24413),
+	.A2(n_25389),
+	.B1(n_26022),
+	.B2(n_24019),
+	.C1(n_25163),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteenff_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g745972 (
+	.A1(n_26100),
+	.A2(brqrv_top_brqrv_ifu_aln_q1off),
+	.B1(n_26389),
+	.B2(n_5301),
+	.C1(n_25292),
+	.Y(n_27221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g745973 (
+	.A1(n_26100),
+	.A2(brqrv_top_brqrv_ifu_aln_q0off),
+	.B1(n_26389),
+	.B2(n_6034),
+	.C1(n_25295),
+	.Y(n_27220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745974 (
+	.A1(brqrv_top_brqrv_dec_tlu_wr_micect_r),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[1]),
+	.B1(n_26556),
+	.B2(brqrv_top_brqrv_dec_tlu_micect[1]),
+	.X(brqrv_top_brqrv_dec_tlu_micect_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g745975 (
+	.A1(n_26574),
+	.A2(n_25353),
+	.B1(n_26010),
+	.X(n_27219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745976 (
+	.A1(brqrv_top_brqrv_dec_tlu_wr_micect_r),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[2]),
+	.B1(n_26556),
+	.B2(brqrv_top_brqrv_dec_tlu_micect[2]),
+	.X(brqrv_top_brqrv_dec_tlu_micect_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745977 (
+	.A1(brqrv_top_brqrv_dec_tlu_wr_micect_r),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[3]),
+	.B1(n_26556),
+	.B2(brqrv_top_brqrv_dec_tlu_micect[3]),
+	.X(brqrv_top_brqrv_dec_tlu_micect_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745978 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mcountinhibit[6]),
+	.A2_N(n_26708),
+	.B1(n_1705),
+	.B2(n_26708),
+	.Y(brqrv_top_brqrv_dec_tlu_mcountinhibit_ff_n_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745979 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mcountinhibit[5]),
+	.A2_N(n_26708),
+	.B1(n_1295),
+	.B2(n_26708),
+	.Y(brqrv_top_brqrv_dec_tlu_mcountinhibit_ff_n_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745980 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mcountinhibit[4]),
+	.A2_N(n_26708),
+	.B1(n_1701),
+	.B2(n_26708),
+	.Y(brqrv_top_brqrv_dec_tlu_mcountinhibit_ff_n_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745981 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mcountinhibit[3]),
+	.A2_N(n_26708),
+	.B1(n_1702),
+	.B2(n_26708),
+	.Y(brqrv_top_brqrv_dec_tlu_mcountinhibit_ff_n_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745982 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mcountinhibit[2]),
+	.A2_N(n_26708),
+	.B1(n_1703),
+	.B2(n_26708),
+	.Y(brqrv_top_brqrv_dec_tlu_mcountinhibit_ff_n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g745983 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mcountinhibit[0]),
+	.A2_N(n_26708),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_298),
+	.B2(n_26708),
+	.Y(brqrv_top_brqrv_dec_tlu_mcountinhibit_ff_n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745984 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[24]),
+	.A2(n_26683),
+	.B1(n_24027),
+	.B2(brqrv_top_brqrv_dec_tlu_n_5613),
+	.C1(n_26006),
+	.C2(brqrv_top_brqrv_dec_dec_illegal_inst[24]),
+	.Y(n_27218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745985 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[17]),
+	.A2(n_26683),
+	.B1(n_24027),
+	.B2(brqrv_top_brqrv_dec_tlu_n_5606),
+	.C1(n_26006),
+	.C2(brqrv_top_brqrv_dec_dec_illegal_inst[17]),
+	.Y(n_27217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745986 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[13]),
+	.A2(n_26683),
+	.B1(n_24027),
+	.B2(brqrv_top_brqrv_dec_tlu_n_5602),
+	.C1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.C2(brqrv_top_brqrv_lsu_error_pkt_r[15]),
+	.Y(n_27216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745987 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[23]),
+	.A2(n_26683),
+	.B1(n_24027),
+	.B2(brqrv_top_brqrv_dec_tlu_n_5612),
+	.C1(n_26006),
+	.C2(brqrv_top_brqrv_dec_dec_illegal_inst[23]),
+	.Y(n_27215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745988 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[22]),
+	.A2(n_26683),
+	.B1(n_24027),
+	.B2(brqrv_top_brqrv_dec_tlu_n_5611),
+	.C1(n_26006),
+	.C2(brqrv_top_brqrv_dec_dec_illegal_inst[22]),
+	.Y(n_27214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745989 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[9]),
+	.A2(n_26683),
+	.B1(n_24027),
+	.B2(brqrv_top_brqrv_dec_tlu_n_5598),
+	.C1(n_26006),
+	.C2(brqrv_top_brqrv_dec_dec_illegal_inst[9]),
+	.Y(n_27213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g745990 (
+	.A1(n_1303),
+	.A2(n_26688),
+	.B1(n_24422),
+	.B2(brqrv_top_brqrv_dec_tlu_wr_mcgc_r),
+	.Y(brqrv_top_brqrv_dec_tlu_mcgc_ns[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745991 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[31]),
+	.A2(n_26683),
+	.B1(n_24027),
+	.B2(brqrv_top_brqrv_dec_tlu_n_5620),
+	.C1(n_26006),
+	.C2(brqrv_top_brqrv_dec_dec_illegal_inst[31]),
+	.Y(n_27212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745992 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[4]),
+	.A2(n_26683),
+	.B1(n_24027),
+	.B2(brqrv_top_brqrv_dec_tlu_n_5593),
+	.C1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.C2(brqrv_top_brqrv_lsu_error_pkt_r[6]),
+	.Y(n_27211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g745993 (
+	.A1(n_1295),
+	.A2(n_26688),
+	.B1(n_27001),
+	.Y(brqrv_top_brqrv_dec_tlu_mcgc_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g745994 (
+	.A1(brqrv_top_brqrv_dec_tlu_wr_micect_r),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[4]),
+	.B1(n_26556),
+	.B2(brqrv_top_brqrv_dec_tlu_micect[4]),
+	.X(brqrv_top_brqrv_dec_tlu_micect_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g745995 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[20]),
+	.A2(n_26683),
+	.B1(n_24027),
+	.B2(brqrv_top_brqrv_dec_tlu_n_5609),
+	.C1(n_26006),
+	.C2(brqrv_top_brqrv_dec_dec_illegal_inst[20]),
+	.Y(n_27210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g745996 (
+	.A1(n_1705),
+	.A2(n_26688),
+	.B1(n_27002),
+	.Y(brqrv_top_brqrv_dec_tlu_mcgc_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g745997 (
+	.A1(n_1702),
+	.A2(n_26688),
+	.B1(n_27000),
+	.Y(brqrv_top_brqrv_dec_tlu_mcgc_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g745998 (
+	.A1(n_1703),
+	.A2(n_26688),
+	.B1(n_26999),
+	.Y(brqrv_top_brqrv_dec_tlu_mcgc_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g745999 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_n_298),
+	.A2(n_26688),
+	.B1(n_26998),
+	.Y(brqrv_top_brqrv_dec_tlu_mcgc_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746000 (
+	.A1(brqrv_top_brqrv_dbg_command_wren),
+	.A2(brqrv_top_dmi_reg_wdata[15]),
+	.B1(n_34876),
+	.B2(n_26671),
+	.X(brqrv_top_brqrv_dbg_command_din[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746001 (
+	.A1(brqrv_top_brqrv_dbg_command_wren),
+	.A2(brqrv_top_dmi_reg_wdata[14]),
+	.B1(n_34875),
+	.B2(n_26671),
+	.X(brqrv_top_brqrv_dbg_command_din[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746002 (
+	.A1(brqrv_top_brqrv_dbg_command_wren),
+	.A2(brqrv_top_dmi_reg_wdata[13]),
+	.B1(n_34874),
+	.B2(n_26671),
+	.X(brqrv_top_brqrv_dbg_command_din[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746003 (
+	.A1(brqrv_top_brqrv_dbg_command_wren),
+	.A2(brqrv_top_dmi_reg_wdata[12]),
+	.B1(n_34873),
+	.B2(n_26671),
+	.X(brqrv_top_brqrv_dbg_command_din[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746004 (
+	.A1(brqrv_top_brqrv_dbg_command_wren),
+	.A2(brqrv_top_dmi_reg_wdata[11]),
+	.B1(n_34872),
+	.B2(n_26671),
+	.X(brqrv_top_brqrv_dbg_command_din[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746005 (
+	.A1(brqrv_top_brqrv_dbg_command_wren),
+	.A2(brqrv_top_dmi_reg_wdata[10]),
+	.B1(n_34871),
+	.B2(n_26671),
+	.X(brqrv_top_brqrv_dbg_command_din[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746006 (
+	.A1(brqrv_top_brqrv_dbg_command_wren),
+	.A2(brqrv_top_dmi_reg_wdata[9]),
+	.B1(n_34870),
+	.B2(n_26671),
+	.X(brqrv_top_brqrv_dbg_command_din[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746007 (
+	.A1(brqrv_top_brqrv_dbg_command_wren),
+	.A2(brqrv_top_dmi_reg_wdata[8]),
+	.B1(n_34869),
+	.B2(n_26671),
+	.X(brqrv_top_brqrv_dbg_command_din[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746008 (
+	.A1(brqrv_top_brqrv_dbg_command_wren),
+	.A2(brqrv_top_dmi_reg_wdata[7]),
+	.B1(n_34868),
+	.B2(n_26671),
+	.X(brqrv_top_brqrv_dbg_command_din[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746009 (
+	.A1(brqrv_top_brqrv_dbg_command_wren),
+	.A2(brqrv_top_dmi_reg_wdata[6]),
+	.B1(n_34867),
+	.B2(n_26671),
+	.X(brqrv_top_brqrv_dbg_command_din[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746010 (
+	.A1(brqrv_top_brqrv_dbg_command_wren),
+	.A2(brqrv_top_dmi_reg_wdata[5]),
+	.B1(n_34866),
+	.B2(n_26671),
+	.X(brqrv_top_brqrv_dbg_command_din[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746011 (
+	.A1(brqrv_top_brqrv_dbg_command_wren),
+	.A2(brqrv_top_dmi_reg_wdata[4]),
+	.B1(n_34865),
+	.B2(n_26671),
+	.X(brqrv_top_brqrv_dbg_command_din[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746012 (
+	.A1(brqrv_top_brqrv_dbg_command_wren),
+	.A2(brqrv_top_dmi_reg_wdata[3]),
+	.B1(n_34864),
+	.B2(n_26671),
+	.X(brqrv_top_brqrv_dbg_command_din[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746013 (
+	.A1(brqrv_top_brqrv_dbg_command_wren),
+	.A2(brqrv_top_dmi_reg_wdata[2]),
+	.B1(n_34863),
+	.B2(n_26671),
+	.X(brqrv_top_brqrv_dbg_command_din[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746014 (
+	.A1(brqrv_top_brqrv_dbg_command_wren),
+	.A2(brqrv_top_dmi_reg_wdata[1]),
+	.B1(n_34862),
+	.B2(n_26671),
+	.X(brqrv_top_brqrv_dbg_command_din[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746015 (
+	.A1(brqrv_top_brqrv_dbg_command_wren),
+	.A2(brqrv_top_dmi_reg_wdata[0]),
+	.B1(n_34861),
+	.B2(n_26671),
+	.X(brqrv_top_brqrv_dbg_command_din[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746016 (
+	.A1(n_1304),
+	.A2(n_26688),
+	.B1(n_24141),
+	.B2(brqrv_top_brqrv_dec_tlu_wr_mcgc_r),
+	.Y(brqrv_top_brqrv_dec_tlu_mcgc_ns[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746017 (
+	.A1(brqrv_top_brqrv_dec_tlu_wr_micect_r),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[31]),
+	.B1(n_26556),
+	.B2(brqrv_top_brqrv_dec_tlu_micect[31]),
+	.X(brqrv_top_brqrv_dec_tlu_micect_ns[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746018 (
+	.A1(brqrv_top_brqrv_dec_tlu_wr_micect_r),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[30]),
+	.B1(n_26556),
+	.B2(brqrv_top_brqrv_dec_tlu_micect[30]),
+	.X(brqrv_top_brqrv_dec_tlu_micect_ns[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746019 (
+	.A1(brqrv_top_brqrv_dec_tlu_wr_micect_r),
+	.A2(n_26384),
+	.B1(n_26556),
+	.B2(brqrv_top_brqrv_dec_tlu_micect[28]),
+	.X(brqrv_top_brqrv_dec_tlu_micect_ns[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746020 (
+	.A1(brqrv_top_brqrv_dec_tlu_wr_micect_r),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[26]),
+	.B1(n_26556),
+	.B2(brqrv_top_brqrv_dec_tlu_micect[26]),
+	.X(brqrv_top_brqrv_dec_tlu_micect_ns[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746021 (
+	.A1(brqrv_top_brqrv_dec_tlu_wr_micect_r),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[25]),
+	.B1(n_26556),
+	.B2(brqrv_top_brqrv_dec_tlu_micect[25]),
+	.X(brqrv_top_brqrv_dec_tlu_micect_ns[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746022 (
+	.A1(brqrv_top_brqrv_dec_tlu_wr_micect_r),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[24]),
+	.B1(n_26556),
+	.B2(brqrv_top_brqrv_dec_tlu_micect[24]),
+	.X(brqrv_top_brqrv_dec_tlu_micect_ns[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746023 (
+	.A1(brqrv_top_brqrv_dec_tlu_wr_micect_r),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[23]),
+	.B1(n_26556),
+	.B2(brqrv_top_brqrv_dec_tlu_micect[23]),
+	.X(brqrv_top_brqrv_dec_tlu_micect_ns[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746024 (
+	.A1(brqrv_top_brqrv_dec_tlu_wr_micect_r),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[22]),
+	.B1(n_26556),
+	.B2(brqrv_top_brqrv_dec_tlu_micect[22]),
+	.X(brqrv_top_brqrv_dec_tlu_micect_ns[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746025 (
+	.A1(brqrv_top_brqrv_dec_tlu_wr_micect_r),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[21]),
+	.B1(n_26556),
+	.B2(brqrv_top_brqrv_dec_tlu_micect[21]),
+	.X(brqrv_top_brqrv_dec_tlu_micect_ns[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746026 (
+	.A1(brqrv_top_brqrv_dec_tlu_wr_micect_r),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[20]),
+	.B1(n_26556),
+	.B2(brqrv_top_brqrv_dec_tlu_micect[20]),
+	.X(brqrv_top_brqrv_dec_tlu_micect_ns[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746027 (
+	.A1(brqrv_top_brqrv_dec_tlu_wr_micect_r),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[19]),
+	.B1(n_26556),
+	.B2(brqrv_top_brqrv_dec_tlu_micect[19]),
+	.X(brqrv_top_brqrv_dec_tlu_micect_ns[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746028 (
+	.A1(brqrv_top_brqrv_dec_tlu_wr_micect_r),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[18]),
+	.B1(n_26556),
+	.B2(brqrv_top_brqrv_dec_tlu_micect[18]),
+	.X(brqrv_top_brqrv_dec_tlu_micect_ns[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746029 (
+	.A1(brqrv_top_brqrv_dec_tlu_wr_micect_r),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[17]),
+	.B1(n_26556),
+	.B2(brqrv_top_brqrv_dec_tlu_micect[17]),
+	.X(brqrv_top_brqrv_dec_tlu_micect_ns[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746030 (
+	.A1(brqrv_top_brqrv_dec_tlu_wr_micect_r),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[16]),
+	.B1(n_26556),
+	.B2(brqrv_top_brqrv_dec_tlu_micect[16]),
+	.X(brqrv_top_brqrv_dec_tlu_micect_ns[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746031 (
+	.A1(brqrv_top_brqrv_dec_tlu_wr_micect_r),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[15]),
+	.B1(n_26556),
+	.B2(brqrv_top_brqrv_dec_tlu_micect[15]),
+	.X(brqrv_top_brqrv_dec_tlu_micect_ns[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746032 (
+	.A1(brqrv_top_brqrv_dec_tlu_wr_micect_r),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[14]),
+	.B1(n_26556),
+	.B2(brqrv_top_brqrv_dec_tlu_micect[14]),
+	.X(brqrv_top_brqrv_dec_tlu_micect_ns[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746033 (
+	.A1(brqrv_top_brqrv_dec_tlu_wr_micect_r),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[13]),
+	.B1(n_26556),
+	.B2(brqrv_top_brqrv_dec_tlu_micect[13]),
+	.X(brqrv_top_brqrv_dec_tlu_micect_ns[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746034 (
+	.A1(brqrv_top_brqrv_dec_tlu_wr_micect_r),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[12]),
+	.B1(n_26556),
+	.B2(brqrv_top_brqrv_dec_tlu_micect[12]),
+	.X(brqrv_top_brqrv_dec_tlu_micect_ns[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746035 (
+	.A1(brqrv_top_brqrv_dec_tlu_wr_micect_r),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[11]),
+	.B1(n_26556),
+	.B2(brqrv_top_brqrv_dec_tlu_micect[11]),
+	.X(brqrv_top_brqrv_dec_tlu_micect_ns[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746036 (
+	.A1(brqrv_top_brqrv_dec_tlu_wr_micect_r),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[10]),
+	.B1(n_26556),
+	.B2(brqrv_top_brqrv_dec_tlu_micect[10]),
+	.X(brqrv_top_brqrv_dec_tlu_micect_ns[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746037 (
+	.A1(brqrv_top_brqrv_dec_tlu_wr_micect_r),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[9]),
+	.B1(n_26556),
+	.B2(brqrv_top_brqrv_dec_tlu_micect[9]),
+	.X(brqrv_top_brqrv_dec_tlu_micect_ns[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746038 (
+	.A1(brqrv_top_brqrv_dec_tlu_wr_micect_r),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[8]),
+	.B1(n_26556),
+	.B2(brqrv_top_brqrv_dec_tlu_micect[8]),
+	.X(brqrv_top_brqrv_dec_tlu_micect_ns[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746039 (
+	.A1(brqrv_top_brqrv_dec_tlu_wr_micect_r),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[7]),
+	.B1(n_26556),
+	.B2(brqrv_top_brqrv_dec_tlu_micect[7]),
+	.X(brqrv_top_brqrv_dec_tlu_micect_ns[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746040 (
+	.A1(brqrv_top_brqrv_dec_tlu_wr_micect_r),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[6]),
+	.B1(n_26556),
+	.B2(brqrv_top_brqrv_dec_tlu_micect[6]),
+	.X(brqrv_top_brqrv_dec_tlu_micect_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746041 (
+	.A1(brqrv_top_brqrv_dec_tlu_wr_micect_r),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[5]),
+	.B1(n_26556),
+	.B2(brqrv_top_brqrv_dec_tlu_micect[5]),
+	.X(brqrv_top_brqrv_dec_tlu_micect_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746042 (
+	.A(n_26929),
+	.B(n_26433),
+	.Y(n_27324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746043 (
+	.A(n_32514),
+	.B(n_27066),
+	.Y(n_27323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746044 (
+	.A(n_27031),
+	.B(n_26447),
+	.Y(n_27322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g746045 (
+	.A(n_26788),
+	.B(n_24429),
+	.C(n_24372),
+	.X(n_27321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746046 (
+	.A(brqrv_top_brqrv_dbg_sbcs_wren),
+	.B(brqrv_top_dmi_reg_wdata[22]),
+	.Y(brqrv_top_brqrv_dbg_sbcs_sbbusyerror_din), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746047 (
+	.A(n_26930),
+	.B(n_26436),
+	.Y(n_27320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g746048 (
+	.A1(n_26825),
+	.A2(n_26806),
+	.B1(n_26073),
+	.Y(n_27319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746049 (
+	.A(n_26928),
+	.B(n_26432),
+	.Y(n_27317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32a_1 g746050 (
+	.A1(n_25970),
+	.A2(n_25392),
+	.A3(brqrv_top_brqrv_dbg_n_4261),
+	.B1(n_25392),
+	.B2(n_26640),
+	.X(n_27316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746051 (
+	.A(n_27025),
+	.B(n_26448),
+	.Y(n_27314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746052 (
+	.A(n_32678),
+	.B(n_27030),
+	.Y(brqrv_top_brqrv_dec_tlu_dbg_run_state_ns), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g746053 (
+	.A(n_26689),
+	.B(n_26345),
+	.C(n_26350),
+	.Y(n_27313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g746054 (
+	.A1(n_31130),
+	.A2(n_26268),
+	.B1(brqrv_top_brqrv_dec_tlu_flush_noredir_r),
+	.C1(n_25983),
+	.Y(brqrv_top_brqrv_ifu_ifc_next_state[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746055 (
+	.A(brqrv_top_brqrv_dbg_sbcs_reg_int[19]),
+	.B(n_26956),
+	.Y(n_27311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746056 (
+	.A1(n_26736),
+	.A2(n_26002),
+	.B1(n_26800),
+	.B2(n_26001),
+	.Y(n_27310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746057 (
+	.A1(n_26740),
+	.A2(n_26002),
+	.B1(n_26797),
+	.B2(n_26001),
+	.Y(n_27309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g746058 (
+	.A1(n_26002),
+	.A2(brqrv_top_dccm_rd_addr_lo[3]),
+	.B1(n_27080),
+	.Y(n_27308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746059 (
+	.A(n_26931),
+	.B(n_26441),
+	.Y(n_27306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g746060 (
+	.A1(n_26002),
+	.A2(brqrv_top_dccm_rd_addr_lo[2]),
+	.B1(n_27074),
+	.Y(n_27304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g746061 (
+	.A(n_31521),
+	.B(n_27066),
+	.X(n_27302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746062 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3467),
+	.B(n_27052),
+	.Y(n_27301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746063 (
+	.A(n_31816),
+	.B(n_27049),
+	.Y(n_27300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746064 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3460),
+	.B(n_27051),
+	.Y(n_27299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746065 (
+	.A(n_29918),
+	.B(n_27050),
+	.Y(n_27298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g746066 (
+	.A1(n_26002),
+	.A2(brqrv_top_dccm_rd_addr_hi[2]),
+	.B1(n_27074),
+	.Y(n_27297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746067 (
+	.A(n_26404),
+	.B(n_27048),
+	.Y(n_27295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g746068 (
+	.A1(n_26002),
+	.A2(brqrv_top_dccm_rd_addr_hi[3]),
+	.B1(n_27080),
+	.Y(n_27294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746069 (
+	.A(n_27075),
+	.B(n_26122),
+	.Y(n_27292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746070 (
+	.A(n_27075),
+	.B(n_26124),
+	.Y(n_27291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g746071 (
+	.A_N(n_30490),
+	.B(n_24030),
+	.C(n_25548),
+	.Y(n_27290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_1 g746072 (
+	.A_N(n_26387),
+	.B(n_6400),
+	.C(n_30465),
+	.D(n_32676),
+	.X(n_27289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746073 (
+	.A(n_31524),
+	.B(n_27066),
+	.Y(n_27288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746075 (
+	.A(n_24051),
+	.B(n_26578),
+	.Y(n_27285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g746076 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifc_fetch_req_f_raw),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_ifc_fetch_req_qual_bf),
+	.X(n_27182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746077 (
+	.A1(brqrv_top_brqrv_exu_ghr_d[4]),
+	.A2(n_26564),
+	.B1(brqrv_top_brqrv_exu_ghr_d[6]),
+	.B2(n_26568),
+	.Y(n_27181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g746078 (
+	.A1_N(brqrv_top_brqrv_ifu_ifc_fb_write_f[0]),
+	.A2_N(brqrv_top_brqrv_ifu_ifc_fb_write_ns[0]),
+	.B1(brqrv_top_brqrv_ifu_ifc_fb_write_f[0]),
+	.B2(brqrv_top_brqrv_ifu_ifc_fb_write_ns[0]),
+	.Y(n_27180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746079 (
+	.A1(n_26683),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[19]),
+	.B1(n_26006),
+	.B2(brqrv_top_brqrv_dec_dec_illegal_inst[19]),
+	.Y(n_27179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g746080 (
+	.A1_N(brqrv_top_brqrv_exu_ghr_d[2]),
+	.A2_N(n_26570),
+	.B1(brqrv_top_brqrv_exu_i0_branch_x),
+	.B2(n_26089),
+	.Y(n_27178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746081 (
+	.A1(n_26683),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[5]),
+	.B1(n_26006),
+	.B2(brqrv_top_brqrv_dec_dec_illegal_inst[5]),
+	.Y(n_27177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746082 (
+	.A1(n_26570),
+	.A2(brqrv_top_brqrv_exu_ghr_d[2]),
+	.B1(n_32777),
+	.B2(brqrv_top_brqrv_exu_mul_valid_x),
+	.Y(n_27176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746083 (
+	.A1(brqrv_top_brqrv_exu_ghr_d[1]),
+	.A2(n_26567),
+	.B1(brqrv_top_brqrv_exu_mul_valid_x),
+	.B2(n_32777),
+	.Y(n_27175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746084 (
+	.A1(n_26683),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[10]),
+	.B1(n_26006),
+	.B2(brqrv_top_brqrv_dec_dec_illegal_inst[10]),
+	.Y(n_27174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746085 (
+	.A1(n_26683),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[8]),
+	.B1(n_26006),
+	.B2(brqrv_top_brqrv_dec_dec_illegal_inst[8]),
+	.Y(n_27173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746086 (
+	.A1(n_26806),
+	.A2(n_23892),
+	.B1(n_24353),
+	.B2(n_32732),
+	.Y(n_27172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g746087 (
+	.A1_N(brqrv_top_brqrv_exu_ghr_d[5]),
+	.A2_N(n_26563),
+	.B1(brqrv_top_brqrv_exu_ghr_d[5]),
+	.B2(n_26563),
+	.Y(n_27171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746088 (
+	.A1(n_26721),
+	.A2(n_26033),
+	.B1(n_24092),
+	.B2(n_26678),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746089 (
+	.A1(n_26719),
+	.A2(n_26033),
+	.B1(n_24092),
+	.B2(n_26674),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[32]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746090 (
+	.A1(n_26724),
+	.A2(n_26033),
+	.B1(n_24092),
+	.B2(n_26676),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[96]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746091 (
+	.A1(n_26720),
+	.A2(n_26033),
+	.B1(n_24092),
+	.B2(n_26680),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[64]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g746092 (
+	.A(n_26534),
+	.B(n_26237),
+	.C(n_25864),
+	.D(n_25839),
+	.Y(n_27170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g746093 (
+	.A1(n_31292),
+	.A2(n_25389),
+	.B1(n_31454),
+	.B2(n_24019),
+	.C1(n_25165),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteenff_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g746094 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[20]),
+	.B(brqrv_top_brqrv_dec_decode_write_csr_data[22]),
+	.C(brqrv_top_brqrv_dec_decode_write_csr_data[21]),
+	.D(n_26639),
+	.Y(n_27169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g746095 (
+	.A(n_26508),
+	.B(n_26507),
+	.C(n_25696),
+	.D(n_25694),
+	.Y(n_27168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g746096 (
+	.A(n_26509),
+	.B(n_26510),
+	.C(n_25697),
+	.D(n_25705),
+	.Y(n_27167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g746097 (
+	.A1_N(n_26694),
+	.A2_N(brqrv_top_dccm_rd_addr_lo[2]),
+	.B1(n_26694),
+	.B2(brqrv_top_dccm_rd_addr_lo[2]),
+	.Y(n_27166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g746098 (
+	.A1_N(n_26694),
+	.A2_N(brqrv_top_dccm_rd_addr_hi[2]),
+	.B1(n_26694),
+	.B2(brqrv_top_dccm_rd_addr_hi[2]),
+	.Y(n_27165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746099 (
+	.A1(n_24124),
+	.A2(n_26693),
+	.B1(brqrv_top_dccm_rd_addr_hi[3]),
+	.B2(n_26692),
+	.Y(n_27164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746100 (
+	.A1(n_26721),
+	.A2(n_26028),
+	.B1(n_24150),
+	.B2(n_26678),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746101 (
+	.A1(n_26721),
+	.A2(n_26026),
+	.B1(n_24373),
+	.B2(n_26678),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746102 (
+	.A1(n_26721),
+	.A2(n_26060),
+	.B1(n_24376),
+	.B2(n_26678),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746103 (
+	.A1(n_26721),
+	.A2(n_26055),
+	.B1(n_24148),
+	.B2(n_26678),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746104 (
+	.A1(n_26721),
+	.A2(n_26037),
+	.B1(n_24380),
+	.B2(n_26678),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746105 (
+	.A1(n_26721),
+	.A2(n_26044),
+	.B1(n_24149),
+	.B2(n_26678),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746106 (
+	.A1(n_26721),
+	.A2(n_26040),
+	.B1(n_24143),
+	.B2(n_26678),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746107 (
+	.A1(n_26719),
+	.A2(n_26028),
+	.B1(n_24150),
+	.B2(n_26674),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[33]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746108 (
+	.A1(n_26719),
+	.A2(n_26026),
+	.B1(n_24373),
+	.B2(n_26674),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[34]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746109 (
+	.A1(n_26719),
+	.A2(n_26060),
+	.B1(n_24376),
+	.B2(n_26674),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[35]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746110 (
+	.A1(n_26719),
+	.A2(n_26055),
+	.B1(n_24148),
+	.B2(n_26674),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[36]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746111 (
+	.A1(n_26719),
+	.A2(n_26037),
+	.B1(n_24380),
+	.B2(n_26674),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[37]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746112 (
+	.A1(n_26719),
+	.A2(n_26044),
+	.B1(n_24149),
+	.B2(n_26674),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[38]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746113 (
+	.A1(n_26719),
+	.A2(n_26040),
+	.B1(n_24143),
+	.B2(n_26674),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[39]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746114 (
+	.A1(n_24269),
+	.A2(n_26630),
+	.B1(n_26147),
+	.B2(n_26072),
+	.Y(n_27163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g746115 (
+	.A1_N(brqrv_top_brqrv_ifu_ifc_fb_write_f[1]),
+	.A2_N(brqrv_top_brqrv_ifu_ifc_fb_write_ns[1]),
+	.B1(brqrv_top_brqrv_ifu_ifc_fb_write_f[1]),
+	.B2(brqrv_top_brqrv_ifu_ifc_fb_write_ns[1]),
+	.Y(n_27162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g746116 (
+	.A1_N(n_24198),
+	.A2_N(n_33200),
+	.B1(n_26800),
+	.B2(n_31579),
+	.Y(n_27161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746117 (
+	.A1(n_26683),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[21]),
+	.B1(n_26006),
+	.B2(brqrv_top_brqrv_dec_dec_illegal_inst[21]),
+	.Y(n_27160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746118 (
+	.A1(n_24280),
+	.A2(n_26629),
+	.B1(n_26146),
+	.B2(n_26072),
+	.Y(n_27159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746119 (
+	.A1(n_24520),
+	.A2(n_26631),
+	.B1(n_26148),
+	.B2(n_26072),
+	.Y(n_27158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746120 (
+	.A1(n_24272),
+	.A2(n_26632),
+	.B1(n_26144),
+	.B2(n_26072),
+	.Y(n_27157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g746121 (
+	.A1_N(n_26145),
+	.A2_N(n_26072),
+	.B1(brqrv_top_brqrv_dec_decode_csr_rddata_x[0]),
+	.B2(n_26633),
+	.Y(n_27156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g746122 (
+	.A1(n_34073),
+	.A2(n_26010),
+	.A3(n_26399),
+	.B1(brqrv_top_brqrv_exu_i_alu_pcout[12]),
+	.B2(n_467),
+	.Y(n_27155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g746123 (
+	.A1(n_34070),
+	.A2(n_26010),
+	.A3(n_26252),
+	.B1(n_32516),
+	.B2(n_467),
+	.Y(n_27154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746124 (
+	.A1(n_26683),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[16]),
+	.B1(n_26006),
+	.B2(brqrv_top_brqrv_dec_dec_illegal_inst[16]),
+	.Y(n_27153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746125 (
+	.A1(n_26683),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[11]),
+	.B1(n_26006),
+	.B2(brqrv_top_brqrv_dec_dec_illegal_inst[11]),
+	.Y(n_27152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746126 (
+	.A1(n_26699),
+	.A2(n_33380),
+	.B1(n_26705),
+	.B2(n_33381),
+	.Y(n_27151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746127 (
+	.A1(n_26702),
+	.A2(n_24111),
+	.B1(n_26703),
+	.B2(n_33382),
+	.Y(n_27150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746128 (
+	.A1(n_26693),
+	.A2(n_33377),
+	.B1(n_26712),
+	.B2(n_33379),
+	.Y(n_27149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g746129 (
+	.A1(n_34062),
+	.A2(n_26379),
+	.A3(n_26010),
+	.B1(n_31547),
+	.B2(n_467),
+	.Y(n_27148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746130 (
+	.A1(n_24032),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[28]),
+	.B1(n_26672),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[28]),
+	.Y(n_27147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746131 (
+	.A1(n_24032),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[27]),
+	.B1(n_26672),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[27]),
+	.X(brqrv_top_brqrv_dec_tlu_mcause_ns[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746132 (
+	.A1(n_24032),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[26]),
+	.B1(n_26672),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[26]),
+	.X(brqrv_top_brqrv_dec_tlu_mcause_ns[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746133 (
+	.A1(n_24032),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[25]),
+	.B1(n_26672),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[25]),
+	.X(brqrv_top_brqrv_dec_tlu_mcause_ns[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746134 (
+	.A1(n_26683),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[1]),
+	.B1(n_26006),
+	.B2(brqrv_top_brqrv_dec_dec_illegal_inst[1]),
+	.Y(n_27146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746135 (
+	.A1(n_24032),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[24]),
+	.B1(n_26672),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[24]),
+	.X(brqrv_top_brqrv_dec_tlu_mcause_ns[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746136 (
+	.A1(n_24032),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[23]),
+	.B1(n_26672),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[23]),
+	.X(brqrv_top_brqrv_dec_tlu_mcause_ns[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746137 (
+	.A1(n_24032),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[22]),
+	.B1(n_26672),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[22]),
+	.X(brqrv_top_brqrv_dec_tlu_mcause_ns[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746138 (
+	.A1(n_24032),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[21]),
+	.B1(n_26672),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[21]),
+	.X(brqrv_top_brqrv_dec_tlu_mcause_ns[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g746139 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mcause[20]),
+	.A2_N(n_26672),
+	.B1(n_1819),
+	.B2(n_26685),
+	.Y(brqrv_top_brqrv_dec_tlu_mcause_ns[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g746140 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mcause[19]),
+	.A2_N(n_26672),
+	.B1(n_2025),
+	.B2(n_26685),
+	.Y(brqrv_top_brqrv_dec_tlu_mcause_ns[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746141 (
+	.A1(n_24032),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[17]),
+	.B1(n_26672),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[17]),
+	.X(brqrv_top_brqrv_dec_tlu_mcause_ns[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746142 (
+	.A1(n_24032),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[16]),
+	.B1(n_26672),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[16]),
+	.X(brqrv_top_brqrv_dec_tlu_mcause_ns[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g746143 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mcause[15]),
+	.A2_N(n_26672),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_313),
+	.B2(n_26685),
+	.Y(brqrv_top_brqrv_dec_tlu_mcause_ns[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746144 (
+	.A1(n_24032),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[14]),
+	.B1(n_26672),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[14]),
+	.X(brqrv_top_brqrv_dec_tlu_mcause_ns[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746145 (
+	.A1(n_24032),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[13]),
+	.B1(n_26672),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[13]),
+	.X(brqrv_top_brqrv_dec_tlu_mcause_ns[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g746146 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mcause[11]),
+	.A2_N(n_26672),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_309),
+	.B2(n_26685),
+	.Y(brqrv_top_brqrv_dec_tlu_mcause_ns[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g746147 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mcause[10]),
+	.A2_N(n_26672),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_308),
+	.B2(n_26685),
+	.Y(brqrv_top_brqrv_dec_tlu_mcause_ns[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746148 (
+	.A1(n_24032),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[9]),
+	.B1(n_26672),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[9]),
+	.X(brqrv_top_brqrv_dec_tlu_mcause_ns[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g746149 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mcause[8]),
+	.A2_N(n_26672),
+	.B1(n_1303),
+	.B2(n_26685),
+	.Y(brqrv_top_brqrv_dec_tlu_mcause_ns[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g746150 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mcause[7]),
+	.A2_N(n_26672),
+	.B1(n_1304),
+	.B2(n_26685),
+	.Y(brqrv_top_brqrv_dec_tlu_mcause_ns[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g746151 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mcause[6]),
+	.A2_N(n_26672),
+	.B1(n_1705),
+	.B2(n_26685),
+	.Y(brqrv_top_brqrv_dec_tlu_mcause_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g746152 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mcause[5]),
+	.A2_N(n_26672),
+	.B1(n_1295),
+	.B2(n_26685),
+	.Y(brqrv_top_brqrv_dec_tlu_mcause_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746153 (
+	.A1(n_26672),
+	.A2(brqrv_top_brqrv_dec_tlu_mcause[3]),
+	.B1(n_25384),
+	.B2(brqrv_top_brqrv_dec_tlu_exc_cause_r[3]),
+	.Y(n_27145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g746154 (
+	.A1(n_25408),
+	.A2(brqrv_top_brqrv_lsu_fir_error[1]),
+	.A3(brqrv_top_brqrv_lsu_fir_error[0]),
+	.B1(n_26672),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[1]),
+	.Y(n_27144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746155 (
+	.A1(n_26687),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[31]),
+	.B1(n_26684),
+	.B2(brqrv_top_brqrv_dec_tlu_mepc[31]),
+	.X(n_27143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g746156 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_n_328),
+	.A2(n_26686),
+	.B1(n_27027),
+	.Y(n_27142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746157 (
+	.A1(n_26687),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[29]),
+	.B1(n_26684),
+	.B2(brqrv_top_brqrv_dec_tlu_mepc[29]),
+	.X(n_27141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746158 (
+	.A1(n_26687),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[28]),
+	.B1(n_26684),
+	.B2(brqrv_top_brqrv_dec_tlu_mepc[28]),
+	.X(n_27140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746159 (
+	.A1(n_26687),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[27]),
+	.B1(n_26684),
+	.B2(brqrv_top_brqrv_dec_tlu_mepc[27]),
+	.X(n_27139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746160 (
+	.A1(n_26687),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[26]),
+	.B1(n_26684),
+	.B2(brqrv_top_brqrv_dec_tlu_mepc[26]),
+	.X(n_27138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746161 (
+	.A1(n_26687),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[25]),
+	.B1(n_26684),
+	.B2(brqrv_top_brqrv_dec_tlu_mepc[25]),
+	.X(n_27137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746162 (
+	.A1(n_26687),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[24]),
+	.B1(n_26684),
+	.B2(brqrv_top_brqrv_dec_tlu_mepc[24]),
+	.X(n_27136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746163 (
+	.A1(n_26687),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[23]),
+	.B1(n_26684),
+	.B2(brqrv_top_brqrv_dec_tlu_mepc[23]),
+	.X(n_27135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746164 (
+	.A1(n_26687),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[22]),
+	.B1(n_26684),
+	.B2(brqrv_top_brqrv_dec_tlu_mepc[22]),
+	.X(n_27134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746165 (
+	.A1(n_26687),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[21]),
+	.B1(n_26684),
+	.B2(brqrv_top_brqrv_dec_tlu_mepc[21]),
+	.X(n_27133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g746166 (
+	.A1(n_1819),
+	.A2(n_26686),
+	.B1(n_27024),
+	.Y(n_27132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g746167 (
+	.A1(n_2025),
+	.A2(n_26686),
+	.B1(n_27023),
+	.Y(n_27131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g746168 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_n_316),
+	.A2(n_26686),
+	.B1(n_27022),
+	.Y(n_27130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746169 (
+	.A1(n_26687),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[17]),
+	.B1(n_26684),
+	.B2(brqrv_top_brqrv_dec_tlu_mepc[17]),
+	.X(n_27129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746170 (
+	.A1(n_26687),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[16]),
+	.B1(n_26684),
+	.B2(brqrv_top_brqrv_dec_tlu_mepc[16]),
+	.X(n_27128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g746171 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_n_313),
+	.A2(n_26686),
+	.B1(n_27021),
+	.Y(n_27127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746172 (
+	.A1(n_26687),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[14]),
+	.B1(n_26684),
+	.B2(brqrv_top_brqrv_dec_tlu_mepc[14]),
+	.X(n_27126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746173 (
+	.A1(n_26687),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[13]),
+	.B1(n_26684),
+	.B2(brqrv_top_brqrv_dec_tlu_mepc[13]),
+	.X(n_27125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746174 (
+	.A1(n_26687),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[12]),
+	.B1(n_26684),
+	.B2(brqrv_top_brqrv_dec_tlu_mepc[12]),
+	.X(n_27124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g746175 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_n_309),
+	.A2(n_26686),
+	.B1(n_27020),
+	.Y(n_27123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g746176 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_n_308),
+	.A2(n_26686),
+	.B1(n_27019),
+	.Y(n_27122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746177 (
+	.A1(n_26687),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[9]),
+	.B1(n_26684),
+	.B2(brqrv_top_brqrv_dec_tlu_mepc[9]),
+	.X(n_27121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g746178 (
+	.A1(n_1303),
+	.A2(n_26686),
+	.B1(n_27026),
+	.Y(n_27120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g746179 (
+	.A1(n_1304),
+	.A2(n_26686),
+	.B1(n_27018),
+	.Y(n_27119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g746180 (
+	.A1(n_1705),
+	.A2(n_26686),
+	.B1(n_27017),
+	.Y(n_27118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g746181 (
+	.A1(n_1295),
+	.A2(n_26686),
+	.B1(n_27016),
+	.Y(n_27117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g746182 (
+	.A1(n_1701),
+	.A2(n_26686),
+	.B1(n_27015),
+	.Y(n_27116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g746183 (
+	.A1(n_1702),
+	.A2(n_26686),
+	.B1(n_27014),
+	.Y(n_27115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g746184 (
+	.A1(n_1703),
+	.A2(n_26686),
+	.B1(n_27013),
+	.Y(n_27114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g746185 (
+	.A1(n_1704),
+	.A2(n_26686),
+	.B1(n_27012),
+	.Y(n_27113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g746186 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[28]),
+	.A2_N(n_26644),
+	.B1(brqrv_top_brqrv_dec_tlu_mrac_ff_0[23]),
+	.B2(n_25416),
+	.Y(n_27112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746187 (
+	.A1(n_26820),
+	.A2(brqrv_top_brqrv_dma_ctrl_dma_nack_count[2]),
+	.B1(n_26117),
+	.B2(n_26300),
+	.X(brqrv_top_brqrv_dma_ctrl_nack_count_dff_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g746188 (
+	.A1_N(n_24198),
+	.A2_N(n_33202),
+	.B1(n_26797),
+	.B2(n_31579),
+	.Y(n_27111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746189 (
+	.A1(n_26683),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[15]),
+	.B1(n_26006),
+	.B2(brqrv_top_brqrv_dec_dec_illegal_inst[15]),
+	.Y(n_27110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g746190 (
+	.A1_N(n_26783),
+	.A2_N(n_32502),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[4]),
+	.B2(n_31301),
+	.Y(n_27109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746191 (
+	.A1(n_26766),
+	.A2(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[4]),
+	.B1(n_26082),
+	.B2(brqrv_top_brqrv_exu_ghr_d[6]),
+	.Y(n_27108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g746192 (
+	.A1_N(n_26783),
+	.A2_N(n_32442),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[0]),
+	.B2(n_32440),
+	.Y(n_27107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g746193 (
+	.A1_N(n_26783),
+	.A2_N(n_31244),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[12]),
+	.B2(n_31494),
+	.Y(n_27106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g746194 (
+	.A1_N(n_26783),
+	.A2_N(n_31444),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[8]),
+	.B2(n_32453),
+	.Y(n_27105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746195 (
+	.A1(n_26730),
+	.A2(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[4]),
+	.B1(n_26082),
+	.B2(brqrv_top_brqrv_exu_ghr_d[5]),
+	.Y(n_27104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746196 (
+	.A1(n_26558),
+	.A2(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[5]),
+	.B1(n_26071),
+	.B2(brqrv_top_brqrv_exu_ghr_x[5]),
+	.Y(n_27103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746197 (
+	.A1(n_26766),
+	.A2(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[1]),
+	.B1(n_26071),
+	.B2(brqrv_top_brqrv_exu_ghr_x[3]),
+	.Y(n_27102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746198 (
+	.A1(n_24103),
+	.A2(n_26698),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[6]),
+	.B2(n_26699),
+	.Y(n_27101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746199 (
+	.A1(n_26730),
+	.A2(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[1]),
+	.B1(n_26082),
+	.B2(brqrv_top_brqrv_exu_ghr_d[2]),
+	.Y(n_27100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746200 (
+	.A1(n_24102),
+	.A2(n_26704),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[7]),
+	.B2(n_26705),
+	.Y(n_27099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746201 (
+	.A1(n_24344),
+	.A2(n_26692),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[3]),
+	.B2(n_26693),
+	.Y(n_27098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746202 (
+	.A1(n_24098),
+	.A2(n_26709),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[4]),
+	.B2(n_26710),
+	.Y(n_27097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746203 (
+	.A1(n_24345),
+	.A2(n_26711),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[5]),
+	.B2(n_26712),
+	.Y(n_27096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746204 (
+	.A1(n_24097),
+	.A2(n_26694),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[2]),
+	.B2(n_26695),
+	.Y(n_27095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g746205 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mcause[18]),
+	.A2_N(n_26672),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_316),
+	.B2(n_26685),
+	.Y(brqrv_top_brqrv_dec_tlu_mcause_ns[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746206 (
+	.A1(n_26558),
+	.A2(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[0]),
+	.B1(n_26082),
+	.B2(brqrv_top_brqrv_exu_ghr_d[0]),
+	.X(n_27094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g746207 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mcgc_int[9]),
+	.A2_N(n_26688),
+	.B1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[9]),
+	.B2(n_26688),
+	.Y(brqrv_top_brqrv_dec_tlu_mcgc_ns[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g746208 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mtsel[1]),
+	.A2_N(n_26789),
+	.B1(n_1704),
+	.B2(n_26789),
+	.Y(brqrv_top_brqrv_dec_tlu_mtsel_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g746209 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mtsel[0]),
+	.A2_N(n_26789),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_298),
+	.B2(n_26789),
+	.Y(brqrv_top_brqrv_dec_tlu_mtsel_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g746210 (
+	.A1(n_26560),
+	.A2(n_26691),
+	.B1(n_26997),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_write_dff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g746211 (
+	.A1_N(brqrv_top_brqrv_dma_ctrl_fifo_write[3]),
+	.A2_N(n_26345),
+	.B1(n_26560),
+	.B2(n_26345),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_write_dff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g746212 (
+	.A1_N(brqrv_top_brqrv_dma_ctrl_fifo_write[1]),
+	.A2_N(n_26689),
+	.B1(n_26560),
+	.B2(n_26689),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_write_dff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746213 (
+	.A1(n_24032),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[29]),
+	.B1(n_26672),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[29]),
+	.Y(n_27093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g746214 (
+	.A1_N(brqrv_top_brqrv_dma_ctrl_fifo_write[4]),
+	.A2_N(n_26350),
+	.B1(n_26560),
+	.B2(n_26350),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_write_dff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g746215 (
+	.A1_N(brqrv_top_brqrv_dma_ctrl_fifo_sz[4]),
+	.A2_N(n_26689),
+	.B1(n_25386),
+	.B2(n_26689),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_sz_dff_n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g746216 (
+	.A1_N(brqrv_top_brqrv_dma_ctrl_fifo_sz[3]),
+	.A2_N(n_26689),
+	.B1(n_25388),
+	.B2(n_26689),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_sz_dff_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g746217 (
+	.A1(n_25386),
+	.A2(n_26691),
+	.B1(n_26989),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_sz_dff_n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g746218 (
+	.A1(n_25388),
+	.A2(n_26691),
+	.B1(n_27033),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_sz_dff_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746219 (
+	.A1(n_26697),
+	.A2(brqrv_top_brqrv_lsu_lsu_addr_m[10]),
+	.B1(n_26706),
+	.B2(n_24377),
+	.Y(n_27092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746220 (
+	.A1(brqrv_top_brqrv_lsu_lsu_addr_m[7]),
+	.A2(n_26705),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_m[8]),
+	.B2(n_26703),
+	.Y(n_27091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746221 (
+	.A1(n_24149),
+	.A2(n_26698),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_m[9]),
+	.B2(n_26701),
+	.Y(n_27090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746222 (
+	.A1(n_24380),
+	.A2(n_26711),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_m[5]),
+	.B2(n_26712),
+	.Y(n_27089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g746223 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[2]),
+	.B(n_26694),
+	.Y(n_27088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g746224 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[7]),
+	.B(n_26669),
+	.Y(n_27087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g746225 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[7]),
+	.B(n_26665),
+	.Y(n_27086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g746226 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel[7]),
+	.B(n_26667),
+	.Y(n_27085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g746227 (
+	.A(brqrv_top_brqrv_dec_tlu_minstretl[7]),
+	.B(n_26663),
+	.Y(n_27084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g746228 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_micect[29]),
+	.A2_N(n_26556),
+	.B1(n_26381),
+	.B2(n_26556),
+	.Y(brqrv_top_brqrv_dec_tlu_micect_ns[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g746229 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_micect[27]),
+	.A2_N(n_26556),
+	.B1(n_26380),
+	.B2(n_26556),
+	.Y(brqrv_top_brqrv_dec_tlu_micect_ns[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g746230 (
+	.A1(n_26560),
+	.A2(n_26690),
+	.B1(n_26996),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_write_dff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g746231 (
+	.A1(n_25386),
+	.A2(n_26690),
+	.B1(n_26991),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_sz_dff_n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g746232 (
+	.A1(n_25388),
+	.A2(n_26690),
+	.B1(n_26990),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_sz_dff_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746233 (
+	.A1(n_26692),
+	.A2(n_24104),
+	.B1(brqrv_top_brqrv_lsu_end_addr_r[2]),
+	.B2(n_26695),
+	.Y(n_27083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746234 (
+	.A1(n_24099),
+	.A2(n_26706),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[10]),
+	.B2(n_26697),
+	.Y(n_27082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g746235 (
+	.A1(n_31291),
+	.A2(n_25389),
+	.B1(n_26247),
+	.B2(n_24019),
+	.C1(n_25166),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteenff_n_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746236 (
+	.A1(n_33043),
+	.A2(n_26463),
+	.B1(n_24348),
+	.B2(n_26464),
+	.Y(brqrv_top_brqrv_ifu_i0_bp_btag[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746237 (
+	.A1(n_33043),
+	.A2(n_26503),
+	.B1(n_24348),
+	.B2(n_26434),
+	.Y(brqrv_top_brqrv_ifu_i0_bp_btag[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746238 (
+	.A1(n_26720),
+	.A2(n_26040),
+	.B1(n_24143),
+	.B2(n_26680),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[71]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746239 (
+	.A1(n_26720),
+	.A2(n_26044),
+	.B1(n_24149),
+	.B2(n_26680),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[70]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746240 (
+	.A1(n_26720),
+	.A2(n_26037),
+	.B1(n_24380),
+	.B2(n_26680),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[69]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746241 (
+	.A1(n_26720),
+	.A2(n_26055),
+	.B1(n_24148),
+	.B2(n_26680),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[68]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746242 (
+	.A1(n_26720),
+	.A2(n_26060),
+	.B1(n_24376),
+	.B2(n_26680),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[67]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746243 (
+	.A1(n_26720),
+	.A2(n_26026),
+	.B1(n_24373),
+	.B2(n_26680),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[66]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746244 (
+	.A1(n_26720),
+	.A2(n_26028),
+	.B1(n_24150),
+	.B2(n_26680),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[65]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746245 (
+	.A1(n_26724),
+	.A2(n_26040),
+	.B1(n_24143),
+	.B2(n_26676),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[103]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746246 (
+	.A1(n_26724),
+	.A2(n_26044),
+	.B1(n_24149),
+	.B2(n_26676),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[102]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746247 (
+	.A1(n_26724),
+	.A2(n_26037),
+	.B1(n_24380),
+	.B2(n_26676),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[101]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746248 (
+	.A1(n_26724),
+	.A2(n_26055),
+	.B1(n_24148),
+	.B2(n_26676),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[100]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746249 (
+	.A1(n_26724),
+	.A2(n_26060),
+	.B1(n_24376),
+	.B2(n_26676),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[99]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746250 (
+	.A1(n_26724),
+	.A2(n_26026),
+	.B1(n_24373),
+	.B2(n_26676),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[98]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746251 (
+	.A1(n_26724),
+	.A2(n_26028),
+	.B1(n_24150),
+	.B2(n_26676),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_match_data[97]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746252 (
+	.A1(n_26001),
+	.A2(n_26749),
+	.B1(n_26002),
+	.B2(n_31142),
+	.Y(n_27209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746253 (
+	.A1(n_26001),
+	.A2(n_26752),
+	.B1(n_26002),
+	.B2(n_31145),
+	.Y(n_27208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746254 (
+	.A1(n_26001),
+	.A2(n_26754),
+	.B1(n_26002),
+	.B2(n_31148),
+	.Y(n_27207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746255 (
+	.A1(n_26001),
+	.A2(n_26756),
+	.B1(n_26002),
+	.B2(n_31151),
+	.Y(n_27206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746256 (
+	.A1(n_26001),
+	.A2(n_26758),
+	.B1(n_26002),
+	.B2(n_31154),
+	.Y(n_27205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746257 (
+	.A1(n_26001),
+	.A2(n_26759),
+	.B1(n_26002),
+	.B2(n_31169),
+	.Y(n_27204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746258 (
+	.A1(n_26001),
+	.A2(n_26761),
+	.B1(n_26002),
+	.B2(n_31178),
+	.Y(n_27203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746259 (
+	.A1(n_26001),
+	.A2(n_26763),
+	.B1(n_26002),
+	.B2(n_31186),
+	.Y(n_27202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746260 (
+	.A1(n_26001),
+	.A2(n_26745),
+	.B1(n_26002),
+	.B2(n_31195),
+	.Y(n_27201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746261 (
+	.A1(n_26001),
+	.A2(n_26767),
+	.B1(n_26002),
+	.B2(n_31204),
+	.Y(n_27200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746262 (
+	.A1(n_26001),
+	.A2(n_26769),
+	.B1(n_26002),
+	.B2(n_32396),
+	.Y(n_27199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746263 (
+	.A1(n_26001),
+	.A2(n_26771),
+	.B1(n_26002),
+	.B2(n_32405),
+	.Y(n_27198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746264 (
+	.A1(n_26001),
+	.A2(n_26773),
+	.B1(n_26002),
+	.B2(n_32414),
+	.Y(n_27197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g746265 (
+	.A1_N(n_26775),
+	.A2_N(n_26002),
+	.B1(n_32389),
+	.B2(n_26002),
+	.Y(n_27196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g746266 (
+	.A1_N(n_26776),
+	.A2_N(n_26002),
+	.B1(n_31162),
+	.B2(n_26002),
+	.Y(n_27195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g746267 (
+	.A1_N(n_26777),
+	.A2_N(n_26002),
+	.B1(n_32387),
+	.B2(n_26002),
+	.Y(n_27194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g746268 (
+	.A1_N(n_26778),
+	.A2_N(n_26002),
+	.B1(n_32385),
+	.B2(n_26002),
+	.Y(n_27193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g746269 (
+	.A1_N(n_26779),
+	.A2_N(n_26002),
+	.B1(n_31160),
+	.B2(n_26002),
+	.Y(n_27192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g746270 (
+	.A1_N(n_26780),
+	.A2_N(n_26002),
+	.B1(n_32383),
+	.B2(n_26002),
+	.Y(n_27191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g746271 (
+	.A1_N(n_26782),
+	.A2_N(n_26002),
+	.B1(n_32382),
+	.B2(n_26002),
+	.Y(n_27190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g746272 (
+	.A1_N(n_26732),
+	.A2_N(n_26002),
+	.B1(n_32381),
+	.B2(n_26002),
+	.Y(n_27189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g746273 (
+	.A1_N(n_26733),
+	.A2_N(n_26002),
+	.B1(n_31167),
+	.B2(n_26002),
+	.Y(n_27188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746274 (
+	.A1(n_26001),
+	.A2(n_26734),
+	.B1(n_26002),
+	.B2(n_31166),
+	.Y(n_27187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746275 (
+	.A1(n_26001),
+	.A2(n_26737),
+	.B1(n_26002),
+	.B2(n_31165),
+	.Y(n_27186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g746276 (
+	.A1_N(n_26741),
+	.A2_N(n_26002),
+	.B1(n_32392),
+	.B2(n_26002),
+	.Y(n_27185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g746277 (
+	.A1_N(n_26742),
+	.A2_N(n_26002),
+	.B1(n_31164),
+	.B2(n_26002),
+	.Y(n_27184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746278 (
+	.A1(n_26001),
+	.A2(n_26743),
+	.B1(n_26002),
+	.B2(n_31163),
+	.Y(n_27183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g746279 (
+	.A(n_27009),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g746282 (
+	.A(brqrv_top_brqrv_dec_tlu_dbg_halt_state_ns),
+	.Y(n_27072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g746283 (
+	.A(n_27064),
+	.Y(n_27065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g746284 (
+	.A(brqrv_top_brqrv_lsu_nonblock_load_tag_m[1]),
+	.Y(n_27053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g746285 (
+	.A(n_27047),
+	.Y(n_27048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g746286 (
+	.A(n_27046),
+	.Y(n_27045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g746287 (
+	.A(n_27044),
+	.Y(n_27043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g746288 (
+	.A(n_27042),
+	.Y(n_27041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g746289 (
+	.A(n_27040),
+	.Y(n_27039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746293 (
+	.A(n_26691),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_sz[6]),
+	.Y(n_27033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g746294 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[0]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_n_807),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_n_24 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g746295 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[0]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_n_802),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_n_23 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g746296 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[0]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_n_797),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_n_22 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g746297 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[0]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_n_792),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_n_21 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g746298 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[0]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_n_787),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_n_20 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g746299 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[0]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_n_782),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_n_19 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g746300 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[0]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_n_777),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_n_18 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g746301 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[1]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[15]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_n_25 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g746302 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[1]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[14]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_n_24 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g746303 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[1]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[13]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_n_23 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g746304 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[1]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[12]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_n_22 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g746305 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[1]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[11]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_n_21 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g746306 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[1]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[10]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_n_20 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g746307 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[1]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[9]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_n_19 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g746308 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[1]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[8]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_n_18 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g746309 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[2]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[23]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_n_25 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g746310 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[2]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[22]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_n_24 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g746311 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[2]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[21]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_n_23 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g746312 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[2]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[20]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_n_22 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g746313 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[2]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[19]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_n_21 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g746314 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[2]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[18]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_n_20 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g746315 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[2]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[17]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_n_19 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g746316 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[2]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[16]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_n_18 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g746317 (
+	.A(n_26791),
+	.B_N(n_26122),
+	.Y(brqrv_top_brqrv_dec_tlu_wr_mtdata2_t1_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g746318 (
+	.A(n_26791),
+	.B_N(n_26124),
+	.Y(brqrv_top_brqrv_dec_tlu_wr_mtdata2_t3_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g746319 (
+	.A(n_26791),
+	.B_N(n_26123),
+	.Y(brqrv_top_brqrv_dec_tlu_wr_mtdata2_t2_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746320 (
+	.A(n_26564),
+	.B(brqrv_top_brqrv_exu_ghr_d[4]),
+	.Y(n_27032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g746321 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_sz[13]),
+	.A2(n_26011),
+	.B1(n_26018),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_sz[4]),
+	.C1(n_26015),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_sz[10]),
+	.Y(n_27031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746322 (
+	.A(brqrv_top_brqrv_dec_tlu_dbg_run_state_f),
+	.B(n_26826),
+	.Y(n_27030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746323 (
+	.A(n_26672),
+	.B(brqrv_top_brqrv_dec_tlu_mcause[30]),
+	.Y(n_27029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g746324 (
+	.A_N(brqrv_top_brqrv_dec_tlu_nmi_lsu_store_type),
+	.B(n_38487),
+	.Y(n_27028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746325 (
+	.A(n_26684),
+	.B(brqrv_top_brqrv_dec_tlu_mepc[30]),
+	.Y(n_27027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746326 (
+	.A(n_26684),
+	.B(brqrv_top_brqrv_dec_tlu_mepc[8]),
+	.Y(n_27026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g746327 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_sz[3]),
+	.A2(n_26018),
+	.B1(n_26015),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_sz[9]),
+	.C1(n_26019),
+	.C2(brqrv_top_brqrv_dma_ctrl_n_742),
+	.Y(n_27025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746328 (
+	.A(n_26684),
+	.B(brqrv_top_brqrv_dec_tlu_mepc[20]),
+	.Y(n_27024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746329 (
+	.A(n_26684),
+	.B(brqrv_top_brqrv_dec_tlu_mepc[19]),
+	.Y(n_27023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746330 (
+	.A(n_26684),
+	.B(brqrv_top_brqrv_dec_tlu_mepc[18]),
+	.Y(n_27022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746331 (
+	.A(n_26684),
+	.B(brqrv_top_brqrv_dec_tlu_mepc[15]),
+	.Y(n_27021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746332 (
+	.A(n_26684),
+	.B(brqrv_top_brqrv_dec_tlu_mepc[11]),
+	.Y(n_27020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746333 (
+	.A(n_26684),
+	.B(brqrv_top_brqrv_dec_tlu_mepc[10]),
+	.Y(n_27019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746334 (
+	.A(n_26684),
+	.B(brqrv_top_brqrv_dec_tlu_mepc[7]),
+	.Y(n_27018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746335 (
+	.A(n_26684),
+	.B(brqrv_top_brqrv_dec_tlu_mepc[6]),
+	.Y(n_27017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746336 (
+	.A(n_26684),
+	.B(brqrv_top_brqrv_dec_tlu_mepc[5]),
+	.Y(n_27016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746337 (
+	.A(n_26684),
+	.B(brqrv_top_brqrv_dec_tlu_mepc[4]),
+	.Y(n_27015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746338 (
+	.A(n_26684),
+	.B(brqrv_top_brqrv_dec_tlu_mepc[3]),
+	.Y(n_27014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746339 (
+	.A(n_26684),
+	.B(brqrv_top_brqrv_dec_tlu_mepc[2]),
+	.Y(n_27013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746340 (
+	.A(n_26684),
+	.B(brqrv_top_brqrv_dec_tlu_mepc[1]),
+	.Y(n_27012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g746341 (
+	.A(n_26822),
+	.B_N(brqrv_top_dmi_reg_wdata[17]),
+	.Y(brqrv_top_brqrv_dbg_command_transfer_din), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g746342 (
+	.A1(n_69),
+	.A2(n_34003),
+	.B1(n_67),
+	.B2(n_33971),
+	.C1(n_26535),
+	.Y(n_27011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g746343 (
+	.A(n_34061),
+	.B(n_26379),
+	.C(n_29903),
+	.X(n_27010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g746344 (
+	.A1(n_24135),
+	.A2(n_25389),
+	.B1(n_26465),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_tagff_n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g746345 (
+	.A1(n_24133),
+	.A2(n_25389),
+	.B1(n_26466),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_tagff_n_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g746346 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[10]),
+	.A2(n_25368),
+	.B1(n_26045),
+	.B2(n_33233),
+	.C1(n_33232),
+	.C2(brqrv_top_brqrv_lsu_ldst_dual_r),
+	.Y(n_27009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111o_1 g746347 (
+	.A1(brqrv_top_brqrv_dec_tlu_exc_cause_r[2]),
+	.A2(n_24467),
+	.B1(n_25843),
+	.C1(n_26642),
+	.D1(n_25660),
+	.X(n_27008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g746348 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_done_bus[4]),
+	.A2(n_26011),
+	.B1(n_26018),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_done_bus[1]),
+	.C1(n_26015),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_done_bus[3]),
+	.Y(n_27007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g746349 (
+	.A(n_26516),
+	.B(n_26277),
+	.C(n_25708),
+	.D(n_25709),
+	.Y(n_27006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g746350 (
+	.A(n_25072),
+	.B(n_25688),
+	.C(n_25689),
+	.D(n_26227),
+	.Y(n_27005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g746351 (
+	.A1(n_24235),
+	.A2(brqrv_top_brqrv_exu_pred_correct_npc_r[21]),
+	.B1(n_24245),
+	.B2(brqrv_top_brqrv_exu_pred_correct_npc_r[26]),
+	.C1(n_26638),
+	.Y(n_27004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g746352 (
+	.A1(brqrv_top_brqrv_dec_tlu_flush_path_r[22]),
+	.A2(n_24255),
+	.B1(brqrv_top_brqrv_dec_tlu_flush_path_r[23]),
+	.B2(n_24230),
+	.C1(n_26637),
+	.Y(n_27003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746353 (
+	.A(n_26688),
+	.B(brqrv_top_brqrv_dec_tlu_mcgc_int[6]),
+	.Y(n_27002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746354 (
+	.A(n_26688),
+	.B(brqrv_top_brqrv_dec_tlu_mcgc_int[5]),
+	.Y(n_27001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746355 (
+	.A(n_26688),
+	.B(brqrv_top_brqrv_dec_tlu_mcgc_int[3]),
+	.Y(n_27000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746356 (
+	.A(n_26688),
+	.B(brqrv_top_brqrv_dec_tlu_pic_clk_override),
+	.Y(n_26999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746357 (
+	.A(n_26688),
+	.B(brqrv_top_icm_clk_override),
+	.Y(n_26998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g746358 (
+	.A(brqrv_top_brqrv_dec_tlu_pic_clk_override),
+	.B(brqrv_top_brqrv_picm_wren),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clken), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746359 (
+	.A(n_26691),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_write[2]),
+	.Y(n_26997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746360 (
+	.A(n_26690),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_write[0]),
+	.Y(n_26996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g746361 (
+	.A(n_25930),
+	.B(n_25928),
+	.C(n_25901),
+	.D(n_25929),
+	.Y(n_26995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g746362 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_done[0]),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_done_en[0]),
+	.X(brqrv_top_brqrv_dma_ctrl_fifo_done_bus_en[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g746363 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_done[3]),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_done_en[3]),
+	.X(brqrv_top_brqrv_dma_ctrl_fifo_done_bus_en[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g746364 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_done[4]),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_done_en[4]),
+	.X(brqrv_top_brqrv_dma_ctrl_fifo_done_bus_en[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g746365 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_done[2]),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_done_en[2]),
+	.X(brqrv_top_brqrv_dma_ctrl_fifo_done_bus_en[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g746366 (
+	.A(n_25935),
+	.B(n_25932),
+	.C(n_25934),
+	.D(n_25933),
+	.Y(n_26994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g746367 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_done[1]),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_done_en[1]),
+	.X(brqrv_top_brqrv_dma_ctrl_fifo_done_bus_en[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g746368 (
+	.A(n_25589),
+	.B(n_25902),
+	.C(n_25937),
+	.D(n_26523),
+	.Y(n_26993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g746369 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[15]),
+	.A2(n_24241),
+	.B1(n_25583),
+	.C1(n_25582),
+	.D1(n_26294),
+	.Y(n_26992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g746370 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[0]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_n_752),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_sz_dff_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746371 (
+	.A(n_26690),
+	.B(brqrv_top_brqrv_dma_ctrl_n_747),
+	.Y(n_26991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746372 (
+	.A(n_26690),
+	.B(brqrv_top_brqrv_dma_ctrl_n_742),
+	.Y(n_26990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g746373 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[1]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_sz[5]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_sz_dff_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g746374 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[2]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_sz[8]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_sz_dff_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746375 (
+	.A(n_26691),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_sz[7]),
+	.Y(n_26989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g746376 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[0]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_n_812),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_n_25 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g746377 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[27]),
+	.A2(n_24256),
+	.B1(n_25603),
+	.C1(n_25636),
+	.D1(n_26289),
+	.Y(n_26988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g746378 (
+	.A1(n_26282),
+	.A2(brqrv_top_brqrv_dec_dec_i0_instr_d[4]),
+	.B1(brqrv_top_brqrv_dec_decode_i0_dec_n_72),
+	.Y(n_26987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g746379 (
+	.A1(n_25206),
+	.A2(n_24029),
+	.B1(brqrv_top_brqrv_dbg_sbcs_reg[21]),
+	.Y(n_26986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g746380 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[23]),
+	.A2(n_24490),
+	.B1(n_25590),
+	.C1(n_25586),
+	.D1(n_26290),
+	.Y(n_26985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g746381 (
+	.A1(n_24227),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[18]),
+	.B1(n_25939),
+	.C1(n_26276),
+	.D1(n_25647),
+	.Y(n_26984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g746382 (
+	.A1(n_24160),
+	.A2(brqrv_top_brqrv_dec_dec_i0_waddr_r[2]),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[2]),
+	.B2(n_2596),
+	.C1(n_26427),
+	.Y(n_26983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111a_1 g746383 (
+	.A1(brqrv_top_brqrv_dec_dec_i0_waddr_r[0]),
+	.A2(n_24184),
+	.B1(n_25651),
+	.C1(n_25653),
+	.D1(n_25654),
+	.X(n_26982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g746384 (
+	.A1(n_24191),
+	.A2(brqrv_top_brqrv_dec_dec_i0_waddr_r[1]),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[11]),
+	.B2(n_2580),
+	.C1(n_26428),
+	.Y(n_26981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g746385 (
+	.A1(brqrv_top_brqrv_dec_decode_cam_raw[32]),
+	.A2(n_2596),
+	.B1(n_25659),
+	.C1(n_26272),
+	.Y(n_26980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746386 (
+	.A(n_26710),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[4]),
+	.Y(n_26979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746387 (
+	.A(brqrv_top_brqrv_dbg_n_1024),
+	.B(n_26822),
+	.Y(brqrv_top_brqrv_dbg_command_postexec_din), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o311ai_1 g746388 (
+	.A1(brqrv_top_brqrv_dec_tlu_meipt[0]),
+	.A2(brqrv_top_brqrv_dec_tlu_meipt[1]),
+	.A3(n_2568),
+	.B1(n_25985),
+	.C1(n_26597),
+	.Y(n_26978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746389 (
+	.A1(brqrv_top_brqrv_dec_dec_tlu_flush_extint),
+	.A2(n_25947),
+	.B1(n_30449),
+	.B2(n_26421),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timer0_int_hold), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746390 (
+	.A(n_26224),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_wr_en),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_timer_in[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746391 (
+	.A(brqrv_top_dccm_rd_addr_lo[3]),
+	.B(brqrv_top_dccm_rd_addr_lo[2]),
+	.Y(n_26977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746392 (
+	.A(n_26557),
+	.B(brqrv_top_dccm_rd_addr_lo[2]),
+	.Y(n_26976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746393 (
+	.A(n_25354),
+	.B(n_26798),
+	.Y(n_26975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g746394 (
+	.A(n_26790),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_d[7]),
+	.X(brqrv_top_brqrv_picm_rden), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g746395 (
+	.A(brqrv_top_brqrv_dec_tlu_reset_delayed),
+	.B(brqrv_top_brqrv_dec_tlu_interrupt_valid_r_d1),
+	.C(brqrv_top_brqrv_dec_tlu_n_3271),
+	.D_N(n_30452),
+	.Y(n_26974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746396 (
+	.A(n_26794),
+	.B(n_32417),
+	.Y(n_26973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746397 (
+	.A(n_26793),
+	.B(n_32417),
+	.Y(n_26972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746398 (
+	.A(n_26792),
+	.B(n_32417),
+	.Y(n_26971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g746399 (
+	.A1(n_25410),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_timer[1]),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_timer[2]),
+	.Y(n_26970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g746400 (
+	.A1(n_24353),
+	.A2(n_26113),
+	.A3(n_32775),
+	.B1(n_26378),
+	.Y(n_26969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g746401 (
+	.A(n_26504),
+	.B(n_26284),
+	.C(n_25671),
+	.D(n_25674),
+	.Y(n_26968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g746402 (
+	.A(n_26505),
+	.B(n_26280),
+	.C(n_25676),
+	.D(n_25677),
+	.Y(n_26967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746403 (
+	.A(n_26727),
+	.B(n_26042),
+	.Y(n_26966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g746404 (
+	.A(n_26790),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_d[6]),
+	.X(brqrv_top_brqrv_picm_mken), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746405 (
+	.A(n_26811),
+	.B(n_8148),
+	.Y(n_26965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g746406 (
+	.A(n_26506),
+	.B(n_26279),
+	.C(n_25683),
+	.D(n_25682),
+	.Y(n_26964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746407 (
+	.A(n_26362),
+	.B(n_26566),
+	.Y(n_26963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g746408 (
+	.A(n_25987),
+	.B(n_26241),
+	.C(n_26243),
+	.D(n_26240),
+	.Y(n_26962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g746409 (
+	.A(n_26788),
+	.B(n_25452),
+	.X(n_26961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746410 (
+	.A(n_26803),
+	.B(n_26635),
+	.Y(brqrv_top_brqrv_dbg_dmstatus_resumeack_wren), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 g746411 (
+	.A1(n_24451),
+	.A2(n_32776),
+	.A3(n_26073),
+	.A4(brqrv_top_brqrv_dbg_n_4261),
+	.B1(n_26671),
+	.Y(brqrv_top_brqrv_dbg_command_regno_wren), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746412 (
+	.A(n_26828),
+	.B(n_26009),
+	.Y(n_26960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g746413 (
+	.A1(n_30438),
+	.A2(n_26184),
+	.B1(n_6400),
+	.Y(n_26959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g746414 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_n_865),
+	.A2(n_26362),
+	.B1(n_24370),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_n_430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g746415 (
+	.A(n_25719),
+	.B(n_25953),
+	.C(brqrv_top_brqrv_dec_dec_pause_state),
+	.D(n_25297),
+	.Y(n_26958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g746416 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitctl1_0_b),
+	.B(n_25207),
+	.C(n_24021),
+	.D(n_25911),
+	.Y(n_27081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746417 (
+	.A(n_26693),
+	.B(n_26002),
+	.Y(n_27080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g746418 (
+	.A_N(n_26575),
+	.B(n_26571),
+	.Y(n_27079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746419 (
+	.A(brqrv_top_brqrv_dec_decode_d_t[5]),
+	.B(n_26577),
+	.Y(n_27078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g746420 (
+	.A1(n_25898),
+	.A2(n_24136),
+	.B1(n_25899),
+	.B2(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[4]),
+	.C1(brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f),
+	.Y(n_27077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g746421 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[13]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[14]),
+	.C(n_25502),
+	.Y(n_27076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g746422 (
+	.A(n_26816),
+	.B_N(n_26813),
+	.Y(brqrv_top_brqrv_lsu_stbuf_reqvld_flushed_any), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g746423 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[27]),
+	.A2(n_26306),
+	.B1_N(n_24030),
+	.Y(n_27075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746424 (
+	.A(n_26695),
+	.B(n_26002),
+	.Y(n_27074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 g746425 (
+	.A(brqrv_top_brqrv_picm_rdaddr[0]),
+	.B(brqrv_top_brqrv_picm_rdaddr[1]),
+	.C_N(brqrv_top_brqrv_lsu_lsu_pkt_d[9]),
+	.X(n_27073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746426 (
+	.A(n_26826),
+	.B(n_26288),
+	.Y(brqrv_top_brqrv_dec_tlu_dbg_halt_state_ns), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746427 (
+	.A(n_26627),
+	.B(n_32554),
+	.Y(n_27071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g746428 (
+	.A1(n_38488),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[27]),
+	.B1(n_24497),
+	.B2(n_25960),
+	.C1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[11]),
+	.Y(n_27070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746429 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteen[2]),
+	.B(n_26731),
+	.Y(n_27069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g746430 (
+	.A(brqrv_top_brqrv_dec_tlu_exc_or_int_valid_r),
+	.B_N(n_26824),
+	.Y(n_27068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746431 (
+	.A(n_26824),
+	.B(brqrv_top_brqrv_dec_tlu_exc_or_int_valid_r),
+	.Y(n_27067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4b_1 g746432 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wraddr_r[5]),
+	.B(n_29924),
+	.C(n_25990),
+	.D_N(n_29916),
+	.X(n_27066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746433 (
+	.A(n_26577),
+	.B(n_30519),
+	.Y(n_27064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g746434 (
+	.A(n_26781),
+	.B_N(brqrv_top_brqrv_dec_decode_x_d[3]),
+	.Y(n_27063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746435 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3460),
+	.B(n_26714),
+	.Y(n_27062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746436 (
+	.A(n_31816),
+	.B(n_26713),
+	.Y(n_27061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746437 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3467),
+	.B(n_26715),
+	.Y(n_27060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g746438 (
+	.A(n_32733),
+	.B(n_26785),
+	.X(n_27059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746439 (
+	.A(n_29918),
+	.B(n_26716),
+	.Y(n_27058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g746440 (
+	.A(n_32759),
+	.B(n_26785),
+	.X(n_27057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g746441 (
+	.A(n_32758),
+	.B(n_26785),
+	.X(n_27056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g746442 (
+	.A1(n_26247),
+	.A2(n_31500),
+	.B1(n_25399),
+	.Y(n_27055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g746443 (
+	.A(n_32760),
+	.B(n_26785),
+	.X(n_27054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746444 (
+	.A(n_26787),
+	.B(n_26795),
+	.Y(brqrv_top_brqrv_lsu_nonblock_load_tag_m[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746445 (
+	.A(n_26731),
+	.B(n_31444),
+	.Y(n_27052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746446 (
+	.A(n_26731),
+	.B(n_32502),
+	.Y(n_27051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746447 (
+	.A(n_26731),
+	.B(n_31244),
+	.Y(n_27050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746448 (
+	.A(n_26731),
+	.B(n_32442),
+	.Y(n_27049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g746449 (
+	.A1(brqrv_top_brqrv_dec_tlu_dbg_tlu_halted),
+	.A2(n_26309),
+	.B1_N(brqrv_top_brqrv_dec_tlu_enter_debug_halt_req),
+	.Y(n_27047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746450 (
+	.A(n_32758),
+	.B(n_26786),
+	.Y(n_27046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746451 (
+	.A(n_32759),
+	.B(n_26786),
+	.Y(n_27044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746452 (
+	.A(n_32760),
+	.B(n_26786),
+	.Y(n_27042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746453 (
+	.A(n_32733),
+	.B(n_26786),
+	.Y(n_27040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746454 (
+	.A(n_24030),
+	.B(n_26123),
+	.Y(n_27038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g746455 (
+	.A1(n_30438),
+	.A2(n_26274),
+	.B1(n_25383),
+	.Y(n_27037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746456 (
+	.A(n_26521),
+	.B(n_33354),
+	.Y(n_27036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746457 (
+	.A(brqrv_top_dmi_reg_addr[0]),
+	.B(n_26818),
+	.Y(n_27035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g746458 (
+	.A(n_26817),
+	.B(brqrv_top_dmi_reg_addr[0]),
+	.X(n_27034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g746459 (
+	.A(n_26926),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g746460 (
+	.A(n_26925),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g746461 (
+	.A(n_26924),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g746462 (
+	.A(n_26923),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g746463 (
+	.A(n_26922),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g746464 (
+	.A(n_26921),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g746465 (
+	.A(n_26920),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g746466 (
+	.A(brqrv_top_dccm_rd_addr_lo[7]),
+	.Y(n_26955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g746467 (
+	.A(brqrv_top_dccm_rd_addr_lo[11]),
+	.Y(n_26954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g746469 (
+	.A1(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_n_10 ),
+	.A2(n_24439),
+	.B1(n_26512),
+	.Y(n_26932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g746470 (
+	.A1(n_24133),
+	.A2(n_26077),
+	.B1(n_24114),
+	.B2(n_31137),
+	.C1(n_25784),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dualtagff_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g746471 (
+	.A1(n_24135),
+	.A2(n_26077),
+	.B1(n_24113),
+	.B2(n_31137),
+	.C1(n_25783),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dualtagff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g746472 (
+	.A1(n_24133),
+	.A2(n_26078),
+	.B1(n_24114),
+	.B2(n_32307),
+	.C1(n_25781),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dualtagff_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g746473 (
+	.A1(n_24135),
+	.A2(n_26078),
+	.B1(n_24113),
+	.B2(n_32307),
+	.C1(n_25780),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dualtagff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g746474 (
+	.A1(n_24133),
+	.A2(n_26079),
+	.B1(n_24114),
+	.B2(n_31493),
+	.C1(n_25776),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dualtagff_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g746475 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[33]),
+	.A2(n_26018),
+	.B1(n_26015),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[97]),
+	.C1(n_26019),
+	.C2(brqrv_top_brqrv_dma_ctrl_n_583),
+	.Y(n_26931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g746476 (
+	.A1(n_24135),
+	.A2(n_26079),
+	.B1(n_24113),
+	.B2(n_31493),
+	.C1(n_25774),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dualtagff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g746477 (
+	.A1(n_23310),
+	.A2(n_25409),
+	.B1(n_31301),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[5]),
+	.C1(n_26440),
+	.X(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_byteenff_n_11 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g746478 (
+	.A1(n_24339),
+	.A2(n_25409),
+	.B1(n_32440),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[1]),
+	.C1(n_26438),
+	.X(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_byteenff_n_11 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g746479 (
+	.A1(n_23109),
+	.A2(n_25409),
+	.B1(n_31494),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[13]),
+	.C1(n_26437),
+	.X(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_byteenff_n_11 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g746480 (
+	.A1(n_23309),
+	.A2(n_25409),
+	.B1(n_32453),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[9]),
+	.C1(n_26435),
+	.X(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_byteenff_n_11 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g746481 (
+	.A1(brqrv_top_brqrv_dma_ctrl_n_578),
+	.A2(n_26019),
+	.B1(n_26015),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[96]),
+	.C1(n_26013),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_addr[64]),
+	.Y(n_26930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g746482 (
+	.A1(n_24133),
+	.A2(n_26080),
+	.B1(n_24114),
+	.B2(n_31140),
+	.C1(n_25764),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dualtagff_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g746483 (
+	.A1(n_24135),
+	.A2(n_26080),
+	.B1(n_24113),
+	.B2(n_31140),
+	.C1(n_25820),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dualtagff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746484 (
+	.A1(n_25744),
+	.A2(n_24052),
+	.B1(n_1703),
+	.B2(n_32511),
+	.Y(\brqrv_top_brqrv_dec_tlu_minstretl_ns[2]_3329 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g746485 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_dbg[4]),
+	.A2(n_26011),
+	.B1(n_26018),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_dbg[1]),
+	.C1(n_26015),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_dbg[3]),
+	.Y(n_26929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g746486 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_valid[3]),
+	.A2(n_26015),
+	.B1(n_26019),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_valid[0]),
+	.C1(n_26018),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_valid[1]),
+	.Y(n_26928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g746487 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_done[4]),
+	.A2(n_26011),
+	.B1(n_26019),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_done[0]),
+	.C1(n_26018),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_done[1]),
+	.Y(n_26927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g746488 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[15]),
+	.A2(n_25368),
+	.B1(n_26045),
+	.B2(n_33243),
+	.C1(n_33242),
+	.C2(brqrv_top_brqrv_lsu_ldst_dual_r),
+	.Y(n_26926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g746489 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[14]),
+	.A2(n_25368),
+	.B1(n_26045),
+	.B2(n_33241),
+	.C1(n_33240),
+	.C2(brqrv_top_brqrv_lsu_ldst_dual_r),
+	.Y(n_26925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g746490 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[13]),
+	.A2(n_25368),
+	.B1(n_26045),
+	.B2(n_33239),
+	.C1(n_33238),
+	.C2(brqrv_top_brqrv_lsu_ldst_dual_r),
+	.Y(n_26924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g746491 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[12]),
+	.A2(n_25368),
+	.B1(n_26045),
+	.B2(n_33237),
+	.C1(n_33236),
+	.C2(brqrv_top_brqrv_lsu_ldst_dual_r),
+	.Y(n_26923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g746492 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[11]),
+	.A2(n_25368),
+	.B1(n_26045),
+	.B2(n_33235),
+	.C1(n_33234),
+	.C2(brqrv_top_brqrv_lsu_ldst_dual_r),
+	.Y(n_26922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g746493 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[9]),
+	.A2(n_25368),
+	.B1(n_26045),
+	.B2(n_33231),
+	.C1(n_33230),
+	.C2(brqrv_top_brqrv_lsu_ldst_dual_r),
+	.Y(n_26921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g746494 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[8]),
+	.A2(n_25368),
+	.B1(n_26045),
+	.B2(n_33229),
+	.C1(n_33228),
+	.C2(brqrv_top_brqrv_lsu_ldst_dual_r),
+	.Y(n_26920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746495 (
+	.A1(n_25745),
+	.A2(n_24052),
+	.B1(n_1701),
+	.B2(n_32511),
+	.Y(\brqrv_top_brqrv_dec_tlu_minstretl_ns[4]_3331 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g746496 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill_en[0]),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill_en[1]),
+	.C(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill_en[2]),
+	.X(n_26919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746497 (
+	.A1(n_25746),
+	.A2(n_24289),
+	.B1(n_1703),
+	.B2(n_31511),
+	.Y(\brqrv_top_brqrv_dec_tlu_mcyclel_ns[2]_3318 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g746498 (
+	.A_N(n_31518),
+	.B(brqrv_top_brqrv_dbg_dmstatus_halted),
+	.C(n_26130),
+	.D(brqrv_top_dmi_reg_addr[6]),
+	.Y(n_26918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g746499 (
+	.A1(n_26252),
+	.A2(n_25353),
+	.B1(n_26010),
+	.X(n_26917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g746500 (
+	.A1(n_26386),
+	.A2(n_25353),
+	.B1(n_26010),
+	.X(n_26916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746501 (
+	.A1(n_25747),
+	.A2(n_24289),
+	.B1(n_1701),
+	.B2(n_31511),
+	.Y(\brqrv_top_brqrv_dec_tlu_mcyclel_ns[4]_3320 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g746502 (
+	.A1(brqrv_top_brqrv_dma_mem_tag[1]),
+	.A2(n_24371),
+	.A3(n_26103),
+	.B1(n_24151),
+	.B2(n_26132),
+	.Y(brqrv_top_brqrv_dma_ctrl_RdPtr_dff_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g746503 (
+	.A1(n_26380),
+	.A2(n_26003),
+	.B1(n_26302),
+	.Y(brqrv_top_brqrv_dec_tlu_miccmect_ns[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g746504 (
+	.A1(n_26381),
+	.A2(n_26003),
+	.B1(n_26303),
+	.Y(brqrv_top_brqrv_dec_tlu_miccmect_ns[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g746505 (
+	.A1(n_26380),
+	.A2(n_26004),
+	.B1(n_26304),
+	.Y(brqrv_top_brqrv_dec_tlu_mdccmect_ns[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g746506 (
+	.A1(n_26381),
+	.A2(n_26004),
+	.B1(n_26305),
+	.Y(brqrv_top_brqrv_dec_tlu_mdccmect_ns[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g746507 (
+	.A1(brqrv_top_brqrv_dbg_sbcs_reg_int[15]),
+	.A2(n_29891),
+	.A3(brqrv_top_dmi_reg_en),
+	.B1(brqrv_top_brqrv_dbg_sbcs_reg[20]),
+	.B2(n_24029),
+	.Y(n_26915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g746508 (
+	.A1_N(brqrv_top_brqrv_dma_ctrl_fifo_sz[12]),
+	.A2_N(n_26350),
+	.B1(n_25388),
+	.B2(n_26350),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_sz_dff_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g746509 (
+	.A1_N(brqrv_top_brqrv_dma_ctrl_fifo_sz[13]),
+	.A2_N(n_26350),
+	.B1(n_25386),
+	.B2(n_26350),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_sz_dff_n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g746510 (
+	.A1_N(brqrv_top_brqrv_dma_ctrl_fifo_sz[9]),
+	.A2_N(n_26345),
+	.B1(n_25388),
+	.B2(n_26345),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_sz_dff_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g746511 (
+	.A1_N(brqrv_top_brqrv_dma_ctrl_fifo_sz[10]),
+	.A2_N(n_26345),
+	.B1(n_25386),
+	.B2(n_26345),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_sz_dff_n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g746512 (
+	.A(brqrv_top_brqrv_dec_tlu_minstretl[3]),
+	.B(n_25067),
+	.Y(n_26914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g746513 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_minstretl[5]),
+	.A2_N(n_25524),
+	.B1(brqrv_top_brqrv_dec_tlu_minstretl[5]),
+	.B2(n_25524),
+	.Y(n_26913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g746514 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel[3]),
+	.B(n_25068),
+	.Y(n_26912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g746515 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mcyclel[5]),
+	.A2_N(n_25509),
+	.B1(brqrv_top_brqrv_dec_tlu_mcyclel[5]),
+	.B2(n_25509),
+	.Y(n_26911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 g746516 (
+	.A0(n_26311),
+	.A1(n_26310),
+	.S(brqrv_top_brqrv_ifu_aln_q1ptr),
+	.X(n_26910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g746517 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteen[3]),
+	.A2_N(n_31499),
+	.B1(n_24126),
+	.B2(n_24019),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteenff_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g746518 (
+	.A1(n_25431),
+	.A2(n_30461),
+	.A3(brqrv_top_brqrv_dec_tlu_int_timer1_int_hold_f),
+	.B1(n_26422),
+	.B2(brqrv_top_brqrv_dec_tlu_mip[3]),
+	.Y(n_26909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746519 (
+	.A1(n_26336),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[289]),
+	.B1(n_26340),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[225]),
+	.Y(n_26908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746520 (
+	.A1(n_26333),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[97]),
+	.B1(n_26338),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[161]),
+	.Y(n_26907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g746521 (
+	.A1(n_26253),
+	.A2(n_25353),
+	.B1(n_26010),
+	.X(n_26906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746522 (
+	.A1(brqrv_top_brqrv_ifu_aln_q0ptr),
+	.A2(n_26291),
+	.B1(n_26396),
+	.B2(n_5325),
+	.Y(n_26905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g746523 (
+	.A1(brqrv_top_brqrv_ifu_aln_q0ptr),
+	.A2(n_26308),
+	.B1(n_5325),
+	.B2(n_26403),
+	.Y(n_26904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746524 (
+	.A1(brqrv_top_brqrv_dec_tlu_wr_mdccmect_r),
+	.A2(n_26384),
+	.B1(n_26004),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[28]),
+	.X(brqrv_top_brqrv_dec_tlu_mdccmect_ns[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746525 (
+	.A1(brqrv_top_brqrv_dec_tlu_wr_miccmect_r),
+	.A2(n_26384),
+	.B1(n_26003),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[28]),
+	.X(brqrv_top_brqrv_dec_tlu_miccmect_ns[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g746526 (
+	.A1(n_24234),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[20]),
+	.B1(n_25584),
+	.C1(n_26271),
+	.Y(n_26903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g746528 (
+	.A1(n_26100),
+	.A2(brqrv_top_brqrv_ifu_aln_q2off),
+	.B1(n_26389),
+	.B2(n_5302),
+	.C1(n_25288),
+	.Y(n_26901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g746529 (
+	.A1(n_25510),
+	.A2(n_26020),
+	.B1(n_26459),
+	.Y(n_26900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g746530 (
+	.A1(n_25407),
+	.A2(n_32502),
+	.B1(n_24126),
+	.B2(n_26077),
+	.C1(n_25199),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_byteenff_n_13 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32a_1 g746531 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[4]),
+	.A2(n_25896),
+	.A3(brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f),
+	.B1(n_24136),
+	.B2(n_26409),
+	.X(n_26899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g746532 (
+	.A1(n_25407),
+	.A2(n_32442),
+	.B1(n_24126),
+	.B2(n_26078),
+	.C1(n_25191),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_byteenff_n_13 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g746533 (
+	.A1(n_25407),
+	.A2(n_31244),
+	.B1(n_24126),
+	.B2(n_26079),
+	.C1(n_25101),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_byteenff_n_13 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g746534 (
+	.A1(n_25407),
+	.A2(n_31444),
+	.B1(n_24126),
+	.B2(n_26080),
+	.C1(n_25184),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_byteenff_n_13 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g746535 (
+	.A1_N(brqrv_top_brqrv_dec_dec_csr_wrdata_r[0]),
+	.A2_N(n_26375),
+	.B1(n_25749),
+	.B2(n_26375),
+	.Y(brqrv_top_brqrv_dec_tlu_mfdhs_ff_n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g746536 (
+	.A1_N(brqrv_top_brqrv_dec_dec_csr_wrdata_r[1]),
+	.A2_N(n_26375),
+	.B1(n_25830),
+	.B2(n_26375),
+	.Y(brqrv_top_brqrv_dec_tlu_mfdhs_ff_n_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746537 (
+	.A1(n_26333),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[100]),
+	.B1(n_26338),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[164]),
+	.Y(n_26898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746538 (
+	.A1(n_26336),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[292]),
+	.B1(n_26340),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[228]),
+	.Y(n_26897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746539 (
+	.A1(n_24027),
+	.A2(brqrv_top_brqrv_dec_tlu_n_5619),
+	.B1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.B2(brqrv_top_brqrv_lsu_error_pkt_r[32]),
+	.Y(n_26896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746540 (
+	.A1(n_24027),
+	.A2(brqrv_top_brqrv_dec_tlu_n_5617),
+	.B1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.B2(brqrv_top_brqrv_lsu_error_pkt_r[30]),
+	.Y(n_26895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g746541 (
+	.A1(n_34072),
+	.A2(n_26010),
+	.A3(n_26087),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[11]),
+	.B2(n_24298),
+	.Y(n_26894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746542 (
+	.A1(n_24027),
+	.A2(brqrv_top_brqrv_dec_tlu_n_5603),
+	.B1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.B2(brqrv_top_brqrv_lsu_error_pkt_r[16]),
+	.Y(n_26893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746543 (
+	.A1(n_24027),
+	.A2(brqrv_top_brqrv_dec_tlu_n_5596),
+	.B1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.B2(brqrv_top_brqrv_lsu_error_pkt_r[9]),
+	.Y(n_26892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746544 (
+	.A1(n_24027),
+	.A2(brqrv_top_brqrv_dec_tlu_n_5595),
+	.B1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.B2(brqrv_top_brqrv_lsu_error_pkt_r[8]),
+	.Y(n_26891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746545 (
+	.A1(n_24027),
+	.A2(brqrv_top_brqrv_dec_tlu_n_5592),
+	.B1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.B2(brqrv_top_brqrv_lsu_error_pkt_r[5]),
+	.Y(n_26890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746546 (
+	.A1(n_24027),
+	.A2(brqrv_top_brqrv_dec_tlu_n_5591),
+	.B1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.B2(brqrv_top_brqrv_lsu_error_pkt_r[4]),
+	.Y(n_26889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g746547 (
+	.A1(n_34064),
+	.A2(n_26010),
+	.A3(n_26075),
+	.B1(n_32519),
+	.B2(n_467),
+	.Y(n_26888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746548 (
+	.A1(brqrv_top_brqrv_dbg_command_reg[29]),
+	.A2(n_26341),
+	.B1(n_29892),
+	.B2(brqrv_top_brqrv_dbg_sbdata1_reg[29]),
+	.Y(n_26887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g746549 (
+	.A1(n_25538),
+	.A2(brqrv_top_brqrv_dec_tlu_meicurpl[1]),
+	.B1(n_25441),
+	.B2(n_25712),
+	.C1(n_25955),
+	.X(n_26886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746550 (
+	.A1(brqrv_top_brqrv_dbg_cmd_size[1]),
+	.A2(n_26341),
+	.B1(brqrv_top_brqrv_dbg_sbcs_reg[21]),
+	.B2(n_25352),
+	.Y(n_26885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746551 (
+	.A1(brqrv_top_brqrv_dbg_command_reg[15]),
+	.A2(n_26341),
+	.B1(n_29891),
+	.B2(brqrv_top_brqrv_dbg_sbdata0_reg[15]),
+	.Y(n_26884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746552 (
+	.A1(brqrv_top_brqrv_dbg_command_reg[14]),
+	.A2(n_26341),
+	.B1(n_29891),
+	.B2(brqrv_top_brqrv_dbg_sbdata0_reg[14]),
+	.Y(n_26883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746553 (
+	.A1(n_26333),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[127]),
+	.B1(n_26338),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[191]),
+	.Y(n_26882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746554 (
+	.A1(n_26336),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[319]),
+	.B1(n_26340),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[255]),
+	.Y(n_26881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746555 (
+	.A1(brqrv_top_brqrv_dbg_command_reg[13]),
+	.A2(n_26341),
+	.B1(n_29892),
+	.B2(brqrv_top_brqrv_dbg_sbdata1_reg[13]),
+	.Y(n_26880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746556 (
+	.A1(brqrv_top_brqrv_dbg_command_reg[12]),
+	.A2(n_26341),
+	.B1(brqrv_top_brqrv_dbg_sbcs_reg[12]),
+	.B2(n_25352),
+	.X(n_26879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746557 (
+	.A1(brqrv_top_brqrv_dbg_abstractcs_reg[10]),
+	.A2(n_26364),
+	.B1(brqrv_top_brqrv_dbg_sbaddress0_reg[10]),
+	.B2(n_26005),
+	.Y(n_26878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746558 (
+	.A1(brqrv_top_brqrv_dbg_abstractcs_reg[9]),
+	.A2(n_26364),
+	.B1(n_29892),
+	.B2(brqrv_top_brqrv_dbg_sbdata1_reg[9]),
+	.Y(n_26877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746559 (
+	.A1(brqrv_top_brqrv_dbg_abstractcs_reg[8]),
+	.A2(n_26364),
+	.B1(brqrv_top_brqrv_dbg_command_reg[8]),
+	.B2(n_26341),
+	.Y(n_26876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746560 (
+	.A1(brqrv_top_brqrv_dbg_command_reg[7]),
+	.A2(n_26341),
+	.B1(n_29892),
+	.B2(brqrv_top_brqrv_dbg_sbdata1_reg[7]),
+	.Y(n_26875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746561 (
+	.A1(n_26333),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[126]),
+	.B1(n_26338),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[190]),
+	.Y(n_26874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746562 (
+	.A1(n_26336),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[318]),
+	.B1(n_26340),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[254]),
+	.Y(n_26873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746563 (
+	.A1(n_26334),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1304),
+	.B1(n_26338),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[189]),
+	.Y(n_26872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746564 (
+	.A1(brqrv_top_brqrv_dbg_command_reg[2]),
+	.A2(n_26341),
+	.B1(n_29892),
+	.B2(brqrv_top_brqrv_dbg_sbdata1_reg[2]),
+	.Y(n_26871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746565 (
+	.A1(brqrv_top_brqrv_dbg_command_reg[1]),
+	.A2(n_26341),
+	.B1(n_29891),
+	.B2(brqrv_top_brqrv_dbg_sbdata0_reg[1]),
+	.Y(n_26870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746566 (
+	.A1(n_26334),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1299),
+	.B1(n_26333),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[124]),
+	.Y(n_26869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746567 (
+	.A1(n_26336),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[315]),
+	.B1(n_26340),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[251]),
+	.Y(n_26868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746568 (
+	.A1(n_26333),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[123]),
+	.B1(n_26338),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[187]),
+	.Y(n_26867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746569 (
+	.A1(n_26334),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1289),
+	.B1(n_26333),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[122]),
+	.Y(n_26866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746570 (
+	.A1(n_26336),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[313]),
+	.B1(n_26340),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[249]),
+	.Y(n_26865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746571 (
+	.A1(n_26333),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[121]),
+	.B1(n_26338),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[185]),
+	.Y(n_26864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746572 (
+	.A1(n_26333),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[120]),
+	.B1(n_26338),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[184]),
+	.Y(n_26863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746573 (
+	.A1(n_26336),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[312]),
+	.B1(n_26340),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[248]),
+	.Y(n_26862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746574 (
+	.A1(n_26333),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[103]),
+	.B1(n_26338),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[167]),
+	.Y(n_26861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g746575 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[5]),
+	.A2_N(n_25446),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[5]),
+	.B2(n_25446),
+	.Y(n_26860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g746576 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[3]),
+	.A2_N(n_25552),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[3]),
+	.B2(n_25552),
+	.Y(n_26859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746577 (
+	.A1(n_26333),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[119]),
+	.B1(n_26338),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[183]),
+	.Y(n_26858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746578 (
+	.A1(n_26336),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[311]),
+	.B1(n_26340),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[247]),
+	.Y(n_26857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746579 (
+	.A1(n_26334),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1269),
+	.B1(n_26338),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[182]),
+	.Y(n_26856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746580 (
+	.A1(n_26334),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1264),
+	.B1(n_26338),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[181]),
+	.Y(n_26855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746581 (
+	.A1(n_26336),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[308]),
+	.B1(n_26340),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[244]),
+	.Y(n_26854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746582 (
+	.A1(n_26333),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[116]),
+	.B1(n_26338),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[180]),
+	.Y(n_26853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746583 (
+	.A1(n_26333),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[115]),
+	.B1(n_26338),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[179]),
+	.Y(n_26852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746584 (
+	.A1(n_26336),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[307]),
+	.B1(n_26340),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[243]),
+	.Y(n_26851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g746585 (
+	.A1_N(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.A2_N(n_33549),
+	.B1(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.B2(n_26257),
+	.Y(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g746586 (
+	.A1_N(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.A2_N(n_33522),
+	.B1(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.B2(n_26417),
+	.Y(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746587 (
+	.A1(n_26336),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[304]),
+	.B1(n_26340),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[240]),
+	.Y(n_26850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746588 (
+	.A1(n_26333),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[112]),
+	.B1(n_26338),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[176]),
+	.Y(n_26849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g746589 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[5]),
+	.A2_N(n_25529),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[5]),
+	.B2(n_25529),
+	.Y(n_26848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g746590 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[3]),
+	.A2_N(n_25528),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[3]),
+	.B2(n_25528),
+	.Y(n_26847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746591 (
+	.A1(n_26336),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[303]),
+	.B1(n_26340),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[239]),
+	.Y(n_26846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746592 (
+	.A1(n_26333),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[111]),
+	.B1(n_26338),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[175]),
+	.Y(n_26845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 g746593 (
+	.A0(n_26298),
+	.A1(n_26285),
+	.S(brqrv_top_brqrv_ifu_aln_q1ptr),
+	.X(n_26844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g746594 (
+	.A1_N(n_33538),
+	.A2_N(n_25348),
+	.B1(n_26417),
+	.B2(n_25349),
+	.Y(brqrv_top_brqrv_ifu_ic_data_f[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746595 (
+	.A1(n_26333),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[108]),
+	.B1(n_26338),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[172]),
+	.Y(n_26843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g746596 (
+	.A1(n_25348),
+	.A2(n_33522),
+	.B1(n_25350),
+	.B2(n_33538),
+	.X(brqrv_top_brqrv_ifu_ic_data_f[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746597 (
+	.A1(n_26336),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[300]),
+	.B1(n_26340),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[236]),
+	.Y(n_26842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746598 (
+	.A1(n_26336),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[299]),
+	.B1(n_26340),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[235]),
+	.Y(n_26841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746599 (
+	.A1(n_26333),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[107]),
+	.B1(n_26338),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[171]),
+	.Y(n_26840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746600 (
+	.A1(n_26333),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[106]),
+	.B1(n_26338),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[170]),
+	.Y(n_26839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746601 (
+	.A1(n_26336),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[298]),
+	.B1(n_26340),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[234]),
+	.Y(n_26838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746602 (
+	.A1(n_26333),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[105]),
+	.B1(n_26338),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[169]),
+	.Y(n_26837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746603 (
+	.A1(n_26336),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[297]),
+	.B1(n_26340),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[233]),
+	.Y(n_26836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g746604 (
+	.A1(n_26336),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[295]),
+	.B1(n_26340),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[231]),
+	.Y(n_26835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g746605 (
+	.A1_N(n_33033),
+	.A2_N(n_26390),
+	.B1(n_33033),
+	.B2(n_26390),
+	.Y(n_26834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g746606 (
+	.A1_N(n_33035),
+	.A2_N(n_26394),
+	.B1(n_33035),
+	.B2(n_26394),
+	.Y(n_26833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g746607 (
+	.A1_N(n_33031),
+	.A2_N(n_26393),
+	.B1(n_33031),
+	.B2(n_26393),
+	.Y(n_26832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g746608 (
+	.A1_N(n_33037),
+	.A2_N(n_26402),
+	.B1(n_33037),
+	.B2(n_26402),
+	.Y(n_26831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g746609 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_valid[4]),
+	.B(n_26254),
+	.X(n_26957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g746610 (
+	.A1(n_25361),
+	.A2(n_31225),
+	.B1(n_26430),
+	.Y(brqrv_top_brqrv_exu_ghr_d_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g746611 (
+	.A1(n_25940),
+	.A2(brqrv_top_brqrv_dbg_n_4432),
+	.B1(n_25095),
+	.B2(brqrv_top_brqrv_dbg_n_4428),
+	.C1(n_25096),
+	.Y(n_26956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g746612 (
+	.A(n_31517),
+	.B(n_25351),
+	.C(n_26359),
+	.Y(brqrv_top_brqrv_dbg_sbcs_wren), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g746613 (
+	.A1_N(n_25499),
+	.A2_N(n_26411),
+	.B1(n_25499),
+	.B2(n_26411),
+	.Y(brqrv_top_dccm_rd_addr_lo[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g746614 (
+	.A1_N(n_25514),
+	.A2_N(n_26410),
+	.B1(n_25514),
+	.B2(n_26410),
+	.Y(brqrv_top_dccm_rd_addr_lo[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g746615 (
+	.A1(n_354405_BAR),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [3]),
+	.B1(n_26474),
+	.Y(n_26953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g746616 (
+	.A1(n_354405_BAR),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [16]),
+	.B1(n_26481),
+	.Y(n_26952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g746617 (
+	.A1(n_354405_BAR),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [20]),
+	.B1(n_26485),
+	.Y(n_26951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g746618 (
+	.A1(n_354405_BAR),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [24]),
+	.B1(n_26487),
+	.Y(n_26950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g746619 (
+	.A1(n_354405_BAR),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [25]),
+	.B1(n_26488),
+	.Y(n_26949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g746620 (
+	.A1(n_354405_BAR),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [26]),
+	.B1(n_26489),
+	.Y(n_26948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g746621 (
+	.A1(n_354405_BAR),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [14]),
+	.B1(n_26469),
+	.Y(n_26947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g746622 (
+	.A1(n_354405_BAR),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [2]),
+	.B1(n_26473),
+	.Y(n_26946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g746623 (
+	.A1(n_354405_BAR),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [27]),
+	.B1(n_26490),
+	.Y(n_26945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g746624 (
+	.A1(n_354405_BAR),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [28]),
+	.B1(n_26491),
+	.Y(n_26944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g746625 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [0]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [4]),
+	.B1(n_26475),
+	.Y(n_26943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g746626 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [0]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [6]),
+	.B1(n_26476),
+	.Y(n_26942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g746627 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [0]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [17]),
+	.B1(n_26482),
+	.Y(n_26941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g746628 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [0]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [18]),
+	.B1(n_26483),
+	.Y(n_26940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g746629 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [0]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [19]),
+	.B1(n_26484),
+	.Y(n_26939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g746630 (
+	.A1(n_354405_BAR),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [13]),
+	.B1(n_26479),
+	.Y(n_26938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g746631 (
+	.A1(n_354405_BAR),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [11]),
+	.B1(n_26478),
+	.Y(n_26937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g746632 (
+	.A1(n_354405_BAR),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [1]),
+	.B1(n_26472),
+	.Y(n_26936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g746633 (
+	.A1(n_354405_BAR),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [15]),
+	.B1(n_26480),
+	.Y(n_26935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g746634 (
+	.A1(n_354405_BAR),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [10]),
+	.B1(n_26477),
+	.Y(n_26934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g746635 (
+	.A_N(n_26141),
+	.B(n_26423),
+	.C(brqrv_top_brqrv_dbg_sbcs_reg_int[16]),
+	.X(n_26933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g746636 (
+	.A(n_26661),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_szff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g746637 (
+	.A(n_26659),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_szff_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g746638 (
+	.A(n_26658),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_sideeffectff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g746639 (
+	.A(n_26645),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_szff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g746640 (
+	.A(n_26643),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_szff_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g746641 (
+	.A(n_26829),
+	.Y(n_26830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g746642 (
+	.A(n_26817),
+	.Y(n_26818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g746643 (
+	.A(n_26814),
+	.Y(n_26815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g746645 (
+	.A(n_26809),
+	.Y(n_26810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g746646 (
+	.A(n_26807),
+	.Y(n_26808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g746647 (
+	.A(n_26803),
+	.Y(brqrv_top_brqrv_dbg_dmstatus_resumeack_din), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g746648 (
+	.A(n_26798),
+	.Y(n_26799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g746649 (
+	.A(n_26774),
+	.Y(n_26773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g746650 (
+	.A(n_26772),
+	.Y(n_26771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g746651 (
+	.A(n_26770),
+	.Y(n_26769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g746652 (
+	.A(n_26768),
+	.Y(n_26767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g746653 (
+	.A(n_26765),
+	.Y(n_26766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g746654 (
+	.A(n_26764),
+	.Y(n_26763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g746655 (
+	.A(n_26762),
+	.Y(n_26761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g746656 (
+	.A(n_26760),
+	.Y(n_26759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g746657 (
+	.A(n_26757),
+	.Y(n_26758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g746658 (
+	.A(n_26755),
+	.Y(n_26756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g746659 (
+	.A(n_26748),
+	.Y(n_26749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g746660 (
+	.A(n_26746),
+	.Y(n_26745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g746661 (
+	.A(n_26744),
+	.Y(n_26743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g746662 (
+	.A(n_26740),
+	.Y(n_26739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g746663 (
+	.A(n_26738),
+	.Y(n_26737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g746664 (
+	.A(n_26735),
+	.Y(n_26734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g746665 (
+	.A(n_26729),
+	.Y(n_26730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g746666 (
+	.A(n_26712),
+	.Y(n_26711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g746667 (
+	.A(n_26710),
+	.Y(n_26709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g746668 (
+	.A(n_26707),
+	.Y(n_26706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g746669 (
+	.A(n_26705),
+	.Y(n_26704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g746670 (
+	.A(n_26703),
+	.Y(n_26702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g746671 (
+	.A(n_26701),
+	.Y(n_26700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g746672 (
+	.A(n_26699),
+	.Y(n_26698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g746673 (
+	.A(n_26697),
+	.Y(n_26696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g746674 (
+	.A(n_26695),
+	.Y(n_26694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g746675 (
+	.A(n_26693),
+	.Y(n_26692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g746676 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[2]),
+	.Y(n_26691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g746677 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[0]),
+	.Y(n_26690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g746678 (
+	.A(n_26689),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g746679 (
+	.A(n_26688),
+	.Y(brqrv_top_brqrv_dec_tlu_wr_mcgc_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g746680 (
+	.A(n_26687),
+	.Y(n_26686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g746681 (
+	.A(n_24032),
+	.Y(n_26685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g746682 (
+	.A(n_26684),
+	.Y(brqrv_top_brqrv_dec_tlu_n_3337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g746683 (
+	.A(n_26683),
+	.Y(n_26682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g746684 (
+	.A(n_26681),
+	.Y(n_26680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g746685 (
+	.A(n_26679),
+	.Y(n_26678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g746686 (
+	.A(n_26677),
+	.Y(n_26676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g746687 (
+	.A(n_26675),
+	.Y(n_26674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g746689 (
+	.A(n_26672),
+	.Y(brqrv_top_brqrv_dec_tlu_n_3338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g746690 (
+	.A(brqrv_top_brqrv_dbg_command_wren),
+	.Y(n_26671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g746691 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[6]),
+	.B(n_25062),
+	.COUT(n_26669),
+	.SUM(n_26670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g746692 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel[6]),
+	.B(n_25063),
+	.COUT(n_26667),
+	.SUM(n_26668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g746693 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[6]),
+	.B(n_25061),
+	.COUT(n_26665),
+	.SUM(n_26666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g746694 (
+	.A(brqrv_top_brqrv_dec_tlu_minstretl[6]),
+	.B(n_25064),
+	.COUT(n_26663),
+	.SUM(n_26664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g746695 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[24]),
+	.A2(n_26008),
+	.B1(n_26344),
+	.Y(n_26662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g746696 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[3]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[31]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_n_25 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g746697 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[3]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[30]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_n_24 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g746698 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[3]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[29]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_n_23 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g746699 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[3]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[28]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_n_22 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g746700 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[3]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[27]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_n_21 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g746701 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[3]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[26]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_n_20 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g746702 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[3]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[25]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_n_19 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g746703 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[3]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[24]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_n_18 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g746704 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[4]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[39]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_n_25 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g746705 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[4]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[38]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_n_24 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g746706 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[4]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[37]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_n_23 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g746707 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[4]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[36]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_n_22 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g746708 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[4]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[35]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_n_21 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g746709 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[4]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[34]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_n_20 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g746710 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[4]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[33]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_n_19 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g746711 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[4]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_byteen[32]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_n_18 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746713 (
+	.A(n_31471),
+	.B(n_26371),
+	.Y(brqrv_top_brqrv_dec_tlu_wr_mhpme6_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746714 (
+	.A(n_31477),
+	.B(n_26371),
+	.Y(brqrv_top_brqrv_dec_tlu_wr_mhpme3_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746715 (
+	.A(brqrv_top_brqrv_dec_tlu_n_444),
+	.B(n_26371),
+	.Y(brqrv_top_brqrv_dec_tlu_wr_mhpme4_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746716 (
+	.A(n_31474),
+	.B(n_26371),
+	.Y(brqrv_top_brqrv_dec_tlu_wr_mhpme5_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g746717 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_sz[0]),
+	.A2(n_23109),
+	.B1(n_25357),
+	.B2(brqrv_top_brqrv_lsu_lsu_pkt_r[10]),
+	.C1(n_31494),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[6]),
+	.Y(n_26661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746718 (
+	.A(n_26382),
+	.B(brqrv_top_brqrv_ifu_ifc_state[0]),
+	.Y(n_26660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g746719 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[7]),
+	.A2(n_31494),
+	.B1(n_25357),
+	.B2(brqrv_top_brqrv_lsu_lsu_pkt_r[9]),
+	.C1(n_23109),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_sz[1]),
+	.Y(n_26659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g746720 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sideeffect[2]),
+	.A2(n_32453),
+	.B1(n_25366),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_is_sideeffects_r),
+	.C1(n_23309),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_sideeffect),
+	.Y(n_26658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746721 (
+	.A(brqrv_top_brqrv_dbg_command_reg[31]),
+	.B(n_26341),
+	.Y(n_26657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746722 (
+	.A(brqrv_top_brqrv_dbg_command_reg[30]),
+	.B(n_26341),
+	.Y(n_26656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746723 (
+	.A(brqrv_top_brqrv_dbg_command_reg[28]),
+	.B(n_26341),
+	.Y(n_26655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746724 (
+	.A(brqrv_top_brqrv_dbg_command_reg[27]),
+	.B(n_26341),
+	.Y(n_26654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746725 (
+	.A(brqrv_top_brqrv_dbg_command_reg[26]),
+	.B(n_26341),
+	.Y(n_26653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746726 (
+	.A(brqrv_top_brqrv_dbg_command_reg[25]),
+	.B(n_26341),
+	.Y(n_26652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746727 (
+	.A(brqrv_top_brqrv_dbg_command_reg[24]),
+	.B(n_26341),
+	.Y(n_26651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g746728 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[13]),
+	.A2(n_24501),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[10]),
+	.B2(n_24459),
+	.C1(n_26297),
+	.Y(n_26650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746729 (
+	.A(brqrv_top_brqrv_dbg_cmd_write),
+	.B(n_26341),
+	.Y(n_26649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746730 (
+	.A(brqrv_top_brqrv_dbg_command_reg[6]),
+	.B(n_26341),
+	.Y(n_26648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746731 (
+	.A(brqrv_top_brqrv_dbg_command_reg[5]),
+	.B(n_26341),
+	.Y(n_26647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746732 (
+	.A(brqrv_top_brqrv_dbg_command_reg[4]),
+	.B(n_26341),
+	.Y(n_26646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g746733 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[2]),
+	.A2(n_31301),
+	.B1(n_25364),
+	.B2(brqrv_top_brqrv_lsu_lsu_pkt_r[10]),
+	.C1(n_23310),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_sz[0]),
+	.Y(n_26645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g746734 (
+	.A1(brqrv_top_brqrv_dec_tlu_mrac_ff_0[17]),
+	.A2(n_24134),
+	.B1(n_25443),
+	.B2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[25]),
+	.C1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[29]),
+	.C2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[29]),
+	.Y(n_26644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g746735 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[3]),
+	.A2(n_31301),
+	.B1(n_25364),
+	.B2(brqrv_top_brqrv_lsu_lsu_pkt_r[9]),
+	.C1(n_23310),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_sz[1]),
+	.Y(n_26643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g746736 (
+	.A1(n_25398),
+	.A2(n_31504),
+	.B1(n_31869),
+	.B2(n_32309),
+	.C1(n_25205),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[96]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g746737 (
+	.A1(n_25397),
+	.A2(n_31504),
+	.B1(n_31870),
+	.B2(n_32309),
+	.C1(n_25070),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[97]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g746738 (
+	.A1(brqrv_top_brqrv_dec_tlu_pause_expired_wb),
+	.A2(n_30456),
+	.B1(n_25588),
+	.C1(n_25321),
+	.Y(n_26642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g746739 (
+	.A(n_31517),
+	.B(brqrv_top_brqrv_dbg_abstractcs_reg[12]),
+	.C_N(n_26092),
+	.Y(brqrv_top_brqrv_dbg_abstractauto_reg_wren), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g746740 (
+	.A(n_25914),
+	.B(n_25915),
+	.C(n_25918),
+	.Y(n_26641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g746741 (
+	.A1(brqrv_top_brqrv_dbg_command_reg[22]),
+	.A2(n_24276),
+	.A3(n_33474),
+	.B1(brqrv_top_brqrv_dbg_n_4261),
+	.B2(n_39166),
+	.Y(n_26640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g746742 (
+	.A(n_25715),
+	.B(n_25718),
+	.C(n_25217),
+	.Y(n_26639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g746743 (
+	.A1(n_24491),
+	.A2(brqrv_top_brqrv_exu_pred_correct_npc_r[25]),
+	.B1(n_24462),
+	.B2(brqrv_top_brqrv_exu_pred_correct_npc_r[24]),
+	.C1(n_26262),
+	.X(n_26638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g746744 (
+	.A1(n_24473),
+	.A2(brqrv_top_brqrv_dec_tlu_flush_path_r[18]),
+	.B1(n_24476),
+	.B2(brqrv_top_brqrv_dec_tlu_flush_path_r[21]),
+	.C1(n_26263),
+	.Y(n_26637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g746745 (
+	.A1(n_32816),
+	.A2(n_25365),
+	.B1(n_25468),
+	.C1(n_25251),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dualff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g746746 (
+	.A1(n_32816),
+	.A2(n_25367),
+	.B1(n_25462),
+	.C1(n_25258),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dualff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g746747 (
+	.A1(n_32816),
+	.A2(n_25363),
+	.B1(n_25466),
+	.C1(n_25116),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dualff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g746748 (
+	.A1(n_32816),
+	.A2(n_25358),
+	.B1(n_25530),
+	.C1(n_25177),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dualff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g746749 (
+	.A1(n_24110),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[30]),
+	.B1(n_24107),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[33]),
+	.C1(n_26239),
+	.Y(n_26636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g746750 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[3]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_sz[11]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_sz_dff_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g746751 (
+	.A_N(n_26374),
+	.B(brqrv_top_brqrv_dbg_dmstatus_resumeack),
+	.Y(n_26635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g746752 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[4]),
+	.B_N(brqrv_top_brqrv_dma_ctrl_fifo_sz[14]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_sz_dff_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g746753 (
+	.A1(brqrv_top_brqrv_dec_lsu_valid_raw_d),
+	.A2(brqrv_top_brqrv_dec_tlu_flush_lower_r),
+	.B1(n_26362),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g746754 (
+	.A_N(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_error_pkt_m[0] ),
+	.B(n_24370),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_n_425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g746755 (
+	.A1(n_26099),
+	.A2(brqrv_top_brqrv_dbg_sb_state[3]),
+	.B1(n_25428),
+	.X(n_26634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746756 (
+	.A(n_26320),
+	.B(n_26083),
+	.Y(n_26633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g746757 (
+	.A1(n_26007),
+	.A2(n_26144),
+	.B1(n_26084),
+	.Y(n_26632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g746758 (
+	.A1(n_26007),
+	.A2(n_26148),
+	.B1(n_26084),
+	.Y(n_26631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g746759 (
+	.A_N(n_25453),
+	.B(n_26229),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[1]_1469 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g746760 (
+	.A1(n_26007),
+	.A2(n_26147),
+	.B1(n_26084),
+	.Y(n_26630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g746761 (
+	.A1(n_26007),
+	.A2(n_26146),
+	.B1(n_26084),
+	.Y(n_26629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g746762 (
+	.A1(n_31869),
+	.A2(n_32308),
+	.B1(n_25398),
+	.B2(n_32454),
+	.C1(n_25107),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[64]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g746763 (
+	.A1(n_31869),
+	.A2(n_31300),
+	.B1(n_25398),
+	.B2(n_31302),
+	.C1(n_25284),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[32]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g746764 (
+	.A1(n_31869),
+	.A2(n_31088),
+	.B1(n_25398),
+	.B2(n_32441),
+	.C1(n_25280),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g746765 (
+	.A1(n_31870),
+	.A2(n_31088),
+	.B1(n_25397),
+	.B2(n_32441),
+	.C1(n_25279),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g746766 (
+	.A_N(n_26387),
+	.B(n_22518),
+	.Y(brqrv_top_brqrv_dec_tlu_n_3339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g746767 (
+	.A1(brqrv_top_brqrv_dec_dec_i0_instr_d[2]),
+	.A2(brqrv_top_brqrv_dec_dec_i0_instr_d[5]),
+	.B1(brqrv_top_brqrv_dec_dec_i0_instr_d[4]),
+	.C1(n_25502),
+	.X(n_26628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g746768 (
+	.A1(n_26101),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rsp_pickage[15]),
+	.B1(n_31490),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspage_in[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g746769 (
+	.A1(n_25218),
+	.A2(n_31748),
+	.B1(n_31759),
+	.C1(n_33354),
+	.X(n_26627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g746770 (
+	.A1(brqrv_top_brqrv_dec_tlu_mrac_ff_0[19]),
+	.A2(n_25553),
+	.B1(n_25443),
+	.B2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[27]),
+	.C1(n_25434),
+	.C2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[31]),
+	.Y(n_26626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g746771 (
+	.A(brqrv_top_brqrv_ifu_ifc_fb_write_f[2]),
+	.B_N(brqrv_top_brqrv_ifu_ifc_fb_write_ns[2]),
+	.Y(n_26625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746772 (
+	.A(brqrv_top_dmi_reg_wdata[14]),
+	.B(n_26359),
+	.Y(n_26624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746773 (
+	.A(brqrv_top_dmi_reg_wdata[13]),
+	.B(n_26359),
+	.Y(n_26623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746774 (
+	.A(brqrv_top_dmi_reg_wdata[12]),
+	.B(n_26359),
+	.Y(n_26622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g746775 (
+	.A1(brqrv_top_brqrv_dec_tlu_core_empty),
+	.A2(brqrv_top_brqrv_dec_dec_pause_state),
+	.A3(n_25262),
+	.B1(brqrv_top_brqrv_n_578),
+	.Y(n_26621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g746776 (
+	.A1(n_30478),
+	.A2(n_26140),
+	.B1(n_25433),
+	.Y(n_26620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 g746777 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_finish_ff ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_rq_enable ),
+	.C_N(n_31383),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_misc_enable ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746778 (
+	.A(n_26358),
+	.B(n_26040),
+	.Y(n_26619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746779 (
+	.A(n_26358),
+	.B(n_26044),
+	.Y(n_26618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746780 (
+	.A(n_26358),
+	.B(n_26037),
+	.Y(n_26617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746781 (
+	.A(n_26358),
+	.B(n_26055),
+	.Y(n_26616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746782 (
+	.A(n_26358),
+	.B(n_26060),
+	.Y(n_26615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746783 (
+	.A(n_26358),
+	.B(n_26026),
+	.Y(n_26614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746784 (
+	.A(n_26358),
+	.B(n_26028),
+	.Y(n_26613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746785 (
+	.A(n_26358),
+	.B(n_26033),
+	.Y(n_26612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g746786 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[29]),
+	.A2(n_26008),
+	.B1(n_26344),
+	.Y(n_26611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g746787 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[28]),
+	.A2(n_26008),
+	.B1(n_26344),
+	.Y(n_26610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g746788 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[12]),
+	.A2(n_26008),
+	.B1(n_26344),
+	.Y(n_26609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g746789 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_d[6]),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_d[7]),
+	.X(n_26608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g746790 (
+	.A(n_25354),
+	.B_N(n_26399),
+	.Y(n_26607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g746791 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[26]),
+	.A2(n_26008),
+	.B1(n_26344),
+	.Y(n_26606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g746792 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[9]),
+	.A2(n_26008),
+	.B1(n_26344),
+	.Y(n_26605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g746793 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[19]),
+	.A2(n_26008),
+	.B1(n_26344),
+	.Y(n_26604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g746794 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[6]),
+	.A2(n_26008),
+	.B1(n_26344),
+	.Y(n_26603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g746795 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[8]),
+	.A2(n_26008),
+	.B1(n_26344),
+	.Y(n_26602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g746796 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[10]),
+	.A2(n_26008),
+	.B1(n_26344),
+	.Y(n_26601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g746797 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[11]),
+	.A2(n_26008),
+	.B1(n_26344),
+	.Y(n_26600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g746798 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[13]),
+	.A2(n_26008),
+	.B1(n_26344),
+	.Y(n_26599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g746799 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[14]),
+	.A2(n_26008),
+	.B1(n_26344),
+	.Y(n_26598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g746800 (
+	.A1(n_25441),
+	.A2(n_25821),
+	.B1(n_26259),
+	.Y(n_26597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g746801 (
+	.A1(n_26137),
+	.A2(brqrv_top_brqrv_dma_ctrl_dma_nack_count[0]),
+	.B1(n_26407),
+	.X(brqrv_top_brqrv_dma_ctrl_nack_count_dff_n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g746802 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[15]),
+	.A2(n_26008),
+	.B1(n_26344),
+	.Y(n_26596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g746803 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[16]),
+	.A2(n_26008),
+	.B1(n_26344),
+	.Y(n_26595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g746804 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[17]),
+	.A2(n_26008),
+	.B1(n_26344),
+	.Y(n_26594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g746805 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[18]),
+	.A2(n_26008),
+	.B1(n_26344),
+	.Y(n_26593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746806 (
+	.A(n_26264),
+	.B(n_6400),
+	.Y(n_26592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g746807 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[20]),
+	.A2(n_26008),
+	.B1(n_26344),
+	.Y(n_26591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g746808 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[21]),
+	.A2(n_26008),
+	.B1(n_26344),
+	.Y(n_26590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746809 (
+	.A(n_25385),
+	.B(n_26255),
+	.Y(brqrv_top_brqrv_dec_decode_csr_data_wen), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746810 (
+	.A(n_26334),
+	.B(n_26333),
+	.Y(n_26589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g746811 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[23]),
+	.A2(n_26008),
+	.B1(n_26344),
+	.Y(n_26588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g746812 (
+	.A_N(brqrv_top_brqrv_picm_rdaddr[1]),
+	.B(n_34696),
+	.Y(n_26587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g746813 (
+	.A(n_25104),
+	.B(n_32138),
+	.C(n_25235),
+	.D(n_25480),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[31]_1631 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g746814 (
+	.A(n_25234),
+	.B(n_32137),
+	.C(n_25233),
+	.D(n_25472),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[30]_1630 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g746815 (
+	.A(n_25231),
+	.B(n_32075),
+	.C(n_25232),
+	.D(n_25480),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[29]_1629 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g746816 (
+	.A(n_25229),
+	.B(n_32076),
+	.C(n_25230),
+	.D(n_25472),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[28]_1628 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g746817 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[25]),
+	.A2(n_26008),
+	.B1(n_26344),
+	.Y(n_26586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g746818 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[27]),
+	.A2(n_26008),
+	.B1(n_26344),
+	.Y(n_26585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g746819 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[30]),
+	.A2(n_24110),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[34]),
+	.B2(n_24109),
+	.C1(n_26235),
+	.X(n_26584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g746820 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[7]),
+	.A2(n_26008),
+	.B1(n_26344),
+	.Y(n_26583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g746821 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[31]),
+	.A2(n_26008),
+	.B1(n_26344),
+	.Y(n_26582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g746822 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[5]),
+	.A2(n_26008),
+	.B1(n_26344),
+	.Y(n_26581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g746823 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[22]),
+	.A2(n_26008),
+	.B1(n_26344),
+	.Y(n_26580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g746824 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[30]),
+	.A2(n_26008),
+	.B1(n_26344),
+	.Y(n_26579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746825 (
+	.A(n_26349),
+	.B(n_26416),
+	.Y(n_26829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746826 (
+	.A(n_26379),
+	.B(n_25353),
+	.Y(n_26828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g746827 (
+	.A(brqrv_top_brqrv_n_51),
+	.B(n_24353),
+	.C(n_26066),
+	.Y(n_26827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746828 (
+	.A(n_26374),
+	.B(n_26067),
+	.Y(n_26826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g746829 (
+	.A(brqrv_top_brqrv_dbg_execute_command),
+	.B_N(n_26374),
+	.Y(n_26825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746830 (
+	.A(n_32678),
+	.B(n_26273),
+	.Y(brqrv_top_brqrv_dec_tlu_mpc_run_state_ns), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746831 (
+	.A(n_26385),
+	.B(n_26127),
+	.Y(n_26824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746832 (
+	.A(n_24024),
+	.B(n_26106),
+	.Y(n_26823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746833 (
+	.A(n_26295),
+	.B(n_26299),
+	.Y(n_26822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g746834 (
+	.A1(n_25391),
+	.A2(n_29903),
+	.B1(n_26252),
+	.X(n_26821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746835 (
+	.A(n_26406),
+	.B(n_26136),
+	.Y(n_26820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g746836 (
+	.A1(n_25360),
+	.A2(n_29903),
+	.B1(n_26253),
+	.X(n_26819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g746837 (
+	.A(brqrv_top_dmi_reg_addr[6]),
+	.B(n_31516),
+	.C_N(n_26130),
+	.Y(n_26817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g746838 (
+	.A1(n_31876),
+	.A2(n_25946),
+	.B1_N(n_32346),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_done_en[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g746839 (
+	.A1(n_31875),
+	.A2(n_25945),
+	.B1_N(n_31128),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_done_en[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g746840 (
+	.A1(n_32675),
+	.A2(n_25944),
+	.B1_N(n_31126),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_done_en[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g746841 (
+	.A1(n_25345),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_vld[0]),
+	.B1(n_25343),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_vld[1]),
+	.C1(n_26234),
+	.Y(n_26816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g746842 (
+	.A1(n_32674),
+	.A2(n_25943),
+	.B1_N(n_31124),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_done_en[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g746843 (
+	.A1(brqrv_top_brqrv_dma_mem_sz[0]),
+	.A2(n_30892),
+	.A3(brqrv_top_brqrv_dec_lsu_valid_raw_d),
+	.B1(brqrv_top_brqrv_dec_dec_i0_instr_d[4]),
+	.B2(n_25941),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g746844 (
+	.A1(brqrv_top_brqrv_dec_decode_cam_raw[25]),
+	.A2(n_24113),
+	.B1(n_25076),
+	.C1(n_25665),
+	.D1(n_33366),
+	.Y(n_26814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g746845 (
+	.A1(n_25345),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill[0]),
+	.B1(n_25343),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill[1]),
+	.C1(n_26190),
+	.X(n_26813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g746847 (
+	.A_N(n_26388),
+	.B(n_26105),
+	.Y(n_26812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41a_1 g746848 (
+	.A1(n_25316),
+	.A2(n_31603),
+	.A3(n_31597),
+	.A4(n_32607),
+	.B1(n_25404),
+	.X(n_26811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g746849 (
+	.A1(brqrv_top_brqrv_dec_decode_cam_raw[15]),
+	.A2(n_24113),
+	.B1(n_25082),
+	.C1(n_25664),
+	.D1(n_33367),
+	.Y(n_26809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g746850 (
+	.A1(brqrv_top_brqrv_dec_decode_cam_raw[35]),
+	.A2(n_24113),
+	.B1(n_25079),
+	.C1(n_25666),
+	.D1(n_33365),
+	.Y(n_26807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g746851 (
+	.A_N(n_26113),
+	.B(n_26068),
+	.C(brqrv_top_brqrv_dbg_dmstatus_halted),
+	.Y(n_26806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g746852 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3467),
+	.B(n_25921),
+	.C(n_25950),
+	.Y(n_26805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g746853 (
+	.A1(n_24025),
+	.A2(n_463),
+	.B1(n_32348),
+	.X(brqrv_top_brqrv_dma_ctrl_fifo_done_en[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g746854 (
+	.A1(n_25359),
+	.A2(n_26119),
+	.B1(n_26076),
+	.Y(n_26804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g746855 (
+	.A(n_25429),
+	.B(brqrv_top_brqrv_dbg_dbg_state[0]),
+	.C(brqrv_top_brqrv_dec_tlu_resume_ack),
+	.D(n_24122),
+	.Y(n_26803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g746856 (
+	.A1(n_26075),
+	.A2(n_29903),
+	.B1(n_26379),
+	.X(n_26802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g746857 (
+	.A1(n_24341),
+	.A2(n_25139),
+	.A3(n_31532),
+	.B1(n_25999),
+	.Y(brqrv_top_brqrv_picm_wren), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g746858 (
+	.A1(n_32772),
+	.A2(n_33473),
+	.B1_N(n_26415),
+	.Y(n_26801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g746859 (
+	.A1(n_1293),
+	.A2(n_31193),
+	.B1(n_1289),
+	.B2(n_31194),
+	.C1(n_26231),
+	.Y(n_26800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746860 (
+	.A(n_29903),
+	.B(n_26386),
+	.Y(n_26798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g746861 (
+	.A1(n_1293),
+	.A2(n_32394),
+	.B1(n_1289),
+	.B2(n_32395),
+	.C1(n_26232),
+	.Y(n_26797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g746862 (
+	.A(n_25956),
+	.B(n_25437),
+	.C(n_24365),
+	.Y(n_26796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g746863 (
+	.A1(n_25241),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_WrPtr1_r[0]),
+	.B1(n_25912),
+	.C1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3460),
+	.Y(n_26795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g746864 (
+	.A1(n_24463),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_flush_final_f),
+	.B1(n_25942),
+	.C1(n_31563),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_ifc_fetch_req_qual_bf), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g746865 (
+	.A1(n_31574),
+	.A2(n_25277),
+	.B1(n_7752),
+	.C1(n_25938),
+	.Y(brqrv_top_brqrv_ifu_ifc_fb_write_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g746867 (
+	.A1(n_5424),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[260]),
+	.B1(n_464),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[196]),
+	.C1(n_26424),
+	.Y(n_26794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g746868 (
+	.A1(n_5424),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[258]),
+	.B1(n_464),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[194]),
+	.C1(n_26425),
+	.Y(n_26793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g746869 (
+	.A1(n_5424),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[257]),
+	.B1(n_464),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[193]),
+	.C1(n_26426),
+	.Y(n_26792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g746870 (
+	.A1(n_24213),
+	.A2(n_31566),
+	.B1(n_24398),
+	.B2(n_31564),
+	.C1(n_26230),
+	.Y(brqrv_top_brqrv_ifu_ifc_fb_write_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746871 (
+	.A(n_26321),
+	.B(n_29915),
+	.Y(n_26791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746872 (
+	.A(n_26362),
+	.B(n_25414),
+	.Y(n_26790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746873 (
+	.A(n_26391),
+	.B(n_26069),
+	.Y(n_26789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g746874 (
+	.A1(n_24447),
+	.A2(n_32770),
+	.B1_N(brqrv_top_brqrv_dma_ctrl_wrbuf_cmd_sent),
+	.Y(n_26788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g746875 (
+	.A(n_25916),
+	.B(n_31816),
+	.C(n_39191),
+	.Y(n_26787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746876 (
+	.A(n_26355),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_m[9]),
+	.Y(n_26786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746877 (
+	.A(n_26355),
+	.B(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_m[1]),
+	.Y(n_26785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746878 (
+	.A(n_31060),
+	.B(n_26356),
+	.Y(n_26784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746879 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteen[0]),
+	.B(n_26372),
+	.Y(n_26783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g746880 (
+	.A1(n_25345),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[22]),
+	.B1(n_25343),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[54]),
+	.C1(n_26198),
+	.Y(n_26782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g746881 (
+	.A_N(n_33365),
+	.B(n_25439),
+	.C(n_33366),
+	.D(n_33367),
+	.Y(n_26781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g746882 (
+	.A1(n_25345),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[21]),
+	.B1(n_25343),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[53]),
+	.C1(n_26167),
+	.Y(n_26780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g746883 (
+	.A1(n_25345),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[20]),
+	.B1(n_25343),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[52]),
+	.C1(n_26166),
+	.Y(n_26779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g746884 (
+	.A1(n_25345),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[19]),
+	.B1(n_25343),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[51]),
+	.C1(n_26165),
+	.Y(n_26778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g746885 (
+	.A1(n_25345),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[18]),
+	.B1(n_25343),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[50]),
+	.C1(n_26164),
+	.Y(n_26777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g746886 (
+	.A1(n_25345),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[17]),
+	.B1(n_25343),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[49]),
+	.C1(n_26163),
+	.Y(n_26776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g746887 (
+	.A1(n_25345),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[16]),
+	.B1(n_25343),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[48]),
+	.C1(n_26162),
+	.Y(n_26775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g746888 (
+	.A1(n_25345),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[15]),
+	.B1(n_25343),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[47]),
+	.C1(n_26161),
+	.Y(n_26774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g746889 (
+	.A1(n_25345),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[14]),
+	.B1(n_25343),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[46]),
+	.C1(n_26160),
+	.Y(n_26772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g746890 (
+	.A1(n_25345),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[13]),
+	.B1(n_25343),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[45]),
+	.C1(n_26159),
+	.Y(n_26770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g746891 (
+	.A1(n_25345),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[12]),
+	.B1(n_25343),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[44]),
+	.C1(n_26158),
+	.Y(n_26768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746892 (
+	.A(n_26096),
+	.B(n_26331),
+	.Y(n_26765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g746893 (
+	.A1(n_25345),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[10]),
+	.B1(n_25343),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[42]),
+	.C1(n_26156),
+	.Y(n_26764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g746894 (
+	.A1(n_25345),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[9]),
+	.B1(n_25343),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[41]),
+	.C1(n_26155),
+	.Y(n_26762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g746895 (
+	.A1(n_25345),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[8]),
+	.B1(n_25343),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[40]),
+	.C1(n_26154),
+	.Y(n_26760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g746896 (
+	.A1(n_25345),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[7]),
+	.B1(n_25343),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[39]),
+	.C1(n_26153),
+	.Y(n_26757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g746897 (
+	.A1(n_25345),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[6]),
+	.B1(n_25343),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[38]),
+	.C1(n_26152),
+	.Y(n_26755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g746898 (
+	.A1(n_25345),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[5]),
+	.B1(n_25343),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[37]),
+	.C1(n_26151),
+	.X(n_26754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g746899 (
+	.A1(n_25345),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[4]),
+	.B1(n_25343),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[36]),
+	.C1(n_26150),
+	.X(n_26753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g746900 (
+	.A1(n_25345),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[3]),
+	.B1(n_25343),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[35]),
+	.C1(n_26183),
+	.X(n_26752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g746901 (
+	.A1(n_25345),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[2]),
+	.B1(n_25343),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[34]),
+	.C1(n_26185),
+	.X(n_26751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g746902 (
+	.A1(n_25345),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[1]),
+	.B1(n_25343),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[33]),
+	.C1(n_26187),
+	.X(n_26750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g746903 (
+	.A1(n_25345),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[0]),
+	.B1(n_25343),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[32]),
+	.C1(n_26188),
+	.Y(n_26748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g746904 (
+	.A(n_26367),
+	.B(brqrv_top_brqrv_dec_decode_x_d[3]),
+	.X(n_26747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g746905 (
+	.A1(n_25345),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[11]),
+	.B1(n_25343),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[43]),
+	.C1(n_26157),
+	.Y(n_26746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g746906 (
+	.A1(n_25345),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[31]),
+	.B1(n_25343),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[63]),
+	.C1(n_26199),
+	.Y(n_26744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g746907 (
+	.A1(n_25345),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[30]),
+	.B1(n_25343),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[62]),
+	.C1(n_26189),
+	.Y(n_26742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g746908 (
+	.A1(n_25345),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[29]),
+	.B1(n_25343),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[61]),
+	.C1(n_26171),
+	.Y(n_26741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g746909 (
+	.A1(n_25345),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[28]),
+	.B1(n_25343),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[60]),
+	.C1(n_26170),
+	.Y(n_26740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g746910 (
+	.A1(n_25345),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[27]),
+	.B1(n_25343),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[59]),
+	.C1(n_26172),
+	.Y(n_26738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g746911 (
+	.A1(n_25345),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[26]),
+	.B1(n_25343),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[58]),
+	.C1(n_26177),
+	.Y(n_26736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g746912 (
+	.A1(n_25345),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[25]),
+	.B1(n_25343),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[57]),
+	.C1(n_26182),
+	.Y(n_26735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g746913 (
+	.A1(n_25345),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[24]),
+	.B1(n_25343),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[56]),
+	.C1(n_26186),
+	.Y(n_26733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g746914 (
+	.A1(n_25345),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[23]),
+	.B1(n_25343),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[55]),
+	.C1(n_26168),
+	.Y(n_26732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746915 (
+	.A(n_26247),
+	.B(n_32501),
+	.Y(n_26731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746916 (
+	.A(n_26096),
+	.B(n_26332),
+	.Y(n_26729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746917 (
+	.A(n_26246),
+	.B(n_31500),
+	.Y(n_26728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746918 (
+	.A(n_26388),
+	.B(n_24092),
+	.Y(n_26727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g746919 (
+	.A1(n_26022),
+	.A2(n_31500),
+	.B1(n_25399),
+	.Y(n_26726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746920 (
+	.A(n_26376),
+	.B(n_24092),
+	.Y(n_26725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g746921 (
+	.A(n_32760),
+	.B(n_26356),
+	.X(n_26724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746922 (
+	.A(n_26388),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[0]),
+	.Y(n_26723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746923 (
+	.A(n_26416),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[0]),
+	.Y(n_26722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g746924 (
+	.A(n_32733),
+	.B(n_26356),
+	.X(n_26721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g746925 (
+	.A(n_32759),
+	.B(n_26356),
+	.X(n_26720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g746926 (
+	.A(n_32758),
+	.B(n_26356),
+	.X(n_26719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746927 (
+	.A(n_26376),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[0]),
+	.Y(n_26718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746928 (
+	.A(n_26395),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[0]),
+	.Y(n_26717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746929 (
+	.A(n_26372),
+	.B(n_31244),
+	.Y(n_26716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746930 (
+	.A(n_26372),
+	.B(n_31444),
+	.Y(n_26715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746931 (
+	.A(n_26372),
+	.B(n_32502),
+	.Y(n_26714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746932 (
+	.A(n_26372),
+	.B(n_32442),
+	.Y(n_26713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g746933 (
+	.A1(n_25345),
+	.A2(brqrv_top_brqrv_lsu_stbuf_n_592),
+	.B1(n_25343),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[17]),
+	.C1(n_26173),
+	.Y(n_26712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g746934 (
+	.A1(n_25345),
+	.A2(brqrv_top_brqrv_lsu_stbuf_n_588),
+	.B1(n_25343),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[16]),
+	.C1(n_26174),
+	.Y(n_26710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g746935 (
+	.A_N(brqrv_top_brqrv_dec_tlu_n_396),
+	.B(n_26370),
+	.Y(n_26708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g746936 (
+	.A1(n_25345),
+	.A2(brqrv_top_brqrv_lsu_stbuf_n_616),
+	.B1(n_25343),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[23]),
+	.C1(n_26175),
+	.Y(n_26707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g746937 (
+	.A1(n_25345),
+	.A2(brqrv_top_brqrv_lsu_stbuf_n_600),
+	.B1(n_25343),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[19]),
+	.C1(n_26176),
+	.Y(n_26705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g746938 (
+	.A1(n_25345),
+	.A2(brqrv_top_brqrv_lsu_stbuf_n_604),
+	.B1(n_25343),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[20]),
+	.C1(n_26178),
+	.Y(n_26703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g746939 (
+	.A1(n_25345),
+	.A2(brqrv_top_brqrv_lsu_stbuf_n_608),
+	.B1(n_25343),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[21]),
+	.C1(n_26179),
+	.Y(n_26701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g746940 (
+	.A1(n_25345),
+	.A2(brqrv_top_brqrv_lsu_stbuf_n_596),
+	.B1(n_25343),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[18]),
+	.C1(n_26180),
+	.Y(n_26699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g746941 (
+	.A1(n_25345),
+	.A2(brqrv_top_brqrv_lsu_stbuf_n_612),
+	.B1(n_25343),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[22]),
+	.C1(n_26181),
+	.Y(n_26697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g746942 (
+	.A1(n_25345),
+	.A2(brqrv_top_brqrv_lsu_stbuf_n_580),
+	.B1(n_25343),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[14]),
+	.C1(n_26225),
+	.Y(n_26695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g746943 (
+	.A1(n_25345),
+	.A2(brqrv_top_brqrv_lsu_stbuf_n_584),
+	.B1(n_25343),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[15]),
+	.C1(n_26226),
+	.Y(n_26693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746944 (
+	.A(n_24125),
+	.B(n_26383),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746945 (
+	.A(brqrv_top_brqrv_dma_ctrl_WrPtr[1]),
+	.B(n_26383),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g746946 (
+	.A(n_26143),
+	.B(n_24125),
+	.C(brqrv_top_brqrv_dma_ctrl_WrPtr[0]),
+	.Y(n_26689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g746947 (
+	.A(n_26385),
+	.B(n_26069),
+	.Y(n_26688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746948 (
+	.A(n_26397),
+	.B(brqrv_top_brqrv_dec_tlu_exc_or_int_valid_r),
+	.Y(n_26687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746950 (
+	.A(n_26398),
+	.B(brqrv_top_brqrv_dec_tlu_exc_or_int_valid_r),
+	.Y(n_26684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g746951 (
+	.A(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B_N(n_26387),
+	.Y(n_26683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746952 (
+	.A(brqrv_top_brqrv_trigger_pkt_any[113]),
+	.B(n_26356),
+	.Y(n_26681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746953 (
+	.A(brqrv_top_brqrv_trigger_pkt_any[37]),
+	.B(n_26356),
+	.Y(n_26679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746954 (
+	.A(brqrv_top_brqrv_trigger_pkt_any[151]),
+	.B(n_26356),
+	.Y(n_26677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746955 (
+	.A(brqrv_top_brqrv_trigger_pkt_any[75]),
+	.B(n_26356),
+	.Y(n_26675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_1 g746956 (
+	.A_N(n_33474),
+	.B(n_26074),
+	.C(n_24363),
+	.D(brqrv_top_brqrv_dbg_command_reg[19]),
+	.X(n_26673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g746957 (
+	.A(n_26414),
+	.B(brqrv_top_brqrv_dec_tlu_exc_or_int_valid_r),
+	.Y(n_26672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g746959 (
+	.A(n_26554),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_samedwff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g746960 (
+	.A(n_26552),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_writeff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g746961 (
+	.A(n_26551),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_sideeffectff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g746962 (
+	.A(n_26550),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_unsignff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g746963 (
+	.A(n_26549),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_unsignff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g746964 (
+	.A(n_26548),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_writeff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g746965 (
+	.A(n_26547),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_samedwff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g746966 (
+	.A(n_26546),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_szff_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g746967 (
+	.A(n_26545),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_szff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g746968 (
+	.A(n_26544),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_sideeffectff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g746969 (
+	.A(n_26543),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_szff_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g746970 (
+	.A(n_26542),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_szff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g746971 (
+	.A(n_26541),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_samedwff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g746972 (
+	.A(n_26540),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_samedwff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g746973 (
+	.A(n_26539),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_writeff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g746974 (
+	.A(n_26538),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_sideeffectff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g746975 (
+	.A(n_26537),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_unsignff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g746976 (
+	.A(n_26536),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_unsignff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g746978 (
+	.A(n_26572),
+	.Y(n_26573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g746979 (
+	.A(n_26570),
+	.Y(brqrv_top_brqrv_exu_ghr_d_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g746980 (
+	.A(n_38487),
+	.Y(brqrv_top_brqrv_dec_tlu_nmi_lsu_load_type), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g746981 (
+	.A(n_26568),
+	.Y(brqrv_top_brqrv_exu_ghr_d_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g746982 (
+	.A(n_26567),
+	.Y(brqrv_top_brqrv_exu_ghr_d_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g746983 (
+	.A(n_26565),
+	.Y(brqrv_top_brqrv_exu_ghr_d_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g746984 (
+	.A(n_26564),
+	.Y(brqrv_top_brqrv_exu_ghr_d_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g746985 (
+	.A(n_26563),
+	.Y(brqrv_top_brqrv_exu_ghr_d_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g746986 (
+	.A(brqrv_top_dccm_rd_addr_lo[9]),
+	.Y(n_26561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g746987 (
+	.A(brqrv_top_dccm_rd_addr_lo[5]),
+	.Y(n_26559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g746988 (
+	.A(n_26557),
+	.Y(brqrv_top_dccm_rd_addr_lo[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g746989 (
+	.A(n_26556),
+	.Y(brqrv_top_brqrv_dec_tlu_wr_micect_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g746990 (
+	.A(brqrv_top_brqrv_dec_decode_x_d[11]),
+	.B(brqrv_top_brqrv_dec_decode_d_d[11]),
+	.Y(n_26555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g746991 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_samedw[2]),
+	.A2(n_32453),
+	.B1(n_25366),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ldst_samedw_r),
+	.C1(n_23309),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_samedw),
+	.Y(n_26554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g746992 (
+	.A1_N(brqrv_top_brqrv_dec_decode_x_d[13]),
+	.A2_N(brqrv_top_brqrv_dec_decode_d_d[13]),
+	.B1(brqrv_top_brqrv_dec_decode_x_d[13]),
+	.B2(brqrv_top_brqrv_dec_decode_d_d[13]),
+	.Y(n_26553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g746993 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[2]),
+	.A2(n_32453),
+	.B1(n_25366),
+	.B2(brqrv_top_brqrv_lsu_lsu_pkt_r[6]),
+	.C1(n_23309),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_write),
+	.Y(n_26552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g746994 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sideeffect[1]),
+	.A2(n_31301),
+	.B1(n_25364),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_is_sideeffects_r),
+	.C1(n_23310),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_sideeffect),
+	.Y(n_26551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g746995 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_unsign[2]),
+	.A2(n_32453),
+	.B1(n_25366),
+	.B2(brqrv_top_brqrv_lsu_lsu_pkt_r[5]),
+	.C1(n_23309),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_unsign),
+	.Y(n_26550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g746996 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_unsign[0]),
+	.A2(n_32440),
+	.B1(n_25362),
+	.B2(brqrv_top_brqrv_lsu_lsu_pkt_r[5]),
+	.C1(n_24339),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_unsign),
+	.Y(n_26549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g746997 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[1]),
+	.A2(n_31301),
+	.B1(n_25364),
+	.B2(brqrv_top_brqrv_lsu_lsu_pkt_r[6]),
+	.C1(n_23310),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_write),
+	.Y(n_26548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g746998 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_samedw[1]),
+	.A2(n_31301),
+	.B1(n_25364),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ldst_samedw_r),
+	.C1(n_23310),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_samedw),
+	.Y(n_26547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g746999 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[1]),
+	.A2(n_32440),
+	.B1(n_25362),
+	.B2(brqrv_top_brqrv_lsu_lsu_pkt_r[9]),
+	.C1(n_24339),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_sz[1]),
+	.Y(n_26546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g747000 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[0]),
+	.A2(n_32440),
+	.B1(n_25362),
+	.B2(brqrv_top_brqrv_lsu_lsu_pkt_r[10]),
+	.C1(n_24339),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_sz[0]),
+	.Y(n_26545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g747001 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sideeffect[3]),
+	.A2(n_31494),
+	.B1(n_25357),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_is_sideeffects_r),
+	.C1(n_23109),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_sideeffect),
+	.Y(n_26544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g747002 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[5]),
+	.A2(n_32453),
+	.B1(n_25366),
+	.B2(brqrv_top_brqrv_lsu_lsu_pkt_r[9]),
+	.C1(n_23309),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_sz[1]),
+	.Y(n_26543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g747003 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[4]),
+	.A2(n_32453),
+	.B1(n_25366),
+	.B2(brqrv_top_brqrv_lsu_lsu_pkt_r[10]),
+	.C1(n_23309),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_sz[0]),
+	.Y(n_26542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g747004 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_samedw[3]),
+	.A2(n_31494),
+	.B1(n_25357),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ldst_samedw_r),
+	.C1(n_23109),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_samedw),
+	.Y(n_26541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g747005 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_samedw[0]),
+	.A2(n_32440),
+	.B1(n_25362),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ldst_samedw_r),
+	.C1(n_24339),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_samedw),
+	.Y(n_26540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g747006 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_write),
+	.A2(n_23109),
+	.B1(n_25357),
+	.B2(brqrv_top_brqrv_lsu_lsu_pkt_r[6]),
+	.C1(n_31494),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[3]),
+	.Y(n_26539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g747007 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sideeffect[0]),
+	.A2(n_32440),
+	.B1(n_25362),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_is_sideeffects_r),
+	.C1(n_24339),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_sideeffect),
+	.Y(n_26538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g747008 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_unsign[1]),
+	.A2(n_31301),
+	.B1(n_25364),
+	.B2(brqrv_top_brqrv_lsu_lsu_pkt_r[5]),
+	.C1(n_23310),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_unsign),
+	.Y(n_26537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g747009 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_unsign),
+	.A2(n_23109),
+	.B1(n_25357),
+	.B2(brqrv_top_brqrv_lsu_lsu_pkt_r[5]),
+	.C1(n_31494),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_unsign[3]),
+	.Y(n_26536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g747010 (
+	.A1(n_24478),
+	.A2(n_32286),
+	.B1(n_26292),
+	.Y(n_26535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747011 (
+	.A1_N(brqrv_top_brqrv_dec_decode_x_d[20]),
+	.A2_N(brqrv_top_brqrv_dec_decode_d_d[20]),
+	.B1(brqrv_top_brqrv_dec_decode_x_d[20]),
+	.B2(brqrv_top_brqrv_dec_decode_d_d[20]),
+	.Y(n_26534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g747012 (
+	.A(n_25648),
+	.B(n_25714),
+	.C(n_25825),
+	.D(n_25827),
+	.Y(n_26533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g747013 (
+	.A1(n_25201),
+	.A2(brqrv_top_brqrv_ifu_miss_state_idle),
+	.B1(n_24408),
+	.B2(brqrv_top_brqrv_dec_tlu_ic_diag_pkt[1]),
+	.C1(n_25722),
+	.Y(n_26532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g747014 (
+	.A(n_25650),
+	.B(n_25649),
+	.C(n_25713),
+	.D(n_25743),
+	.Y(n_26531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g747015 (
+	.A(n_25631),
+	.B(n_25817),
+	.C(n_25632),
+	.D(n_25855),
+	.Y(n_26530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g747016 (
+	.A(n_25608),
+	.B(n_25607),
+	.C(n_25609),
+	.D(n_25858),
+	.Y(n_26529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g747017 (
+	.A(n_25610),
+	.B(n_25611),
+	.C(n_25845),
+	.D(n_25846),
+	.Y(n_26528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g747018 (
+	.A(n_25849),
+	.B(n_25616),
+	.C(n_25617),
+	.D(n_25829),
+	.Y(n_26527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g747019 (
+	.A(n_25623),
+	.B(n_25851),
+	.C(n_25622),
+	.D(n_25850),
+	.Y(n_26526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g747020 (
+	.A(n_25852),
+	.B(n_25625),
+	.C(n_25624),
+	.D(n_25853),
+	.Y(n_26525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g747021 (
+	.A(n_25854),
+	.B(n_25591),
+	.C(n_25831),
+	.D(n_25630),
+	.Y(n_26524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g747022 (
+	.A(n_25639),
+	.B(n_25857),
+	.C(n_25638),
+	.D(n_25856),
+	.Y(n_26523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g747023 (
+	.A1(n_25397),
+	.A2(n_31302),
+	.B1(n_31870),
+	.B2(n_31300),
+	.C1(n_25305),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[33]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g747024 (
+	.A1(n_25397),
+	.A2(n_32454),
+	.B1(n_31870),
+	.B2(n_32308),
+	.C1(n_25108),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[65]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g747025 (
+	.A(n_25640),
+	.B(n_25642),
+	.C(n_25637),
+	.D(n_25593),
+	.Y(n_26522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32a_1 g747026 (
+	.A1(brqrv_top_brqrv_dec_dec_i0_instr_d[12]),
+	.A2(n_31739),
+	.A3(n_24282),
+	.B1(n_31587),
+	.B2(n_26128),
+	.X(n_26521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g747027 (
+	.A1(n_25434),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[28]),
+	.A3(brqrv_top_brqrv_dec_tlu_mrac_ff_0[15]),
+	.B1(n_25443),
+	.B2(n_25895),
+	.Y(n_26520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g747028 (
+	.A1(brqrv_top_brqrv_dbg_sbaddress0_reg[0]),
+	.A2(n_26005),
+	.B1(brqrv_top_brqrv_dbg_dmcontrol_reg[0]),
+	.B2(n_24496),
+	.Y(n_26519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g747029 (
+	.A(n_25435),
+	.B(n_31741),
+	.C(n_39170),
+	.D_N(brqrv_top_brqrv_dec_dec_i0_instr_d[5]),
+	.Y(n_26518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g747030 (
+	.A(n_25643),
+	.B(n_25645),
+	.C(n_25602),
+	.D(n_25644),
+	.Y(n_26517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g747031 (
+	.A(n_25865),
+	.B(n_25707),
+	.C(n_25706),
+	.D(n_25724),
+	.Y(n_26516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g747032 (
+	.A1(n_26087),
+	.A2(n_25353),
+	.B1(n_26010),
+	.X(n_26515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g747033 (
+	.A(brqrv_top_brqrv_dec_decode_i0_dec_n_75),
+	.B(n_26267),
+	.C_N(n_32709),
+	.Y(n_26514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g747034 (
+	.A1(n_26101),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspageQ[11]),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3311),
+	.B2(n_25422),
+	.C1(n_31139),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspage_in[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g747035 (
+	.A1(n_26101),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspageQ[7]),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3227),
+	.B2(n_25422),
+	.C1(n_31136),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspage_in[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g747036 (
+	.A1(n_25354),
+	.A2(n_26076),
+	.B1(n_26009),
+	.Y(n_26513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g747037 (
+	.A(n_25573),
+	.B(n_25813),
+	.C(n_25570),
+	.D(n_24018),
+	.Y(n_26512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747038 (
+	.A1(brqrv_top_brqrv_dec_tlu_wr_mdccmect_r),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[31]),
+	.B1(n_26004),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[31]),
+	.X(brqrv_top_brqrv_dec_tlu_mdccmect_ns[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747039 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mdccmect[30]),
+	.A2_N(n_26004),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_328),
+	.B2(n_26004),
+	.Y(brqrv_top_brqrv_dec_tlu_mdccmect_ns[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747040 (
+	.A1(brqrv_top_brqrv_dec_tlu_wr_mdccmect_r),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[26]),
+	.B1(n_26004),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[26]),
+	.X(brqrv_top_brqrv_dec_tlu_mdccmect_ns[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747041 (
+	.A1(brqrv_top_brqrv_dec_tlu_wr_mdccmect_r),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[25]),
+	.B1(n_26004),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[25]),
+	.X(brqrv_top_brqrv_dec_tlu_mdccmect_ns[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747042 (
+	.A1(brqrv_top_brqrv_dec_tlu_wr_mdccmect_r),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[24]),
+	.B1(n_26004),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[24]),
+	.X(brqrv_top_brqrv_dec_tlu_mdccmect_ns[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747043 (
+	.A1(brqrv_top_brqrv_dec_tlu_wr_mdccmect_r),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[23]),
+	.B1(n_26004),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[23]),
+	.X(brqrv_top_brqrv_dec_tlu_mdccmect_ns[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747044 (
+	.A1(brqrv_top_brqrv_dec_tlu_wr_mdccmect_r),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[22]),
+	.B1(n_26004),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[22]),
+	.X(brqrv_top_brqrv_dec_tlu_mdccmect_ns[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747045 (
+	.A1(brqrv_top_brqrv_dec_tlu_wr_mdccmect_r),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[21]),
+	.B1(n_26004),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[21]),
+	.X(brqrv_top_brqrv_dec_tlu_mdccmect_ns[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747046 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mdccmect[20]),
+	.A2_N(n_26004),
+	.B1(n_1819),
+	.B2(n_26004),
+	.Y(brqrv_top_brqrv_dec_tlu_mdccmect_ns[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747047 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mdccmect[19]),
+	.A2_N(n_26004),
+	.B1(n_2025),
+	.B2(n_26004),
+	.Y(brqrv_top_brqrv_dec_tlu_mdccmect_ns[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747048 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mdccmect[18]),
+	.A2_N(n_26004),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_316),
+	.B2(n_26004),
+	.Y(brqrv_top_brqrv_dec_tlu_mdccmect_ns[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747049 (
+	.A1(brqrv_top_brqrv_dec_tlu_wr_mdccmect_r),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[17]),
+	.B1(n_26004),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[17]),
+	.X(brqrv_top_brqrv_dec_tlu_mdccmect_ns[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747050 (
+	.A1(brqrv_top_brqrv_dec_tlu_wr_mdccmect_r),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[16]),
+	.B1(n_26004),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[16]),
+	.X(brqrv_top_brqrv_dec_tlu_mdccmect_ns[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747051 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mdccmect[15]),
+	.A2_N(n_26004),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_313),
+	.B2(n_26004),
+	.Y(brqrv_top_brqrv_dec_tlu_mdccmect_ns[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747052 (
+	.A1(brqrv_top_brqrv_dec_tlu_wr_mdccmect_r),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[14]),
+	.B1(n_26004),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[14]),
+	.X(brqrv_top_brqrv_dec_tlu_mdccmect_ns[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747053 (
+	.A1(brqrv_top_brqrv_dec_tlu_wr_mdccmect_r),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[13]),
+	.B1(n_26004),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[13]),
+	.X(brqrv_top_brqrv_dec_tlu_mdccmect_ns[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747054 (
+	.A1(brqrv_top_brqrv_dec_tlu_wr_mdccmect_r),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[12]),
+	.B1(n_26004),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[12]),
+	.X(brqrv_top_brqrv_dec_tlu_mdccmect_ns[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747055 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mdccmect[11]),
+	.A2_N(n_26004),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_309),
+	.B2(n_26004),
+	.Y(brqrv_top_brqrv_dec_tlu_mdccmect_ns[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747056 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mdccmect[10]),
+	.A2_N(n_26004),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_308),
+	.B2(n_26004),
+	.Y(brqrv_top_brqrv_dec_tlu_mdccmect_ns[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747057 (
+	.A1(brqrv_top_brqrv_dec_tlu_wr_mdccmect_r),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[9]),
+	.B1(n_26004),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[9]),
+	.X(brqrv_top_brqrv_dec_tlu_mdccmect_ns[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747058 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mdccmect[8]),
+	.A2_N(n_26004),
+	.B1(n_1303),
+	.B2(n_26004),
+	.Y(brqrv_top_brqrv_dec_tlu_mdccmect_ns[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747059 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mdccmect[7]),
+	.A2_N(n_26004),
+	.B1(n_1304),
+	.B2(n_26004),
+	.Y(brqrv_top_brqrv_dec_tlu_mdccmect_ns[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747060 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mdccmect[6]),
+	.A2_N(n_26004),
+	.B1(n_1705),
+	.B2(n_26004),
+	.Y(brqrv_top_brqrv_dec_tlu_mdccmect_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747061 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mdccmect[5]),
+	.A2_N(n_26004),
+	.B1(n_1295),
+	.B2(n_26004),
+	.Y(brqrv_top_brqrv_dec_tlu_mdccmect_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747062 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mdccmect[4]),
+	.A2_N(n_26004),
+	.B1(n_1701),
+	.B2(n_26004),
+	.Y(brqrv_top_brqrv_dec_tlu_mdccmect_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747063 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mdccmect[3]),
+	.A2_N(n_26004),
+	.B1(n_1702),
+	.B2(n_26004),
+	.Y(brqrv_top_brqrv_dec_tlu_mdccmect_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747064 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mdccmect[2]),
+	.A2_N(n_26004),
+	.B1(n_1703),
+	.B2(n_26004),
+	.Y(brqrv_top_brqrv_dec_tlu_mdccmect_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747065 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mdccmect[1]),
+	.A2_N(n_26004),
+	.B1(n_1704),
+	.B2(n_26004),
+	.Y(brqrv_top_brqrv_dec_tlu_mdccmect_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747066 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mdccmect[0]),
+	.A2_N(n_26004),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_298),
+	.B2(n_26004),
+	.Y(brqrv_top_brqrv_dec_tlu_mdccmect_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747067 (
+	.A1(brqrv_top_brqrv_dec_tlu_wr_miccmect_r),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[31]),
+	.B1(n_26003),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[31]),
+	.X(brqrv_top_brqrv_dec_tlu_miccmect_ns[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747068 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_miccmect[30]),
+	.A2_N(n_26003),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_328),
+	.B2(n_26003),
+	.Y(brqrv_top_brqrv_dec_tlu_miccmect_ns[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747069 (
+	.A1(brqrv_top_brqrv_dec_tlu_wr_miccmect_r),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[26]),
+	.B1(n_26003),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[26]),
+	.X(brqrv_top_brqrv_dec_tlu_miccmect_ns[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747070 (
+	.A1(brqrv_top_brqrv_dec_tlu_wr_miccmect_r),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[25]),
+	.B1(n_26003),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[25]),
+	.X(brqrv_top_brqrv_dec_tlu_miccmect_ns[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747071 (
+	.A1(brqrv_top_brqrv_dec_tlu_wr_miccmect_r),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[24]),
+	.B1(n_26003),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[24]),
+	.X(brqrv_top_brqrv_dec_tlu_miccmect_ns[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747072 (
+	.A1(brqrv_top_brqrv_dec_tlu_wr_miccmect_r),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[23]),
+	.B1(n_26003),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[23]),
+	.X(brqrv_top_brqrv_dec_tlu_miccmect_ns[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747073 (
+	.A1(brqrv_top_brqrv_dec_tlu_wr_miccmect_r),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[22]),
+	.B1(n_26003),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[22]),
+	.X(brqrv_top_brqrv_dec_tlu_miccmect_ns[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747074 (
+	.A1(brqrv_top_brqrv_dec_tlu_wr_miccmect_r),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[21]),
+	.B1(n_26003),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[21]),
+	.X(brqrv_top_brqrv_dec_tlu_miccmect_ns[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747075 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_miccmect[20]),
+	.A2_N(n_26003),
+	.B1(n_1819),
+	.B2(n_26003),
+	.Y(brqrv_top_brqrv_dec_tlu_miccmect_ns[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747076 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_miccmect[19]),
+	.A2_N(n_26003),
+	.B1(n_2025),
+	.B2(n_26003),
+	.Y(brqrv_top_brqrv_dec_tlu_miccmect_ns[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747077 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_miccmect[18]),
+	.A2_N(n_26003),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_316),
+	.B2(n_26003),
+	.Y(brqrv_top_brqrv_dec_tlu_miccmect_ns[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747078 (
+	.A1(brqrv_top_brqrv_dec_tlu_wr_miccmect_r),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[17]),
+	.B1(n_26003),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[17]),
+	.X(brqrv_top_brqrv_dec_tlu_miccmect_ns[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747079 (
+	.A1(brqrv_top_brqrv_dec_tlu_wr_miccmect_r),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[16]),
+	.B1(n_26003),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[16]),
+	.X(brqrv_top_brqrv_dec_tlu_miccmect_ns[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747080 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_miccmect[15]),
+	.A2_N(n_26003),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_313),
+	.B2(n_26003),
+	.Y(brqrv_top_brqrv_dec_tlu_miccmect_ns[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747081 (
+	.A1(brqrv_top_brqrv_dec_tlu_wr_miccmect_r),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[14]),
+	.B1(n_26003),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[14]),
+	.X(brqrv_top_brqrv_dec_tlu_miccmect_ns[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747082 (
+	.A1(brqrv_top_brqrv_dec_tlu_wr_miccmect_r),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[13]),
+	.B1(n_26003),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[13]),
+	.X(brqrv_top_brqrv_dec_tlu_miccmect_ns[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747083 (
+	.A1(brqrv_top_brqrv_dec_tlu_wr_miccmect_r),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[12]),
+	.B1(n_26003),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[12]),
+	.X(brqrv_top_brqrv_dec_tlu_miccmect_ns[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747084 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_miccmect[11]),
+	.A2_N(n_26003),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_309),
+	.B2(n_26003),
+	.Y(brqrv_top_brqrv_dec_tlu_miccmect_ns[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747085 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_miccmect[10]),
+	.A2_N(n_26003),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_308),
+	.B2(n_26003),
+	.Y(brqrv_top_brqrv_dec_tlu_miccmect_ns[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747086 (
+	.A1(brqrv_top_brqrv_dec_tlu_wr_miccmect_r),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[9]),
+	.B1(n_26003),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[9]),
+	.X(brqrv_top_brqrv_dec_tlu_miccmect_ns[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747087 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_miccmect[8]),
+	.A2_N(n_26003),
+	.B1(n_1303),
+	.B2(n_26003),
+	.Y(brqrv_top_brqrv_dec_tlu_miccmect_ns[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747088 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_miccmect[7]),
+	.A2_N(n_26003),
+	.B1(n_1304),
+	.B2(n_26003),
+	.Y(brqrv_top_brqrv_dec_tlu_miccmect_ns[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747089 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_miccmect[6]),
+	.A2_N(n_26003),
+	.B1(n_1705),
+	.B2(n_26003),
+	.Y(brqrv_top_brqrv_dec_tlu_miccmect_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747090 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_miccmect[5]),
+	.A2_N(n_26003),
+	.B1(n_1295),
+	.B2(n_26003),
+	.Y(brqrv_top_brqrv_dec_tlu_miccmect_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747091 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_miccmect[4]),
+	.A2_N(n_26003),
+	.B1(n_1701),
+	.B2(n_26003),
+	.Y(brqrv_top_brqrv_dec_tlu_miccmect_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747092 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_miccmect[3]),
+	.A2_N(n_26003),
+	.B1(n_1702),
+	.B2(n_26003),
+	.Y(brqrv_top_brqrv_dec_tlu_miccmect_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747093 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_miccmect[2]),
+	.A2_N(n_26003),
+	.B1(n_1703),
+	.B2(n_26003),
+	.Y(brqrv_top_brqrv_dec_tlu_miccmect_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747094 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_miccmect[1]),
+	.A2_N(n_26003),
+	.B1(n_1704),
+	.B2(n_26003),
+	.Y(brqrv_top_brqrv_dec_tlu_miccmect_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747095 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_miccmect[0]),
+	.A2_N(n_26003),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_298),
+	.B2(n_26003),
+	.Y(brqrv_top_brqrv_dec_tlu_miccmect_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747096 (
+	.A1(n_26000),
+	.A2(n_33556),
+	.B1(n_25999),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[14]),
+	.X(brqrv_top_brqrv_picm_wraddr[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747097 (
+	.A1(n_26000),
+	.A2(n_33555),
+	.B1(n_25999),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[13]),
+	.X(brqrv_top_brqrv_picm_wraddr[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747098 (
+	.A1(n_26000),
+	.A2(n_33554),
+	.B1(n_25999),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[12]),
+	.X(brqrv_top_brqrv_picm_wraddr[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747099 (
+	.A1_N(n_32923),
+	.A2_N(n_26000),
+	.B1(n_24099),
+	.B2(n_26000),
+	.Y(brqrv_top_brqrv_picm_wraddr[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747100 (
+	.A1_N(n_32922),
+	.A2_N(n_26000),
+	.B1(n_24343),
+	.B2(n_26000),
+	.Y(brqrv_top_brqrv_picm_wraddr[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747101 (
+	.A1_N(n_32921),
+	.A2_N(n_26000),
+	.B1(n_24100),
+	.B2(n_26000),
+	.Y(brqrv_top_brqrv_picm_wraddr[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747102 (
+	.A1_N(n_32920),
+	.A2_N(n_26000),
+	.B1(n_24101),
+	.B2(n_26000),
+	.Y(brqrv_top_brqrv_picm_wraddr[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747103 (
+	.A1_N(n_32919),
+	.A2_N(n_26000),
+	.B1(n_24102),
+	.B2(n_26000),
+	.Y(brqrv_top_brqrv_picm_wraddr[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747104 (
+	.A1_N(n_32918),
+	.A2_N(n_26000),
+	.B1(n_24103),
+	.B2(n_26000),
+	.Y(brqrv_top_brqrv_picm_wraddr[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747105 (
+	.A1_N(n_32917),
+	.A2_N(n_26000),
+	.B1(n_24345),
+	.B2(n_26000),
+	.Y(brqrv_top_brqrv_picm_wraddr[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747106 (
+	.A1_N(n_32916),
+	.A2_N(n_26000),
+	.B1(n_24098),
+	.B2(n_26000),
+	.Y(brqrv_top_brqrv_picm_wraddr[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747107 (
+	.A1_N(n_33553),
+	.A2_N(n_26000),
+	.B1(n_24344),
+	.B2(n_26000),
+	.Y(brqrv_top_brqrv_picm_wraddr[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747108 (
+	.A1_N(n_32915),
+	.A2_N(n_26000),
+	.B1(n_23067),
+	.B2(n_26000),
+	.Y(brqrv_top_brqrv_picm_wraddr[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747109 (
+	.A1_N(n_32914),
+	.A2_N(n_26000),
+	.B1(n_23068),
+	.B2(n_26000),
+	.Y(brqrv_top_brqrv_picm_wraddr[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g747110 (
+	.A(n_25847),
+	.B(n_25848),
+	.C(n_25615),
+	.D(n_25595),
+	.Y(n_26511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g747111 (
+	.A(n_25735),
+	.B(n_25736),
+	.C(n_25704),
+	.D(n_25692),
+	.Y(n_26510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g747112 (
+	.A(n_25703),
+	.B(n_25734),
+	.C(n_25702),
+	.D(n_25740),
+	.Y(n_26509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g747113 (
+	.A(n_25700),
+	.B(n_25739),
+	.C(n_25733),
+	.D(n_25701),
+	.Y(n_26508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g747114 (
+	.A(n_25699),
+	.B(n_25698),
+	.C(n_25738),
+	.D(n_25737),
+	.Y(n_26507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g747115 (
+	.A(n_25731),
+	.B(n_25732),
+	.C(n_25685),
+	.D(n_25684),
+	.Y(n_26506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g747116 (
+	.A(n_25679),
+	.B(n_25729),
+	.C(n_25730),
+	.D(n_25678),
+	.Y(n_26505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g747117 (
+	.A(n_25725),
+	.B(n_25728),
+	.C(n_25675),
+	.D(n_25669),
+	.Y(n_26504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g747118 (
+	.A(n_33032),
+	.B(n_25565),
+	.Y(n_26503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g747119 (
+	.A1(brqrv_top_brqrv_dec_tlu_npc_r_d1[17]),
+	.A2(n_24224),
+	.B1(n_25646),
+	.C1(n_25604),
+	.Y(n_26502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g747120 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[14]),
+	.B(n_25562),
+	.Y(n_26501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g747121 (
+	.A1(brqrv_top_brqrv_dec_decode_x_d[9]),
+	.A2(n_26118),
+	.B1(n_26329),
+	.Y(n_26500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g747122 (
+	.A1(n_32440),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[0]),
+	.B1(n_24339),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_write),
+	.C1(n_25980),
+	.X(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_writeff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g747124 (
+	.A(brqrv_top_brqrv_dec_decode_x_d[12]),
+	.B(brqrv_top_brqrv_dec_decode_d_d[12]),
+	.X(n_26498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g747125 (
+	.A(brqrv_top_brqrv_dec_decode_x_d[14]),
+	.B(brqrv_top_brqrv_dec_decode_d_d[14]),
+	.Y(n_26497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g747126 (
+	.A(brqrv_top_brqrv_dec_decode_x_d[15]),
+	.B(brqrv_top_brqrv_dec_decode_d_d[15]),
+	.Y(n_26496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g747127 (
+	.A1(brqrv_top_brqrv_exu_i0_flush_path_x[14]),
+	.A2(n_24494),
+	.B1(n_25579),
+	.C1(n_25633),
+	.Y(n_26495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g747128 (
+	.A1(brqrv_top_brqrv_exu_i0_pc_x[14]),
+	.A2(n_370),
+	.B1(n_25626),
+	.C1(n_25627),
+	.Y(n_26494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g747129 (
+	.A1(n_24260),
+	.A2(brqrv_top_brqrv_exu_pred_correct_npc_r[13]),
+	.B1(n_25577),
+	.C1(n_25612),
+	.Y(n_26493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g747130 (
+	.A1(n_26019),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_done_bus[0]),
+	.B1(n_26013),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_done_bus[2]),
+	.Y(n_26492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747131 (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [28]),
+	.A2_N(n_354404_BAR),
+	.B1(n_25874),
+	.B2(n_31285),
+	.Y(n_26491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747132 (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [27]),
+	.A2_N(n_354404_BAR),
+	.B1(n_25875),
+	.B2(n_31285),
+	.Y(n_26490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747133 (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [26]),
+	.A2_N(n_354404_BAR),
+	.B1(n_25892),
+	.B2(n_31285),
+	.Y(n_26489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747134 (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [25]),
+	.A2_N(n_354404_BAR),
+	.B1(n_25877),
+	.B2(n_31285),
+	.Y(n_26488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747135 (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [24]),
+	.A2_N(n_354404_BAR),
+	.B1(n_25878),
+	.B2(n_31285),
+	.Y(n_26487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g747136 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[13]),
+	.B(n_25558),
+	.Y(n_26486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747137 (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [20]),
+	.A2_N(n_354404_BAR),
+	.B1(n_25879),
+	.B2(n_31285),
+	.Y(n_26485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g747138 (
+	.A1(n_31287),
+	.A2(n_25884),
+	.B1(n_23812),
+	.B2(n_31286),
+	.Y(n_26484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g747139 (
+	.A1(n_31287),
+	.A2(n_25888),
+	.B1(n_23814),
+	.B2(n_31286),
+	.Y(n_26483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g747140 (
+	.A1(n_31287),
+	.A2(n_25889),
+	.B1(n_23813),
+	.B2(n_31286),
+	.Y(n_26482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747141 (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [16]),
+	.A2_N(n_354404_BAR),
+	.B1(n_25869),
+	.B2(n_31285),
+	.Y(n_26481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747142 (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [15]),
+	.A2_N(n_354404_BAR),
+	.B1(n_25876),
+	.B2(n_31285),
+	.Y(n_26480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747143 (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [13]),
+	.A2_N(n_354404_BAR),
+	.B1(n_25890),
+	.B2(n_31285),
+	.Y(n_26479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747144 (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [11]),
+	.A2_N(n_354404_BAR),
+	.B1(n_25887),
+	.B2(n_31285),
+	.Y(n_26478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747145 (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [10]),
+	.A2_N(n_354404_BAR),
+	.B1(n_25886),
+	.B2(n_31285),
+	.Y(n_26477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g747146 (
+	.A1(n_31287),
+	.A2(n_25885),
+	.B1(n_24519),
+	.B2(n_31286),
+	.Y(n_26476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g747147 (
+	.A1(n_31287),
+	.A2(n_25883),
+	.B1(n_24512),
+	.B2(n_31286),
+	.Y(n_26475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747148 (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [3]),
+	.A2_N(n_354404_BAR),
+	.B1(n_25882),
+	.B2(n_31285),
+	.Y(n_26474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747149 (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [2]),
+	.A2_N(n_354404_BAR),
+	.B1(n_25881),
+	.B2(n_31285),
+	.Y(n_26473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747150 (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [1]),
+	.A2_N(n_354404_BAR),
+	.B1(n_25880),
+	.B2(n_31285),
+	.Y(n_26472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g747151 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[12]),
+	.B(n_25559),
+	.Y(n_26471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g747152 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[11]),
+	.B(n_25561),
+	.Y(n_26470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747153 (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [14]),
+	.A2_N(n_354404_BAR),
+	.B1(n_25891),
+	.B2(n_31285),
+	.Y(n_26469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g747154 (
+	.A1(n_34068),
+	.A2(n_26010),
+	.A3(n_25360),
+	.B1(n_31465),
+	.B2(n_467),
+	.Y(n_26468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g747155 (
+	.A1(brqrv_top_brqrv_dbg_sbaddress0_reg[24]),
+	.A2(n_26005),
+	.B1(n_29892),
+	.B2(brqrv_top_brqrv_dbg_sbdata1_reg[24]),
+	.Y(n_26467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g747156 (
+	.A1(n_39188),
+	.A2(brqrv_top_brqrv_lsu_nonblock_load_inv_tag_r[1]),
+	.B1(n_31499),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_tag[1]),
+	.Y(n_26466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g747157 (
+	.A1(n_39188),
+	.A2(brqrv_top_brqrv_lsu_nonblock_load_inv_tag_r[0]),
+	.B1(n_31499),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_tag[0]),
+	.Y(n_26465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g747158 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[9]),
+	.B(n_25563),
+	.Y(n_26464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g747159 (
+	.A(n_33030),
+	.B(n_25560),
+	.Y(n_26463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g747160 (
+	.A1(brqrv_top_brqrv_dec_tlu_flush_path_r[14]),
+	.A2(n_24500),
+	.B1(n_25618),
+	.C1(n_25619),
+	.Y(n_26462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g747161 (
+	.A1(n_26018),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_error[2]),
+	.B1(n_26013),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_error[4]),
+	.Y(n_26461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g747162 (
+	.A1(n_26011),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_error[8]),
+	.B1(n_26015),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_error[6]),
+	.Y(n_26460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g747163 (
+	.A1(n_26018),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_error[3]),
+	.B1(n_26011),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_error[9]),
+	.Y(n_26459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g747164 (
+	.A1(brqrv_top_brqrv_dbg_sbaddress0_reg[31]),
+	.A2(n_26005),
+	.B1(n_29892),
+	.B2(brqrv_top_brqrv_dbg_sbdata1_reg[31]),
+	.Y(n_26458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g747165 (
+	.A1(brqrv_top_brqrv_dbg_sbaddress0_reg[30]),
+	.A2(n_26005),
+	.B1(n_29892),
+	.B2(brqrv_top_brqrv_dbg_sbdata1_reg[30]),
+	.Y(n_26457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g747166 (
+	.A1(brqrv_top_brqrv_dbg_sbaddress0_reg[28]),
+	.A2(n_26005),
+	.B1(n_29892),
+	.B2(brqrv_top_brqrv_dbg_sbdata1_reg[28]),
+	.Y(n_26456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g747167 (
+	.A1(brqrv_top_brqrv_dbg_sbaddress0_reg[27]),
+	.A2(n_26005),
+	.B1(n_29892),
+	.B2(brqrv_top_brqrv_dbg_sbdata1_reg[27]),
+	.Y(n_26455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g747168 (
+	.A1(brqrv_top_brqrv_dbg_sbaddress0_reg[26]),
+	.A2(n_26005),
+	.B1(n_29892),
+	.B2(brqrv_top_brqrv_dbg_sbdata1_reg[26]),
+	.Y(n_26454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g747169 (
+	.A1(brqrv_top_brqrv_dbg_sbaddress0_reg[25]),
+	.A2(n_26005),
+	.B1(n_29892),
+	.B2(brqrv_top_brqrv_dbg_sbdata1_reg[25]),
+	.Y(n_26453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747170 (
+	.A1_N(n_25870),
+	.A2_N(n_24414),
+	.B1(brqrv_top_brqrv_dec_tlu_meipt[0]),
+	.B2(n_31097),
+	.Y(n_26452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g747171 (
+	.A1(n_25416),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[28]),
+	.A3(brqrv_top_brqrv_dec_tlu_mrac_ff_0[7]),
+	.B1(n_25553),
+	.B2(n_25894),
+	.Y(n_26451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g747172 (
+	.A1(brqrv_top_brqrv_dbg_sbaddress0_reg[18]),
+	.A2(n_26005),
+	.B1(n_29892),
+	.B2(brqrv_top_brqrv_dbg_sbdata1_reg[18]),
+	.Y(n_26450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747173 (
+	.A1_N(brqrv_top_brqrv_dma_mem_tag[0]),
+	.A2_N(n_25430),
+	.B1(brqrv_top_brqrv_dma_mem_tag[0]),
+	.B2(n_26103),
+	.Y(brqrv_top_brqrv_dma_ctrl_RdPtr_dff_n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g747174 (
+	.A1(brqrv_top_brqrv_dbg_sbaddress0_reg[17]),
+	.A2(n_26005),
+	.B1(n_29892),
+	.B2(brqrv_top_brqrv_dbg_sbdata1_reg[17]),
+	.Y(n_26449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g747175 (
+	.A1(n_26011),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_sz[12]),
+	.B1(n_26013),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_sz[6]),
+	.Y(n_26448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747176 (
+	.A1_N(n_31487),
+	.A2_N(n_33123),
+	.B1(n_31487),
+	.B2(n_25874),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g747177 (
+	.A1(n_26019),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_747),
+	.B1(n_26013),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_sz[7]),
+	.Y(n_26447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747178 (
+	.A1_N(n_31487),
+	.A2_N(n_33122),
+	.B1(n_31487),
+	.B2(n_25875),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g747179 (
+	.A1(brqrv_top_brqrv_dbg_sbaddress0_reg[6]),
+	.A2(n_26005),
+	.B1(n_29892),
+	.B2(brqrv_top_brqrv_dbg_sbdata1_reg[6]),
+	.Y(n_26446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g747180 (
+	.A1(brqrv_top_brqrv_dbg_sbaddress0_reg[5]),
+	.A2(n_26005),
+	.B1(n_29892),
+	.B2(brqrv_top_brqrv_dbg_sbdata1_reg[5]),
+	.Y(n_26445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747181 (
+	.A1_N(n_31487),
+	.A2_N(n_33119),
+	.B1(n_31487),
+	.B2(n_25892),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g747182 (
+	.A1(brqrv_top_brqrv_dbg_sbaddress0_reg[4]),
+	.A2(n_26005),
+	.B1(n_29892),
+	.B2(brqrv_top_brqrv_dbg_sbdata1_reg[4]),
+	.Y(n_26444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747183 (
+	.A1_N(n_31487),
+	.A2_N(n_33118),
+	.B1(n_31487),
+	.B2(n_25877),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747184 (
+	.A1_N(n_31487),
+	.A2_N(n_33117),
+	.B1(n_31487),
+	.B2(n_25878),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g747185 (
+	.A1(brqrv_top_brqrv_dbg_sbaddress0_reg[1]),
+	.A2(n_26005),
+	.B1(n_29892),
+	.B2(brqrv_top_brqrv_dbg_sbdata1_reg[1]),
+	.Y(n_26443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747186 (
+	.A1_N(n_31487),
+	.A2_N(n_33113),
+	.B1(n_31487),
+	.B2(n_25879),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747187 (
+	.A1_N(n_31487),
+	.A2_N(n_33112),
+	.B1(n_31487),
+	.B2(n_25884),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747188 (
+	.A1_N(n_31487),
+	.A2_N(n_33111),
+	.B1(n_31487),
+	.B2(n_25888),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747189 (
+	.A1_N(n_31487),
+	.A2_N(n_33110),
+	.B1(n_31487),
+	.B2(n_25889),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747190 (
+	.A1_N(n_31487),
+	.A2_N(n_33109),
+	.B1(n_31487),
+	.B2(n_25869),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747191 (
+	.A1_N(n_31487),
+	.A2_N(n_33108),
+	.B1(n_31487),
+	.B2(n_25876),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747192 (
+	.A1_N(n_31487),
+	.A2_N(n_33107),
+	.B1(n_31487),
+	.B2(n_25891),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747193 (
+	.A1_N(n_31487),
+	.A2_N(n_33106),
+	.B1(n_31487),
+	.B2(n_25890),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747194 (
+	.A1_N(n_31487),
+	.A2_N(n_33104),
+	.B1(n_31487),
+	.B2(n_25887),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747195 (
+	.A1_N(n_31487),
+	.A2_N(n_33103),
+	.B1(n_31487),
+	.B2(n_25886),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747197 (
+	.A1_N(n_31487),
+	.A2_N(n_33097),
+	.B1(n_31487),
+	.B2(n_25885),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747198 (
+	.A1_N(n_31487),
+	.A2_N(brqrv_top_brqrv_exu_i0_rs2_d[4]),
+	.B1(n_31487),
+	.B2(n_25883),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747199 (
+	.A1_N(n_31487),
+	.A2_N(brqrv_top_brqrv_exu_i0_rs2_d[3]),
+	.B1(n_31487),
+	.B2(n_25882),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747200 (
+	.A1_N(n_31487),
+	.A2_N(brqrv_top_brqrv_exu_i0_rs2_d[2]),
+	.B1(n_31487),
+	.B2(n_25881),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g747201 (
+	.A1(n_26011),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[129]),
+	.B1(n_26013),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[65]),
+	.Y(n_26441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g747202 (
+	.A1(n_31454),
+	.A2(n_26077),
+	.B1(n_31292),
+	.B2(n_31137),
+	.Y(n_26440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g747203 (
+	.A1(n_34076),
+	.A2(n_26010),
+	.B1(brqrv_top_brqrv_exu_i_alu_pcout[15]),
+	.B2(n_467),
+	.Y(n_26439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g747204 (
+	.A1(n_31454),
+	.A2(n_26078),
+	.B1(n_31292),
+	.B2(n_32307),
+	.Y(n_26438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g747205 (
+	.A1(n_31454),
+	.A2(n_26079),
+	.B1(n_31292),
+	.B2(n_31493),
+	.Y(n_26437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g747206 (
+	.A1(n_26018),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[32]),
+	.B1(n_26011),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[128]),
+	.Y(n_26436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g747207 (
+	.A1(n_31454),
+	.A2(n_26080),
+	.B1(n_31292),
+	.B2(n_31140),
+	.Y(n_26435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g747208 (
+	.A1(n_25406),
+	.A2(n_31244),
+	.B1(n_31508),
+	.B2(n_25358),
+	.C1(n_25117),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_nomergeff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g747209 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[10]),
+	.B(n_25564),
+	.Y(n_26434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g747210 (
+	.A1(n_26019),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_dbg[0]),
+	.B1(n_26013),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_dbg[2]),
+	.Y(n_26433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g747211 (
+	.A1(n_26011),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_valid[4]),
+	.B1(n_26013),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_valid[2]),
+	.Y(n_26432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g747212 (
+	.A1(n_26013),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_done[2]),
+	.B1(n_26015),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_done[3]),
+	.Y(n_26431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g747213 (
+	.A1(n_26064),
+	.A2(brqrv_top_brqrv_exu_ghr_d[0]),
+	.B1(brqrv_top_brqrv_dec_tlu_flush_lower_r),
+	.B2(brqrv_top_brqrv_exu_ghr_x[0]),
+	.Y(n_26430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747214 (
+	.A1(n_26043),
+	.A2(n_33267),
+	.B1(n_25381),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[31]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747215 (
+	.A1(n_26043),
+	.A2(n_33266),
+	.B1(n_25381),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[30]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747216 (
+	.A1(n_26043),
+	.A2(n_33265),
+	.B1(n_25381),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[29]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747217 (
+	.A1(n_26043),
+	.A2(n_33264),
+	.B1(n_25381),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[28]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747218 (
+	.A1(n_26043),
+	.A2(n_33263),
+	.B1(n_25381),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[27]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747219 (
+	.A1(n_26043),
+	.A2(n_33262),
+	.B1(n_25381),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[26]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747220 (
+	.A1(n_26043),
+	.A2(n_33261),
+	.B1(n_25381),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[25]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747221 (
+	.A1(n_26043),
+	.A2(n_33260),
+	.B1(n_25381),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[24]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g747222 (
+	.A1(brqrv_top_brqrv_dec_tlu_pc_r[14]),
+	.A2(n_24237),
+	.B1(n_25600),
+	.C1(n_25598),
+	.Y(n_26429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g747223 (
+	.A1(n_25406),
+	.A2(n_32502),
+	.B1(n_31508),
+	.B2(n_25365),
+	.C1(n_25193),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_nomergeff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g747224 (
+	.A1(n_25406),
+	.A2(n_31444),
+	.B1(n_31508),
+	.B2(n_25367),
+	.C1(n_25324),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_nomergeff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g747225 (
+	.A1(n_25406),
+	.A2(n_32442),
+	.B1(n_31508),
+	.B2(n_25363),
+	.C1(n_25252),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_nomergeff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g747226 (
+	.A1(n_31487),
+	.A2(n_25880),
+	.B1(n_3245),
+	.B2(n_24392),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g747227 (
+	.A1(n_540),
+	.A2(n_25999),
+	.B1(n_24097),
+	.B2(n_26000),
+	.Y(brqrv_top_brqrv_picm_wraddr[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g747228 (
+	.A1(brqrv_top_brqrv_dec_decode_cam_raw[10]),
+	.A2(n_2581),
+	.B1(n_24016),
+	.C1(n_25655),
+	.X(n_26428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g747229 (
+	.A1(brqrv_top_brqrv_dec_dec_i0_waddr_r[0]),
+	.A2(n_24404),
+	.B1(n_25656),
+	.C1(n_25652),
+	.Y(n_26427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g747230 (
+	.A1(n_25597),
+	.A2(n_24052),
+	.B1(n_1704),
+	.B2(n_32511),
+	.Y(\brqrv_top_brqrv_dec_tlu_minstretl_ns[1]_3328 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g747231 (
+	.A1(n_25605),
+	.A2(n_24289),
+	.B1(n_1704),
+	.B2(n_31511),
+	.Y(\brqrv_top_brqrv_dec_tlu_mcyclel_ns[1]_3317 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g747232 (
+	.A(n_31517),
+	.B(brqrv_top_brqrv_dbg_abstractcs_reg[12]),
+	.C(n_26067),
+	.X(n_26578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o311ai_1 g747233 (
+	.A1(n_22541),
+	.A2(n_31074),
+	.A3(n_33354),
+	.B1(n_31614),
+	.C1(n_31642),
+	.Y(n_26577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g747234 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitctl0_0_b),
+	.B(n_25906),
+	.C(n_24021),
+	.Y(n_26576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g747235 (
+	.A(n_25557),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addr_external_d),
+	.X(n_26575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g747236 (
+	.A1(n_25390),
+	.A2(n_26119),
+	.B1(n_25359),
+	.Y(n_26574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g747237 (
+	.A1(brqrv_top_brqrv_dec_decode_cam_raw[5]),
+	.A2(n_24113),
+	.B1(n_25077),
+	.C1(n_25663),
+	.D1(n_33406),
+	.Y(n_26572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g747238 (
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_in_dccm_d),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_in_pic_d),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_in_pic_d),
+	.B2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_in_dccm_d),
+	.C1(n_26197),
+	.Y(n_26571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g747239 (
+	.A1(brqrv_top_brqrv_exu_ghr_d[1]),
+	.A2(brqrv_top_brqrv_dec_i0_predict_p_d[37]),
+	.B1(brqrv_top_brqrv_dec_tlu_flush_lower_r),
+	.B2(brqrv_top_brqrv_exu_ghr_x[2]),
+	.C1(n_26064),
+	.C2(brqrv_top_brqrv_exu_ghr_d[2]),
+	.Y(n_26570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g747241 (
+	.A1(brqrv_top_brqrv_exu_ghr_d[5]),
+	.A2(brqrv_top_brqrv_dec_i0_predict_p_d[37]),
+	.B1(brqrv_top_brqrv_dec_tlu_flush_lower_r),
+	.B2(brqrv_top_brqrv_exu_ghr_x[6]),
+	.C1(n_26064),
+	.C2(brqrv_top_brqrv_exu_ghr_d[6]),
+	.Y(n_26568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g747242 (
+	.A1(brqrv_top_brqrv_exu_ghr_d[0]),
+	.A2(brqrv_top_brqrv_dec_i0_predict_p_d[37]),
+	.B1(brqrv_top_brqrv_dec_tlu_flush_lower_r),
+	.B2(brqrv_top_brqrv_exu_ghr_x[1]),
+	.C1(n_26064),
+	.C2(brqrv_top_brqrv_exu_ghr_d[1]),
+	.Y(n_26567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g747243 (
+	.A(n_25662),
+	.B(n_25826),
+	.C(n_25824),
+	.D(n_25580),
+	.Y(n_26566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g747244 (
+	.A1(brqrv_top_brqrv_exu_ghr_d[2]),
+	.A2(brqrv_top_brqrv_dec_i0_predict_p_d[37]),
+	.B1(brqrv_top_brqrv_dec_tlu_flush_lower_r),
+	.B2(brqrv_top_brqrv_exu_ghr_x[3]),
+	.C1(n_26064),
+	.C2(brqrv_top_brqrv_exu_ghr_d[3]),
+	.Y(n_26565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g747245 (
+	.A1(brqrv_top_brqrv_exu_ghr_d[3]),
+	.A2(brqrv_top_brqrv_dec_i0_predict_p_d[37]),
+	.B1(brqrv_top_brqrv_dec_tlu_flush_lower_r),
+	.B2(brqrv_top_brqrv_exu_ghr_x[4]),
+	.C1(n_26064),
+	.C2(brqrv_top_brqrv_exu_ghr_d[4]),
+	.Y(n_26564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g747246 (
+	.A1(brqrv_top_brqrv_exu_ghr_d[4]),
+	.A2(brqrv_top_brqrv_dec_i0_predict_p_d[37]),
+	.B1(brqrv_top_brqrv_dec_tlu_flush_lower_r),
+	.B2(brqrv_top_brqrv_exu_ghr_x[5]),
+	.C1(n_26064),
+	.C2(brqrv_top_brqrv_exu_ghr_d[5]),
+	.Y(n_26563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g747247 (
+	.A(n_30440),
+	.B(n_26356),
+	.C_N(brqrv_top_brqrv_lsu_lsu_pkt_m[7]),
+	.Y(n_26562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747248 (
+	.A1_N(n_25522),
+	.A2_N(n_26133),
+	.B1(n_25522),
+	.B2(n_26133),
+	.Y(brqrv_top_dccm_rd_addr_lo[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g747249 (
+	.A1(n_32771),
+	.A2(n_26108),
+	.B1(brqrv_top_brqrv_dbg_cmd_valid),
+	.B2(brqrv_top_brqrv_dbg_cmd_write),
+	.Y(n_26560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747250 (
+	.A1_N(n_25488),
+	.A2_N(n_26129),
+	.B1(n_25488),
+	.B2(n_26129),
+	.Y(brqrv_top_dccm_rd_addr_lo[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g747251 (
+	.A1(n_32248),
+	.A2(n_26095),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_n_10401 ),
+	.B2(n_25491),
+	.Y(n_26558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g747252 (
+	.A(n_25066),
+	.B(n_25893),
+	.X(n_26557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g747253 (
+	.A(n_29924),
+	.B(n_26070),
+	.C(n_31480),
+	.X(n_26556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g747254 (
+	.A(n_26323),
+	.Y(brqrv_top_brqrv_ifu_i0_bp_fghr[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g747255 (
+	.A(n_26319),
+	.Y(n_26426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g747256 (
+	.A(n_26316),
+	.Y(brqrv_top_brqrv_ifu_i0_bp_fghr[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g747257 (
+	.A(n_26315),
+	.Y(n_26425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g747258 (
+	.A(n_26314),
+	.Y(n_26424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g747259 (
+	.A(n_26313),
+	.Y(brqrv_top_brqrv_ifu_i0_bp_fghr[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g747260 (
+	.A(n_26312),
+	.Y(brqrv_top_brqrv_ifu_i0_bp_fghr[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g747261 (
+	.A(n_26275),
+	.Y(brqrv_top_brqrv_ifu_i0_bp_fghr[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g747262 (
+	.A(n_26421),
+	.Y(n_26422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g747264 (
+	.A(n_26406),
+	.Y(n_26407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g747265 (
+	.A(n_26397),
+	.Y(n_26398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g747269 (
+	.A(n_26382),
+	.Y(brqrv_top_brqrv_ifu_ifc_next_state[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g747270 (
+	.A(n_26378),
+	.Y(n_26377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g747271 (
+	.A(n_26370),
+	.Y(n_26371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g747272 (
+	.A(n_26368),
+	.Y(n_26367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g747273 (
+	.A(n_26364),
+	.Y(n_26363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g747274 (
+	.A(n_26362),
+	.Y(brqrv_top_brqrv_lsu_lsu_pkt_d[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g747275 (
+	.A(brqrv_top_brqrv_dbg_n_4554),
+	.Y(n_26359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g747276 (
+	.A(n_26358),
+	.Y(n_26357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g747277 (
+	.A(n_26356),
+	.Y(n_26355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g747278 (
+	.A(n_26354),
+	.Y(n_26353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g747279 (
+	.A(n_26352),
+	.Y(n_26351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g747280 (
+	.A(n_26350),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g747281 (
+	.A(n_26349),
+	.Y(n_26348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g747282 (
+	.A(n_26347),
+	.Y(n_26346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g747283 (
+	.A(n_26345),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g747284 (
+	.A(n_24027),
+	.Y(n_26342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g747286 (
+	.A(n_26339),
+	.Y(n_26340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g747287 (
+	.A(n_26337),
+	.Y(n_26338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g747288 (
+	.A(n_26335),
+	.Y(n_26336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g747290 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_vwayhit_f [0]),
+	.B(n_39182),
+	.COUT(n_26331),
+	.SUM(n_26332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g747291 (
+	.A(n_24414),
+	.B(n_25441),
+	.C_N(n_31535),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_mhwakeup_in), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747292 (
+	.A(brqrv_top_brqrv_dec_tlu_n_396),
+	.B(n_26094),
+	.Y(brqrv_top_brqrv_dec_tlu_wr_mscratch_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747293 (
+	.A(brqrv_top_brqrv_dec_decode_x_d[19]),
+	.B(n_26111),
+	.Y(n_26330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747294 (
+	.A(n_26111),
+	.B(brqrv_top_brqrv_dec_decode_x_d[19]),
+	.Y(n_26329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747295 (
+	.A(n_26110),
+	.B(brqrv_top_brqrv_dec_decode_x_d[16]),
+	.Y(n_26328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747298 (
+	.A(brqrv_top_brqrv_dec_decode_x_d[10]),
+	.B(n_26116),
+	.Y(n_26325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g747299 (
+	.A1(n_24097),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[14]),
+	.B1(n_24344),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[15]),
+	.C1(n_25742),
+	.Y(n_26324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g747300 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc0[3]),
+	.A2(n_39357),
+	.B1(n_39355),
+	.B2(brqrv_top_brqrv_ifu_aln_misc1[3]),
+	.C1(n_39356),
+	.C2(brqrv_top_brqrv_ifu_aln_misc2[3]),
+	.Y(n_26323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g747301 (
+	.A1(brqrv_top_brqrv_dec_tlu_pc_r[18]),
+	.A2(n_24465),
+	.B1(brqrv_top_brqrv_dec_tlu_pc_r[19]),
+	.B2(n_24495),
+	.C1(n_25599),
+	.Y(n_26322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g747302 (
+	.A1(n_31923),
+	.A2(n_31300),
+	.B1(n_31842),
+	.B2(n_31302),
+	.C1(n_25282),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[43]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g747303 (
+	.A(n_26074),
+	.B_N(brqrv_top_brqrv_dbg_sb_abmem_data_done),
+	.Y(brqrv_top_brqrv_dbg_sb_abmem_data_doneff_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g747304 (
+	.A1(n_31923),
+	.A2(n_31088),
+	.B1(n_31842),
+	.B2(n_32441),
+	.C1(n_25111),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747305 (
+	.A(n_26115),
+	.B(n_31479),
+	.Y(n_26321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747306 (
+	.A(n_26007),
+	.B(n_26145),
+	.Y(n_26320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g747307 (
+	.A1(brqrv_top_brqrv_dma_ctrl_n_1004),
+	.A2(n_463),
+	.B1(n_5457),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[65]),
+	.C1(n_465),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[129]),
+	.Y(n_26319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g747308 (
+	.A_N(brqrv_top_brqrv_picm_rdaddr[0]),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[10]),
+	.Y(n_26318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747310 (
+	.A(n_26108),
+	.B(n_32773),
+	.Y(brqrv_top_brqrv_dma_ctrl_rdbuf_cmd_sent), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747311 (
+	.A(brqrv_top_brqrv_dma_mem_tag[2]),
+	.B(n_26103),
+	.Y(n_26317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g747312 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc0[4]),
+	.A2(n_39357),
+	.B1(n_39355),
+	.B2(brqrv_top_brqrv_ifu_aln_misc1[4]),
+	.C1(n_39356),
+	.C2(brqrv_top_brqrv_ifu_aln_misc2[4]),
+	.Y(n_26316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g747313 (
+	.A1(brqrv_top_brqrv_dma_ctrl_n_1009),
+	.A2(n_463),
+	.B1(n_5457),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[66]),
+	.C1(n_465),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[130]),
+	.Y(n_26315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g747314 (
+	.A1(brqrv_top_brqrv_dma_ctrl_n_1019),
+	.A2(n_463),
+	.B1(n_5457),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[68]),
+	.C1(n_465),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[132]),
+	.Y(n_26314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g747315 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc0[5]),
+	.A2(n_39357),
+	.B1(n_39355),
+	.B2(brqrv_top_brqrv_ifu_aln_misc1[5]),
+	.C1(n_39356),
+	.C2(brqrv_top_brqrv_ifu_aln_misc2[5]),
+	.Y(n_26313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g747316 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc0[6]),
+	.A2(n_39357),
+	.B1(n_39355),
+	.B2(brqrv_top_brqrv_ifu_aln_misc1[6]),
+	.C1(n_39356),
+	.C2(brqrv_top_brqrv_ifu_aln_misc2[6]),
+	.Y(n_26312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g747317 (
+	.A1(brqrv_top_brqrv_ifu_aln_brdata2[2]),
+	.A2(n_5301),
+	.B1(n_6034),
+	.B2(brqrv_top_brqrv_ifu_aln_brdata1[2]),
+	.C1(n_5302),
+	.C2(brqrv_top_brqrv_ifu_aln_brdata0[2]),
+	.Y(n_26311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g747318 (
+	.A1(brqrv_top_brqrv_ifu_aln_brdata2[10]),
+	.A2(n_5301),
+	.B1(n_6034),
+	.B2(brqrv_top_brqrv_ifu_aln_brdata1[10]),
+	.C1(n_5302),
+	.C2(brqrv_top_brqrv_ifu_aln_brdata0[10]),
+	.Y(n_26310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g747319 (
+	.A_N(brqrv_top_brqrv_dec_tlu_dcsr[8]),
+	.B(brqrv_top_brqrv_dec_tlu_debug_mode),
+	.C(brqrv_top_brqrv_dec_tlu_dcsr[6]),
+	.D(brqrv_top_brqrv_dec_tlu_dcsr[7]),
+	.Y(n_26309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g747320 (
+	.A1(brqrv_top_brqrv_ifu_aln_brdata1[2]),
+	.A2(n_5301),
+	.B1(n_6034),
+	.B2(brqrv_top_brqrv_ifu_aln_brdata0[2]),
+	.C1(n_5302),
+	.C2(brqrv_top_brqrv_ifu_aln_brdata2[2]),
+	.Y(n_26308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747321 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[11]),
+	.B(n_26005),
+	.Y(n_26307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g747322 (
+	.A1(brqrv_top_brqrv_dec_tlu_mtdata1_t0[9]),
+	.A2(brqrv_top_brqrv_dec_tlu_mtsel[1]),
+	.A3(n_24486),
+	.B1(brqrv_top_brqrv_dec_tlu_mtdata1_t2[9]),
+	.B2(n_25213),
+	.Y(n_26306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g747323 (
+	.A1(n_3627),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[1]),
+	.B1(n_4909),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_583),
+	.C1(n_25961),
+	.X(brqrv_top_brqrv_dma_ctrl_n_1401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747324 (
+	.A(n_26004),
+	.B(brqrv_top_brqrv_dec_tlu_mdccmect[29]),
+	.Y(n_26305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747325 (
+	.A(n_26004),
+	.B(brqrv_top_brqrv_dec_tlu_mdccmect[27]),
+	.Y(n_26304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g747326 (
+	.A1(n_3627),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[2]),
+	.B1(n_4909),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_588),
+	.C1(n_25962),
+	.X(brqrv_top_brqrv_dma_ctrl_n_1402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747327 (
+	.A(n_26003),
+	.B(brqrv_top_brqrv_dec_tlu_miccmect[29]),
+	.Y(n_26303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747328 (
+	.A(n_26003),
+	.B(brqrv_top_brqrv_dec_tlu_miccmect[27]),
+	.Y(n_26302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g747329 (
+	.A1(n_3627),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[4]),
+	.B1(n_4909),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_598),
+	.C1(n_25963),
+	.X(brqrv_top_brqrv_dma_ctrl_n_1404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g747330 (
+	.A1(n_476),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[2]),
+	.B1(n_4912),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[66]),
+	.C1(n_25965),
+	.X(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[2]_1470 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g747331 (
+	.A1(n_476),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[4]),
+	.B1(n_4912),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[68]),
+	.C1(n_25966),
+	.X(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[4]_1472 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g747332 (
+	.A1(n_476),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[33]),
+	.B1(n_31119),
+	.B2(n_3450),
+	.C1(n_25453),
+	.X(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[33]_1501 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g747333 (
+	.A1(n_326),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[1]),
+	.B1(n_4913),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[129]),
+	.C1(n_25967),
+	.X(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[1]_1601 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g747334 (
+	.A1(n_326),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[2]),
+	.B1(n_4913),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[130]),
+	.C1(n_25968),
+	.X(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[2]_1602 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747335 (
+	.A(n_25872),
+	.B(n_31534),
+	.Y(n_26301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g747336 (
+	.A1(n_326),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[4]),
+	.B1(n_4913),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[132]),
+	.C1(n_25969),
+	.X(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[4]_1604 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32o_1 g747337 (
+	.A1(n_24223),
+	.A2(brqrv_top_brqrv_dma_ctrl_dma_nack_count[0]),
+	.A3(brqrv_top_brqrv_dma_ctrl_dma_nack_count[1]),
+	.B1(n_24205),
+	.B2(brqrv_top_brqrv_dma_ctrl_dma_nack_count[2]),
+	.X(n_26300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g747338 (
+	.A1(n_31609),
+	.A2(n_31594),
+	.A3(brqrv_top_brqrv_exu_i0_predict_p_d[54]),
+	.B1(n_467),
+	.B2(n_25245),
+	.Y(brqrv_top_brqrv_exu_i0_pred_correct_upper_d), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g747339 (
+	.A(brqrv_top_dmi_reg_wdata[24]),
+	.B(brqrv_top_dmi_reg_wdata[26]),
+	.C(brqrv_top_dmi_reg_wdata[25]),
+	.D(brqrv_top_dmi_reg_wdata[27]),
+	.Y(n_26299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g747340 (
+	.A1(brqrv_top_brqrv_ifu_aln_brdata2[4]),
+	.A2(n_5301),
+	.B1(n_6034),
+	.B2(brqrv_top_brqrv_ifu_aln_brdata1[4]),
+	.C1(n_5302),
+	.C2(brqrv_top_brqrv_ifu_aln_brdata0[4]),
+	.Y(n_26298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g747341 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[11]),
+	.A2(n_24498),
+	.B1(n_25581),
+	.Y(n_26297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g747342 (
+	.A(brqrv_top_brqrv_dbg_command_reg[10]),
+	.B(brqrv_top_brqrv_dbg_command_reg[11]),
+	.C(brqrv_top_brqrv_dbg_command_reg[8]),
+	.D(brqrv_top_brqrv_dbg_command_reg[9]),
+	.X(n_26296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g747343 (
+	.A(brqrv_top_dmi_reg_wdata[29]),
+	.B(brqrv_top_dmi_reg_wdata[28]),
+	.C(brqrv_top_dmi_reg_wdata[30]),
+	.D(brqrv_top_dmi_reg_wdata[31]),
+	.Y(n_26295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g747344 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[17]),
+	.A2(n_24492),
+	.B1(n_24241),
+	.B2(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[15]),
+	.C1(n_24471),
+	.C2(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[17]),
+	.Y(n_26294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g747345 (
+	.A1(brqrv_top_brqrv_dbg_data1_reg[1]),
+	.A2(brqrv_top_brqrv_dbg_data1_reg[0]),
+	.B1(n_25984),
+	.Y(n_26293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g747346 (
+	.A1(n_33831),
+	.A2(n_66),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [31]),
+	.C1(n_39165),
+	.C2(n_33903),
+	.Y(n_26292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g747347 (
+	.A1(n_31923),
+	.A2(n_32309),
+	.B1(n_31842),
+	.B2(n_31504),
+	.C1(n_25267),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[107]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g747348 (
+	.A1(brqrv_top_brqrv_ifu_aln_brdata1[4]),
+	.A2(n_5301),
+	.B1(n_6034),
+	.B2(brqrv_top_brqrv_ifu_aln_brdata0[4]),
+	.C1(n_5302),
+	.C2(brqrv_top_brqrv_ifu_aln_brdata2[4]),
+	.Y(n_26291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g747349 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[25]),
+	.A2(n_24265),
+	.B1(n_24490),
+	.B2(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[23]),
+	.C1(n_24487),
+	.C2(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[25]),
+	.Y(n_26290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g747350 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[29]),
+	.A2(n_24474),
+	.B1(n_24256),
+	.B2(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[27]),
+	.C1(n_24263),
+	.C2(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[29]),
+	.Y(n_26289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g747351 (
+	.A1(n_31923),
+	.A2(n_32308),
+	.B1(n_31842),
+	.B2(n_32454),
+	.C1(n_25242),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[75]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g747352 (
+	.A(brqrv_top_brqrv_dec_tlu_dbg_halt_state_f),
+	.B(brqrv_top_brqrv_dec_tlu_dcsr_single_step_done_f),
+	.C(brqrv_top_brqrv_dec_tlu_debug_brkpt_valid),
+	.D_N(n_32704),
+	.Y(n_26288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g747354 (
+	.A(n_26109),
+	.B(brqrv_top_brqrv_dbg_sb_abmem_data_done),
+	.C(brqrv_top_brqrv_dbg_sb_abmem_cmd_done),
+	.Y(n_26286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g747355 (
+	.A1(brqrv_top_brqrv_ifu_aln_brdata2[12]),
+	.A2(n_5301),
+	.B1(n_6034),
+	.B2(brqrv_top_brqrv_ifu_aln_brdata1[12]),
+	.C1(n_5302),
+	.C2(brqrv_top_brqrv_ifu_aln_brdata0[12]),
+	.Y(n_26285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g747356 (
+	.A1(n_24110),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[18]),
+	.B1(n_24105),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[19]),
+	.C1(n_25844),
+	.Y(n_26284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g747357 (
+	.A1(n_8148),
+	.A2(n_25091),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_7547),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rst[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g747358 (
+	.A1(n_24452),
+	.A2(n_31568),
+	.B1(n_24026),
+	.Y(brqrv_top_brqrv_ifu_aln_wrptr_in[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g747359 (
+	.A1(brqrv_top_brqrv_dec_dec_i0_instr_d[5]),
+	.A2(n_387),
+	.A3(n_31744),
+	.B1(n_31643),
+	.Y(n_26283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32o_1 g747360 (
+	.A1(n_24219),
+	.A2(brqrv_top_brqrv_dec_dec_i0_instr_d[22]),
+	.A3(brqrv_top_brqrv_dec_i0_predict_p_d[45]),
+	.B1(n_25180),
+	.B2(n_22543),
+	.X(n_26282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g747361 (
+	.A1(brqrv_top_brqrv_dec_tlu_debug_halt_req),
+	.A2(n_24499),
+	.B1(brqrv_top_brqrv_dec_tlu_trigger_hit_dmode_r_d1),
+	.C1(n_25492),
+	.Y(n_26281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g747362 (
+	.A1(n_24111),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[44]),
+	.B1(n_24110),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[42]),
+	.C1(n_25779),
+	.Y(n_26280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g747363 (
+	.A1(n_24111),
+	.A2(brqrv_top_brqrv_lsu_stbuf_n_604),
+	.B1(n_24105),
+	.B2(brqrv_top_brqrv_lsu_stbuf_n_600),
+	.C1(n_25836),
+	.Y(n_26279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g747364 (
+	.A1(n_33473),
+	.A2(brqrv_top_brqrv_dbg_cmd_valid),
+	.B1(brqrv_top_brqrv_dbg_dbg_state[0]),
+	.C1(n_39120),
+	.Y(n_26278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g747365 (
+	.A(n_32678),
+	.B(n_26070),
+	.C(n_31528),
+	.Y(brqrv_top_brqrv_dec_tlu_wr_dicawics_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g747366 (
+	.A1(brqrv_top_brqrv_dec_tlu_debug_mode),
+	.A2(n_25236),
+	.B1(n_30453),
+	.Y(brqrv_top_brqrv_dec_tlu_cpu_halt_status), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747367 (
+	.A(n_26089),
+	.B(n_25382),
+	.Y(brqrv_top_brqrv_exu_x_data_en_q2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g747368 (
+	.A(brqrv_top_brqrv_dec_tlu_n_373),
+	.B(n_26070),
+	.C(n_31510),
+	.Y(brqrv_top_brqrv_dec_tlu_wr_mrac_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g747369 (
+	.A1(n_24103),
+	.A2(brqrv_top_brqrv_lsu_stbuf_n_596),
+	.B1(brqrv_top_brqrv_lsu_stbuf_n_600),
+	.B2(n_24102),
+	.C1(n_25866),
+	.Y(n_26277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g747370 (
+	.A1(brqrv_top_brqrv_dec_tlu_npc_r_d1[19]),
+	.A2(n_24217),
+	.B1(brqrv_top_brqrv_dec_tlu_npc_r[18]),
+	.B2(n_24227),
+	.C1(brqrv_top_brqrv_dec_tlu_npc_r[19]),
+	.C2(n_24464),
+	.Y(n_26276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g747371 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc0[0]),
+	.A2(n_39357),
+	.B1(n_39355),
+	.B2(brqrv_top_brqrv_ifu_aln_misc1[0]),
+	.C1(n_39356),
+	.C2(brqrv_top_brqrv_ifu_aln_misc2[0]),
+	.Y(n_26275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g747372 (
+	.A1(n_8148),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_ifu_bus_cmd_valid),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_bus_cmd_req_in),
+	.X(brqrv_top_brqrv_ifu_mem_ctl_ifc_bus_ic_req_ff_in), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_0 g747373 (
+	.A1(brqrv_top_brqrv_dec_tlu_inst_acc_r),
+	.A2(n_25505),
+	.B1_N(n_30481),
+	.Y(n_26274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g747374 (
+	.A1(n_25309),
+	.A2(brqrv_top_brqrv_dec_tlu_mpc_debug_run_req_sync),
+	.B1(brqrv_top_brqrv_dec_tlu_mpc_run_state_f),
+	.Y(n_26273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31a_1 g747375 (
+	.A1(brqrv_top_brqrv_dec_decode_debug_valid_x),
+	.A2(brqrv_top_brqrv_dec_decode_x_d[22]),
+	.A3(brqrv_top_brqrv_dec_decode_x_d[1]),
+	.B1(brqrv_top_brqrv_dec_data_en[0]),
+	.X(brqrv_top_brqrv_dec_decode_n_964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g747376 (
+	.A(brqrv_top_brqrv_dec_tlu_dcsr_single_step_running_f),
+	.B(n_25306),
+	.C_N(brqrv_top_brqrv_dec_tlu_internal_dbg_halt_mode),
+	.Y(brqrv_top_brqrv_dec_tlu_debug_brkpt_status_ns), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g747377 (
+	.A1(n_32776),
+	.A2(n_32772),
+	.B1_N(n_26125),
+	.Y(brqrv_top_brqrv_dbg_dma_bubble), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747378 (
+	.A(n_25658),
+	.B(n_25657),
+	.Y(n_26272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747379 (
+	.A(n_25587),
+	.B(n_25585),
+	.Y(n_26271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g747380 (
+	.A(n_25871),
+	.B_N(n_25873),
+	.Y(n_26270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g747382 (
+	.A(brqrv_top_brqrv_ifu_ifc_miss_a),
+	.B(brqrv_top_brqrv_ifu_ifc_dma_iccm_stall_any_f),
+	.C(n_25303),
+	.Y(n_26268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g747383 (
+	.A(n_31831),
+	.B(n_539),
+	.C(brqrv_top_brqrv_dec_dec_i0_instr_d[13]),
+	.D(n_29899),
+	.Y(n_26267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g747384 (
+	.A1(n_30458),
+	.A2(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_n_26),
+	.B1(n_25319),
+	.C1(n_25606),
+	.Y(n_26266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g747385 (
+	.A1(brqrv_top_brqrv_dma_mem_tag[1]),
+	.A2(n_5424),
+	.B1(n_26132),
+	.Y(n_26265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747386 (
+	.A(n_25494),
+	.B(n_25772),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747387 (
+	.A(n_39170),
+	.B(n_25948),
+	.Y(brqrv_top_brqrv_dec_decode_div_active_in), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747388 (
+	.A(brqrv_top_brqrv_exu_flush_final),
+	.B(n_25982),
+	.Y(brqrv_top_brqrv_ifu_ic_access_fault_f[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g747389 (
+	.A1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.A2(brqrv_top_brqrv_lsu_error_pkt_r[34]),
+	.B1(brqrv_top_brqrv_dec_tlu_i0_trigger_hit_raw_r),
+	.C1(n_26120),
+	.Y(n_26264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g747390 (
+	.A1(n_24208),
+	.A2(n_31568),
+	.B1_N(brqrv_top_brqrv_ifu_aln_qwen[0]),
+	.Y(brqrv_top_brqrv_ifu_aln_wrptr_in[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g747391 (
+	.A1(brqrv_top_brqrv_ifu_aln_n_2319),
+	.A2(n_31572),
+	.B1(brqrv_top_brqrv_ifu_aln_n_2310),
+	.B2(n_31574),
+	.C1(n_25341),
+	.Y(brqrv_top_brqrv_ifu_aln_rdptr_in[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g747392 (
+	.A1(brqrv_top_brqrv_ifu_aln_n_2310),
+	.A2(n_31572),
+	.B1(brqrv_top_brqrv_ifu_aln_n_2316),
+	.B2(n_31574),
+	.C1(n_25285),
+	.Y(brqrv_top_brqrv_ifu_aln_rdptr_in[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g747393 (
+	.A1(n_31127),
+	.A2(n_19524),
+	.B1(n_25806),
+	.C1(n_32045),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g747394 (
+	.A1(n_24236),
+	.A2(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[19]),
+	.B1(brqrv_top_brqrv_dec_tlu_flush_path_r[21]),
+	.B2(n_24476),
+	.C1(n_25926),
+	.Y(n_26263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g747395 (
+	.A1(n_24470),
+	.A2(brqrv_top_brqrv_pred_correct_npc_x[27]),
+	.B1(brqrv_top_brqrv_exu_pred_correct_npc_r[24]),
+	.B2(n_24462),
+	.C1(n_25907),
+	.Y(n_26262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g747396 (
+	.A(n_26074),
+	.B_N(brqrv_top_brqrv_dbg_sb_abmem_cmd_done),
+	.Y(brqrv_top_brqrv_dbg_sb_abmem_cmd_doneff_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g747397 (
+	.A1(n_25508),
+	.A2(brqrv_top_brqrv_lsu_lsu_pkt_m[6]),
+	.B1(brqrv_top_brqrv_dec_tlu_lsu_clk_override),
+	.X(brqrv_top_brqrv_lsu_dccm_ctl_n_1114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g747398 (
+	.A1(n_25535),
+	.A2(n_25521),
+	.B1_N(brqrv_top_brqrv_dec_extint_stall),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_fir_dccm_access_error_d), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g747399 (
+	.A_N(brqrv_top_brqrv_ifu_mem_ctl_flush_final_f),
+	.B(n_32604),
+	.C(n_25433),
+	.X(n_26261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g747400 (
+	.A1(n_31533),
+	.A2(n_25537),
+	.B1(n_31290),
+	.Y(n_26260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g747401 (
+	.A1(n_31533),
+	.A2(n_25516),
+	.B1(n_31290),
+	.Y(n_26259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g747402 (
+	.A_N(brqrv_top_brqrv_dec_tlu_trigger_hit_dmode_r_d1),
+	.B(n_25476),
+	.C(brqrv_top_brqrv_dec_tlu_dcsr_single_step_done_f),
+	.Y(n_26258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g747403 (
+	.A1(n_31127),
+	.A2(n_24426),
+	.B1(n_25807),
+	.C1(n_32046),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g747404 (
+	.A1(n_39151),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [145]),
+	.B1(n_2849),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [67]),
+	.C1(n_25910),
+	.Y(n_26257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g747405 (
+	.A1(n_31127),
+	.A2(n_24391),
+	.B1(n_25808),
+	.C1(n_32048),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g747406 (
+	.A1(n_32347),
+	.A2(n_19524),
+	.B1(n_25809),
+	.C1(n_32001),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[1]_1535 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g747407 (
+	.A1(n_32347),
+	.A2(n_24426),
+	.B1(n_25810),
+	.C1(n_32002),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[2]_1536 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g747408 (
+	.A1(n_32347),
+	.A2(n_24391),
+	.B1(n_25811),
+	.C1(n_32004),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[4]_1538 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g747409 (
+	.A(n_25254),
+	.B(n_31140),
+	.C(n_25462),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dualhiff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g747410 (
+	.A(n_25291),
+	.B(n_32307),
+	.C(n_25466),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dualhiff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g747411 (
+	.A1(n_25418),
+	.A2(n_25403),
+	.B1(n_25426),
+	.Y(n_26256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g747412 (
+	.A1(brqrv_top_brqrv_dec_decode_n_1558),
+	.A2(brqrv_top_brqrv_dec_decode_n_1461),
+	.A3(brqrv_top_brqrv_dec_decode_n_1428),
+	.B1(brqrv_top_brqrv_dec_decode_csr_read_x),
+	.Y(n_26255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g747413 (
+	.A(n_25278),
+	.B(n_31493),
+	.C(n_25530),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dualhiff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g747414 (
+	.A(n_25260),
+	.B(n_31137),
+	.C(n_25468),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dualhiff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32o_1 g747415 (
+	.A1(n_32365),
+	.A2(brqrv_top_brqrv_div_p[2]),
+	.A3(n_24232),
+	.B1(n_39170),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_in [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747416 (
+	.A(n_26139),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_valid[3]),
+	.Y(n_26254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g747417 (
+	.A(brqrv_top_brqrv_dbg_sb_state[2]),
+	.B(n_24365),
+	.C(n_25437),
+	.Y(n_26423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g747418 (
+	.A1(n_30644),
+	.A2(n_30793),
+	.B1(n_26097),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill_en[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g747419 (
+	.A1(n_30645),
+	.A2(n_30792),
+	.B1(n_26097),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill_en[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g747420 (
+	.A1(n_30643),
+	.A2(n_30794),
+	.B1(n_26097),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill_en[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4bb_1 g747421 (
+	.A(brqrv_top_brqrv_dec_tlu_dec_tlu_pmu_fw_halted),
+	.B(brqrv_top_brqrv_dec_tlu_n_12976),
+	.C_N(n_30439),
+	.D_N(n_30460),
+	.Y(n_26421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g747422 (
+	.A1(n_30642),
+	.A2(n_30791),
+	.B1(n_26097),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill_en[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g747423 (
+	.A1(n_6018),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[12]),
+	.B1(n_6019),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[4]),
+	.C1(n_25913),
+	.Y(n_26420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g747424 (
+	.A1(n_6018),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[15]),
+	.B1(n_6017),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[11]),
+	.C1(n_25903),
+	.Y(n_26419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g747425 (
+	.A1(n_0),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[1]),
+	.B1(n_6018),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[13]),
+	.C1(n_25905),
+	.Y(n_26418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g747426 (
+	.A1(n_2847),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [1]),
+	.B1(n_2849),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [40]),
+	.C1(n_25908),
+	.Y(n_26417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747427 (
+	.A(n_25405),
+	.B(n_26149),
+	.Y(n_26416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747428 (
+	.A(n_32776),
+	.B(n_26102),
+	.Y(n_26415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747429 (
+	.A(brqrv_top_brqrv_dec_tlu_n_13273),
+	.B(n_26094),
+	.Y(n_26414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g747430 (
+	.A1(n_6018),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[14]),
+	.B1(n_6017),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[10]),
+	.C1(n_25900),
+	.Y(n_26413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747431 (
+	.A(n_26065),
+	.B(brqrv_top_brqrv_dbg_dmstatus_haveresetn),
+	.Y(n_26412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747432 (
+	.A(n_25988),
+	.B(n_32836),
+	.Y(n_26411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747433 (
+	.A(n_25989),
+	.B(n_32832),
+	.Y(n_26410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g747434 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[2]),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[5]),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[6]),
+	.B2(n_24355),
+	.C1(n_24312),
+	.Y(n_26409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747435 (
+	.A(brqrv_top_brqrv_dbg_dmstatus_resumeack),
+	.B(n_26066),
+	.Y(n_26408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g747436 (
+	.A_N(brqrv_top_brqrv_dma_ctrl_dma_nack_count[0]),
+	.B(n_26117),
+	.Y(n_26406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g747437 (
+	.A(n_26065),
+	.B(brqrv_top_brqrv_n_51),
+	.X(n_26405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g747438 (
+	.A(n_32678),
+	.B(n_26070),
+	.C(n_31458),
+	.Y(n_26404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g747439 (
+	.A1(brqrv_top_brqrv_ifu_aln_brdata1[10]),
+	.A2(n_5301),
+	.B1(n_6034),
+	.B2(brqrv_top_brqrv_ifu_aln_brdata0[10]),
+	.C1(n_5302),
+	.C2(brqrv_top_brqrv_ifu_aln_brdata2[10]),
+	.Y(n_26403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g747440 (
+	.A1(n_24204),
+	.A2(brqrv_top_brqrv_ifu_aln_q2pc[26]),
+	.B1(n_34824),
+	.B2(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.C1(n_25924),
+	.Y(n_26402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g747441 (
+	.A_N(n_26099),
+	.B(brqrv_top_brqrv_dbg_sb_state[3]),
+	.Y(n_26401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747442 (
+	.A(n_25392),
+	.B(n_26068),
+	.Y(n_26400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g747443 (
+	.A_N(n_26087),
+	.B(n_26119),
+	.Y(n_26399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g747444 (
+	.A(n_32773),
+	.B_N(n_26108),
+	.Y(brqrv_top_brqrv_dma_ctrl_wrbuf_cmd_sent), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g747445 (
+	.A_N(n_31530),
+	.B(n_26093),
+	.C(n_29915),
+	.Y(n_26397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g747446 (
+	.A1(brqrv_top_brqrv_ifu_aln_brdata1[12]),
+	.A2(n_5301),
+	.B1(n_6034),
+	.B2(brqrv_top_brqrv_ifu_aln_brdata0[12]),
+	.C1(n_5302),
+	.C2(brqrv_top_brqrv_ifu_aln_brdata2[12]),
+	.Y(n_26396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747447 (
+	.A(n_25413),
+	.B(n_26149),
+	.Y(n_26395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g747448 (
+	.A1(n_24204),
+	.A2(brqrv_top_brqrv_ifu_aln_q2pc[25]),
+	.B1(n_34823),
+	.B2(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.C1(n_25922),
+	.Y(n_26394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g747449 (
+	.A1(n_24216),
+	.A2(brqrv_top_brqrv_ifu_aln_q0pc[23]),
+	.B1(n_34821),
+	.B2(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.C1(n_25920),
+	.Y(n_26393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747450 (
+	.A(brqrv_top_brqrv_dbg_dmstatus_halted),
+	.B(n_26066),
+	.Y(n_26392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747451 (
+	.A(n_26115),
+	.B(n_25449),
+	.Y(n_26391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g747452 (
+	.A1(n_24216),
+	.A2(brqrv_top_brqrv_ifu_aln_q0pc[24]),
+	.B1(n_34822),
+	.B2(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.C1(n_25904),
+	.Y(n_26390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g747453 (
+	.A1(n_25290),
+	.A2(n_30518),
+	.B1(n_31576),
+	.Y(n_26389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g747454 (
+	.A1(n_24507),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [118]),
+	.B1(n_2605),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [79]),
+	.C1(n_39169),
+	.X(n_33522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747455 (
+	.A(n_25405),
+	.B(n_38490),
+	.Y(n_26388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747456 (
+	.A(n_31477),
+	.B(n_26094),
+	.Y(n_26387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g747457 (
+	.A_N(n_26087),
+	.B(n_25401),
+	.Y(n_26386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g747458 (
+	.A(n_29915),
+	.B(n_32217),
+	.C(n_29924),
+	.D(n_31510),
+	.Y(n_26385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747459 (
+	.A(n_26107),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_n_326),
+	.Y(n_26384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747460 (
+	.A(n_26143),
+	.B(n_24372),
+	.Y(n_26383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g747462 (
+	.A1(brqrv_top_brqrv_ifu_ifc_state[0]),
+	.A2(n_25296),
+	.B1_N(brqrv_top_brqrv_dec_tlu_flush_noredir_r),
+	.Y(n_26382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747463 (
+	.A(n_26107),
+	.B(brqrv_top_brqrv_dec_dec_csr_wrdata_r[29]),
+	.Y(n_26381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747464 (
+	.A(n_26107),
+	.B(brqrv_top_brqrv_dec_dec_csr_wrdata_r[27]),
+	.Y(n_26380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747465 (
+	.A(n_25401),
+	.B(n_26076),
+	.Y(n_26379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747467 (
+	.A(n_26109),
+	.B(brqrv_top_brqrv_dbg_dbg_state[1]),
+	.Y(n_26378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g747468 (
+	.A1(n_30690),
+	.A2(n_32542),
+	.B1(brqrv_top_brqrv_dma_mem_write),
+	.B2(brqrv_top_brqrv_dec_lsu_valid_raw_d),
+	.C1(n_24212),
+	.Y(brqrv_top_brqrv_lsu_lsu_pkt_d[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747469 (
+	.A(n_25413),
+	.B(n_38490),
+	.Y(n_26376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g747470 (
+	.A(n_31528),
+	.B_N(n_26127),
+	.Y(n_26375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747471 (
+	.A(n_26113),
+	.B(brqrv_top_brqrv_dbg_dmstatus_halted),
+	.Y(n_26374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g747472 (
+	.A(n_26085),
+	.B(brqrv_top_brqrv_dec_decode_x_d[3]),
+	.X(n_26373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747473 (
+	.A(n_26022),
+	.B(n_32501),
+	.Y(n_26372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g747474 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wraddr_r[7]),
+	.B(n_26115),
+	.C(n_31482),
+	.Y(n_26370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g747475 (
+	.A1(n_31190),
+	.A2(n_22931),
+	.B1(n_741),
+	.B2(n_31193),
+	.C1(n_31161),
+	.C2(n_32915),
+	.Y(n_26369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g747476 (
+	.A_N(n_33366),
+	.B(n_25439),
+	.C(n_33367),
+	.Y(n_26368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g747477 (
+	.A1(n_31182),
+	.A2(n_22931),
+	.B1(n_741),
+	.B2(n_31184),
+	.C1(n_32388),
+	.C2(n_32915),
+	.Y(n_26366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g747478 (
+	.A1(n_31208),
+	.A2(n_22931),
+	.B1(n_741),
+	.B2(n_32394),
+	.C1(n_39256),
+	.C2(n_32915),
+	.Y(n_26365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747479 (
+	.A(brqrv_top_dmi_reg_addr[0]),
+	.B(n_26114),
+	.Y(n_26364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747480 (
+	.A(brqrv_top_brqrv_dma_dccm_req),
+	.B(brqrv_top_brqrv_lsu_p[0]),
+	.Y(n_26362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747481 (
+	.A(n_26021),
+	.B(n_31500),
+	.Y(n_26361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g747482 (
+	.A_N(n_25405),
+	.B(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_m[0]),
+	.C(n_24092),
+	.Y(n_26360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747483 (
+	.A(brqrv_top_brqrv_dbg_sb_state[3]),
+	.B(n_26099),
+	.Y(brqrv_top_brqrv_dbg_n_4554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g747484 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_m[0]),
+	.B(n_25412),
+	.C(n_24092),
+	.Y(n_26358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g747485 (
+	.A(brqrv_top_brqrv_trigger_pkt_any[146]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[32]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[70]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[108]),
+	.Y(n_26356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747486 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[0]),
+	.B(n_26091),
+	.Y(n_26354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747487 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[0]),
+	.B(n_26105),
+	.Y(n_26352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747488 (
+	.A(n_25438),
+	.B(n_26134),
+	.Y(n_26350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747489 (
+	.A(n_24092),
+	.B(n_26105),
+	.Y(n_26349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747490 (
+	.A(n_26090),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[0]),
+	.Y(n_26347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747491 (
+	.A(n_25438),
+	.B(n_26142),
+	.Y(n_26345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g747492 (
+	.A_N(n_25971),
+	.B(n_25385),
+	.Y(n_26344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747493 (
+	.A(brqrv_top_brqrv_dec_decode_n_1234),
+	.B(n_26072),
+	.Y(n_26343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747495 (
+	.A(n_24381),
+	.B(n_26114),
+	.Y(n_26341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747496 (
+	.A(n_26015),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_addr[98]),
+	.Y(n_26339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747497 (
+	.A(n_26013),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_addr[66]),
+	.Y(n_26337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747498 (
+	.A(n_26011),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_addr[130]),
+	.Y(n_26335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g747499 (
+	.A(n_26019),
+	.B(brqrv_top_brqrv_dma_ctrl_n_588),
+	.X(n_26334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g747500 (
+	.A(n_26018),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_addr[34]),
+	.X(n_26333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g747502 (
+	.A(n_26244),
+	.Y(brqrv_top_brqrv_ifu_i0_bp_fghr[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g747503 (
+	.A(n_26211),
+	.Y(brqrv_top_brqrv_ifu_i0_bp_fghr[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g747504 (
+	.A(brqrv_top_dccm_rd_addr_lo[10]),
+	.Y(n_26251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g747505 (
+	.A(brqrv_top_dccm_rd_addr_lo[6]),
+	.Y(n_26250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g747506 (
+	.A(brqrv_top_dccm_rd_addr_lo[4]),
+	.Y(n_26249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g747507 (
+	.A(brqrv_top_dccm_rd_addr_lo[8]),
+	.Y(n_26248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g747508 (
+	.A(n_26246),
+	.Y(n_26247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747509 (
+	.A1(n_25347),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[9]),
+	.B1(n_33628),
+	.B2(brqrv_top_brqrv_dec_dec_pause_state),
+	.X(n_26245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g747510 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc0[1]),
+	.A2(n_39357),
+	.B1(n_39355),
+	.B2(brqrv_top_brqrv_ifu_aln_misc1[1]),
+	.C1(n_39356),
+	.C2(brqrv_top_brqrv_ifu_aln_misc2[1]),
+	.Y(n_26244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g747511 (
+	.A1_N(brqrv_top_brqrv_dec_decode_r_t[10]),
+	.A2_N(brqrv_top_brqrv_dec_decode_x_t_in[10]),
+	.B1(brqrv_top_brqrv_dec_decode_r_t[10]),
+	.B2(brqrv_top_brqrv_dec_decode_x_t_in[10]),
+	.Y(n_26243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g747512 (
+	.A1(n_24443),
+	.A2(brqrv_top_brqrv_dec_decode_r_t[16]),
+	.B1(n_25998),
+	.X(n_26242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g747513 (
+	.A1(n_24502),
+	.A2(brqrv_top_brqrv_dec_decode_x_t[12]),
+	.B1(n_25986),
+	.X(n_26241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g747514 (
+	.A1_N(brqrv_top_brqrv_dec_decode_r_t[11]),
+	.A2_N(brqrv_top_brqrv_dec_decode_x_t_in[11]),
+	.B1(brqrv_top_brqrv_dec_decode_r_t[11]),
+	.B2(brqrv_top_brqrv_dec_decode_x_t_in[11]),
+	.Y(n_26240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g747515 (
+	.A1(n_24105),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[31]),
+	.B1(n_24111),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[32]),
+	.C1(n_25081),
+	.X(n_26239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g747516 (
+	.A1(n_476),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[0]),
+	.B1(n_4912),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[64]),
+	.C1(n_25964),
+	.X(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[0]_1468 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g747517 (
+	.A1(n_24465),
+	.A2(brqrv_top_brqrv_dec_tlu_pc_r[18]),
+	.B1(n_24495),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r[19]),
+	.C1(n_25265),
+	.X(n_26238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747518 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_meipt[3]),
+	.A2_N(n_25372),
+	.B1(n_1702),
+	.B2(n_25372),
+	.Y(brqrv_top_brqrv_dec_tlu_meipt_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747519 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_meipt[2]),
+	.A2_N(n_25372),
+	.B1(n_1703),
+	.B2(n_25372),
+	.Y(brqrv_top_brqrv_dec_tlu_meipt_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747520 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_meipt[0]),
+	.A2_N(n_25372),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_298),
+	.B2(n_25372),
+	.Y(brqrv_top_brqrv_dec_tlu_meipt_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747521 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_meicurpl[3]),
+	.A2_N(n_25379),
+	.B1(n_1702),
+	.B2(n_25379),
+	.Y(brqrv_top_brqrv_dec_tlu_meicurpl_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747522 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_meicurpl[2]),
+	.A2_N(n_25379),
+	.B1(n_1703),
+	.B2(n_25379),
+	.Y(brqrv_top_brqrv_dec_tlu_meicurpl_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747523 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_meicurpl[0]),
+	.A2_N(n_25379),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_298),
+	.B2(n_25379),
+	.Y(brqrv_top_brqrv_dec_tlu_meicurpl_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747524 (
+	.A1_N(brqrv_top_brqrv_dec_decode_x_d[22]),
+	.A2_N(brqrv_top_brqrv_dec_decode_d_d[22]),
+	.B1(brqrv_top_brqrv_dec_decode_x_d[22]),
+	.B2(brqrv_top_brqrv_dec_decode_d_d[22]),
+	.Y(n_26237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747525 (
+	.A1(n_25356),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[5]),
+	.B1(n_25355),
+	.B2(brqrv_top_brqrv_dec_tlu_mfdht[5]),
+	.X(brqrv_top_brqrv_dec_tlu_mfdht_ff_n_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747526 (
+	.A1(n_25356),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[4]),
+	.B1(n_25355),
+	.B2(brqrv_top_brqrv_dec_tlu_mfdht[4]),
+	.X(brqrv_top_brqrv_dec_tlu_mfdht_ff_n_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747527 (
+	.A1(n_25356),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[3]),
+	.B1(n_25355),
+	.B2(brqrv_top_brqrv_dec_tlu_mfdht[3]),
+	.X(brqrv_top_brqrv_dec_tlu_mfdht_ff_n_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747528 (
+	.A1(n_25356),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[2]),
+	.B1(n_25355),
+	.B2(brqrv_top_brqrv_dec_tlu_mfdht[2]),
+	.X(brqrv_top_brqrv_dec_tlu_mfdht_ff_n_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747529 (
+	.A1(n_25356),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[1]),
+	.B1(n_25355),
+	.B2(brqrv_top_brqrv_dec_tlu_mfdht[1]),
+	.X(brqrv_top_brqrv_dec_tlu_mfdht_ff_n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747530 (
+	.A1(n_25356),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[0]),
+	.B1(n_25355),
+	.B2(brqrv_top_brqrv_dec_tlu_mfdht[0]),
+	.X(brqrv_top_brqrv_dec_tlu_mfdht_ff_n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g747531 (
+	.A1(n_1704),
+	.A2(n_25372),
+	.B1(n_24418),
+	.B2(n_25371),
+	.Y(brqrv_top_brqrv_dec_tlu_meipt_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g747532 (
+	.A1(n_1704),
+	.A2(n_25379),
+	.B1(n_24170),
+	.B2(n_25378),
+	.Y(brqrv_top_brqrv_dec_tlu_meicurpl_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g747533 (
+	.A1(n_25354),
+	.A2(n_25359),
+	.B1(n_26009),
+	.Y(n_26236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g747534 (
+	.A1(n_24400),
+	.A2(n_33381),
+	.B1(n_24106),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[35]),
+	.C1(n_33383),
+	.C2(n_24434),
+	.Y(n_26235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747535 (
+	.A1(n_25342),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_vld[2]),
+	.B1(n_25344),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_vld[3]),
+	.X(n_26234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g747536 (
+	.A1(n_24354),
+	.A2(n_24369),
+	.A3(brqrv_top_brqrv_dbg_dmcontrol_reg[31]),
+	.B1(n_39120),
+	.B2(brqrv_top_brqrv_dbg_dmstatus_halted),
+	.Y(n_26233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g747538 (
+	.A1(n_25397),
+	.A2(n_32816),
+	.B1(n_31870),
+	.B2(brqrv_top_brqrv_lsu_ldst_dual_r),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g747539 (
+	.A1(n_25398),
+	.A2(n_32816),
+	.B1(n_31869),
+	.B2(brqrv_top_brqrv_lsu_ldst_dual_r),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g747540 (
+	.A(n_25805),
+	.B(n_32136),
+	.C(n_25228),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[27]_1627 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g747541 (
+	.A(n_25804),
+	.B(n_32135),
+	.C(n_25227),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[26]_1626 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g747542 (
+	.A(n_25803),
+	.B(n_31009),
+	.C(n_25238),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[25]_1625 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g747543 (
+	.A(n_25802),
+	.B(n_32134),
+	.C(n_25226),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[24]_1624 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g747544 (
+	.A1(n_326),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[23]),
+	.B1(n_4913),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[151]),
+	.C1(n_25977),
+	.X(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[23]_1623 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g747545 (
+	.A1(n_326),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[22]),
+	.B1(n_4913),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[150]),
+	.C1(n_25976),
+	.X(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[22]_1622 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g747546 (
+	.A1(n_326),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[21]),
+	.B1(n_4913),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[149]),
+	.C1(n_25975),
+	.X(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[21]_1621 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g747547 (
+	.A1(n_326),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[20]),
+	.B1(n_4913),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[148]),
+	.C1(n_25974),
+	.X(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[20]_1620 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g747548 (
+	.A1(n_326),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[18]),
+	.B1(n_4913),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[146]),
+	.C1(n_25973),
+	.X(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[18]_1618 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g747549 (
+	.A1(n_3627),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[63]),
+	.B1(n_32313),
+	.B2(n_3446),
+	.C1(n_24515),
+	.X(brqrv_top_brqrv_dma_ctrl_n_1463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g747550 (
+	.A1(n_3627),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[62]),
+	.B1(n_32310),
+	.B2(n_3446),
+	.C1(n_24509),
+	.X(brqrv_top_brqrv_dma_ctrl_n_1462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g747551 (
+	.A1(n_3627),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[61]),
+	.B1(n_32312),
+	.B2(n_3446),
+	.C1(n_24271),
+	.X(brqrv_top_brqrv_dma_ctrl_n_1461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g747552 (
+	.A1(n_3627),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[60]),
+	.B1(n_32314),
+	.B2(n_3446),
+	.C1(n_24283),
+	.X(brqrv_top_brqrv_dma_ctrl_n_1460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g747553 (
+	.A1(n_3627),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[58]),
+	.B1(n_32315),
+	.B2(n_3446),
+	.C1(n_24273),
+	.X(brqrv_top_brqrv_dma_ctrl_n_1458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g747554 (
+	.A1(n_3627),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[56]),
+	.B1(n_32311),
+	.B2(n_3446),
+	.C1(n_24270),
+	.X(brqrv_top_brqrv_dma_ctrl_n_1456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g747555 (
+	.A1(n_1294),
+	.A2(n_31208),
+	.B1(n_39135),
+	.B2(n_31207),
+	.C1(n_25318),
+	.X(n_26232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g747556 (
+	.A1(n_1294),
+	.A2(n_31190),
+	.B1(n_39135),
+	.B2(n_31189),
+	.C1(n_25315),
+	.X(n_26231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g747557 (
+	.A1(n_31567),
+	.A2(n_7784),
+	.A3(brqrv_top_brqrv_ifu_ifc_fb_full_f),
+	.B1(n_31565),
+	.B2(brqrv_top_brqrv_ifu_ifc_fb_write_f[2]),
+	.Y(n_26230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g747558 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[1]),
+	.A2(n_476),
+	.B1(n_33269),
+	.B2(n_3450),
+	.C1(n_4912),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_addr[65]),
+	.Y(n_26229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32o_1 g747559 (
+	.A1(n_32605),
+	.A2(n_7752),
+	.A3(brqrv_top_brqrv_ifu_mem_ctl_ic_crit_wd_rdy_new_ff),
+	.B1(n_25404),
+	.B2(n_24446),
+	.X(n_26228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g747560 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_n_329),
+	.A2(n_31312),
+	.B1(n_25796),
+	.Y(brqrv_top_brqrv_dec_tlu_dpc_ns[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g747561 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_n_327),
+	.A2(n_31312),
+	.B1(n_25795),
+	.Y(brqrv_top_brqrv_dec_tlu_dpc_ns[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g747562 (
+	.A1(n_1823),
+	.A2(n_31312),
+	.B1(n_25794),
+	.Y(brqrv_top_brqrv_dec_tlu_dpc_ns[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g747563 (
+	.A1(n_1319),
+	.A2(n_31312),
+	.B1(n_25793),
+	.Y(brqrv_top_brqrv_dec_tlu_dpc_ns[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g747564 (
+	.A1(n_1308),
+	.A2(n_31312),
+	.B1(n_25792),
+	.Y(brqrv_top_brqrv_dec_tlu_dpc_ns[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g747565 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_n_310),
+	.A2(n_31312),
+	.B1(n_25791),
+	.Y(brqrv_top_brqrv_dec_tlu_dpc_ns[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g747566 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_n_309),
+	.A2(n_31312),
+	.B1(n_25790),
+	.Y(brqrv_top_brqrv_dec_tlu_dpc_ns[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g747567 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_n_308),
+	.A2(n_31312),
+	.B1(n_25789),
+	.Y(brqrv_top_brqrv_dec_tlu_dpc_ns[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g747568 (
+	.A1(n_1704),
+	.A2(n_31312),
+	.B1(n_25788),
+	.Y(brqrv_top_brqrv_dec_tlu_dpc_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g747569 (
+	.A1(brqrv_top_brqrv_i0_ap[5]),
+	.A2(n_25494),
+	.B1(n_25773),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [32]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g747570 (
+	.A1(n_31488),
+	.A2(n_39195),
+	.B1(n_25771),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g747571 (
+	.A1(n_31488),
+	.A2(n_39196),
+	.B1(n_25770),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g747572 (
+	.A1(n_31488),
+	.A2(n_25511),
+	.B1(n_25785),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g747573 (
+	.A1(n_31488),
+	.A2(n_25490),
+	.B1(n_25769),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g747574 (
+	.A1(n_31488),
+	.A2(n_25487),
+	.B1(n_25868),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g747575 (
+	.A1(n_31488),
+	.A2(n_25460),
+	.B1(n_25801),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g747576 (
+	.A1(n_31488),
+	.A2(n_25482),
+	.B1(n_25768),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g747577 (
+	.A1(n_31488),
+	.A2(n_25477),
+	.B1(n_25767),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g747578 (
+	.A1(n_31488),
+	.A2(n_25475),
+	.B1(n_25766),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g747579 (
+	.A1(n_31488),
+	.A2(n_25473),
+	.B1(n_25812),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g747580 (
+	.A1(n_31488),
+	.A2(n_25471),
+	.B1(n_25765),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g747581 (
+	.A1(n_31488),
+	.A2(n_25503),
+	.B1(n_25814),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g747582 (
+	.A1(n_31488),
+	.A2(n_25469),
+	.B1(n_25763),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g747583 (
+	.A1(n_31488),
+	.A2(n_25493),
+	.B1(n_25762),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g747584 (
+	.A1(n_31488),
+	.A2(n_25540),
+	.B1(n_25761),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g747585 (
+	.A1(n_31488),
+	.A2(n_25513),
+	.B1(n_25760),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g747586 (
+	.A1(n_31488),
+	.A2(n_25504),
+	.B1(n_25759),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g747587 (
+	.A1(n_31488),
+	.A2(n_25495),
+	.B1(n_25823),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g747588 (
+	.A1(n_31488),
+	.A2(n_25486),
+	.B1(n_25758),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g747589 (
+	.A1(n_31488),
+	.A2(n_25533),
+	.B1(n_25757),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g747590 (
+	.A1(n_31488),
+	.A2(n_25532),
+	.B1(n_25756),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g747591 (
+	.A1(n_31488),
+	.A2(n_25539),
+	.B1(n_25755),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g747592 (
+	.A1(n_31488),
+	.A2(n_25481),
+	.B1(n_25754),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g747593 (
+	.A1(n_31488),
+	.A2(n_25506),
+	.B1(n_25838),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g747594 (
+	.A1(n_31488),
+	.A2(n_25485),
+	.B1(n_25753),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g747595 (
+	.A1(n_31488),
+	.A2(n_25470),
+	.B1(n_25752),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g747596 (
+	.A1(n_31488),
+	.A2(n_25456),
+	.B1(n_25751),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g747597 (
+	.A1(n_31488),
+	.A2(n_25541),
+	.B1(n_25750),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g747598 (
+	.A1(n_24102),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[19]),
+	.B1(n_25723),
+	.Y(n_26227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747599 (
+	.A1(n_25342),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[27]),
+	.B1(n_25344),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[39]),
+	.X(n_26226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747600 (
+	.A1(n_25342),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[26]),
+	.B1(n_25344),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[38]),
+	.X(n_26225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g747601 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_timer[1]),
+	.B(n_25410),
+	.Y(n_26224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747602 (
+	.A1(n_25347),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[29]),
+	.B1(n_33648),
+	.B2(brqrv_top_brqrv_dec_dec_pause_state),
+	.X(n_26223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g747603 (
+	.A1(n_33127),
+	.A2(n_39129),
+	.B1(brqrv_top_brqrv_exu_i_alu_pcout[30]),
+	.B2(n_467),
+	.Y(n_26222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g747604 (
+	.A1(n_33123),
+	.A2(n_39129),
+	.B1(brqrv_top_brqrv_exu_i_alu_pcout[28]),
+	.B2(n_467),
+	.Y(n_26221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747605 (
+	.A1(n_25347),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[22]),
+	.B1(n_33641),
+	.B2(brqrv_top_brqrv_dec_dec_pause_state),
+	.X(n_26220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747606 (
+	.A1(n_25347),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[17]),
+	.B1(n_33636),
+	.B2(brqrv_top_brqrv_dec_dec_pause_state),
+	.X(n_26219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747607 (
+	.A1_N(brqrv_top_brqrv_dec_dec_pause_state),
+	.A2_N(n_33625),
+	.B1(n_1705),
+	.B2(n_25346),
+	.Y(n_26218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747608 (
+	.A1_N(brqrv_top_brqrv_dec_dec_pause_state),
+	.A2_N(n_33629),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_308),
+	.B2(n_25346),
+	.Y(n_26217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747609 (
+	.A1_N(brqrv_top_brqrv_dec_dec_pause_state),
+	.A2_N(n_33634),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_313),
+	.B2(n_25346),
+	.Y(n_26216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747610 (
+	.A1(n_25347),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[31]),
+	.B1(n_33650),
+	.B2(brqrv_top_brqrv_dec_dec_pause_state),
+	.X(n_26215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747611 (
+	.A1_N(brqrv_top_brqrv_dec_dec_pause_state),
+	.A2_N(n_33649),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_328),
+	.B2(n_25346),
+	.Y(n_26214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747612 (
+	.A1(n_25347),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[27]),
+	.B1(n_33646),
+	.B2(brqrv_top_brqrv_dec_dec_pause_state),
+	.X(n_26213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747613 (
+	.A1(n_25347),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[25]),
+	.B1(n_33644),
+	.B2(brqrv_top_brqrv_dec_dec_pause_state),
+	.X(n_26212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g747614 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc0[2]),
+	.A2(n_39357),
+	.B1(n_39355),
+	.B2(brqrv_top_brqrv_ifu_aln_misc1[2]),
+	.C1(n_39356),
+	.C2(brqrv_top_brqrv_ifu_aln_misc2[2]),
+	.Y(n_26211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747615 (
+	.A1(n_25347),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[21]),
+	.B1(n_33640),
+	.B2(brqrv_top_brqrv_dec_dec_pause_state),
+	.X(n_26210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747616 (
+	.A1_N(brqrv_top_brqrv_dec_dec_pause_state),
+	.A2_N(n_33638),
+	.B1(n_2025),
+	.B2(n_25346),
+	.Y(n_26209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747617 (
+	.A1(n_25347),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[13]),
+	.B1(n_33632),
+	.B2(brqrv_top_brqrv_dec_dec_pause_state),
+	.X(n_26208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747618 (
+	.A1_N(brqrv_top_brqrv_dec_dec_pause_state),
+	.A2_N(n_33630),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_309),
+	.B2(n_25346),
+	.Y(n_26207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747619 (
+	.A1_N(brqrv_top_brqrv_dec_dec_pause_state),
+	.A2_N(n_33627),
+	.B1(n_1303),
+	.B2(n_25346),
+	.Y(n_26206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747620 (
+	.A1_N(brqrv_top_brqrv_dec_dec_pause_state),
+	.A2_N(n_33626),
+	.B1(n_1304),
+	.B2(n_25346),
+	.Y(n_26205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747621 (
+	.A1_N(brqrv_top_brqrv_dec_dec_pause_state),
+	.A2_N(n_33624),
+	.B1(n_1295),
+	.B2(n_25346),
+	.Y(n_26204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747622 (
+	.A1(n_25347),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[24]),
+	.B1(n_33643),
+	.B2(brqrv_top_brqrv_dec_dec_pause_state),
+	.X(n_26203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747623 (
+	.A1_N(brqrv_top_brqrv_dec_dec_pause_state),
+	.A2_N(n_33639),
+	.B1(n_1819),
+	.B2(n_25346),
+	.Y(n_26202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747624 (
+	.A1(n_25347),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[16]),
+	.B1(n_33635),
+	.B2(brqrv_top_brqrv_dec_dec_pause_state),
+	.X(n_26201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747625 (
+	.A1(n_25347),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[14]),
+	.B1(n_33633),
+	.B2(brqrv_top_brqrv_dec_dec_pause_state),
+	.X(n_26200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747626 (
+	.A1(n_25342),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[95]),
+	.B1(n_25344),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[127]),
+	.X(n_26199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747627 (
+	.A1(n_25342),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[86]),
+	.B1(n_25344),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[118]),
+	.X(n_26198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g747628 (
+	.A1(n_25535),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_in_pic_d),
+	.B1(n_25521),
+	.B2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_in_pic_d),
+	.Y(n_26197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747629 (
+	.A1(n_25347),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[26]),
+	.B1(n_33645),
+	.B2(brqrv_top_brqrv_dec_dec_pause_state),
+	.X(n_26196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g747630 (
+	.A1(n_25416),
+	.A2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[5]),
+	.B1(n_25434),
+	.B2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[13]),
+	.Y(n_26195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747631 (
+	.A1_N(brqrv_top_brqrv_dec_dec_pause_state),
+	.A2_N(n_33637),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_316),
+	.B2(n_25346),
+	.Y(n_26194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747632 (
+	.A1(n_25347),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[12]),
+	.B1(n_33631),
+	.B2(brqrv_top_brqrv_dec_dec_pause_state),
+	.X(n_26193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747633 (
+	.A1(n_25347),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[23]),
+	.B1(n_33642),
+	.B2(brqrv_top_brqrv_dec_dec_pause_state),
+	.X(n_26192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747634 (
+	.A1_N(brqrv_top_brqrv_dec_dec_pause_state),
+	.A2_N(n_33647),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_326),
+	.B2(n_25346),
+	.Y(n_26191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747635 (
+	.A1(n_25348),
+	.A2(n_33552),
+	.B1(n_25350),
+	.B2(n_33491),
+	.X(brqrv_top_brqrv_ifu_ic_data_f[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747636 (
+	.A1(n_25342),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill[2]),
+	.B1(n_25344),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill[3]),
+	.X(n_26190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747637 (
+	.A1(n_25348),
+	.A2(n_33551),
+	.B1(n_25350),
+	.B2(n_33490),
+	.X(brqrv_top_brqrv_ifu_ic_data_f[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747638 (
+	.A1(n_25348),
+	.A2(n_33550),
+	.B1(n_25350),
+	.B2(n_33489),
+	.X(brqrv_top_brqrv_ifu_ic_data_f[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747639 (
+	.A1(n_25348),
+	.A2(n_33549),
+	.B1(n_25350),
+	.B2(n_33488),
+	.X(brqrv_top_brqrv_ifu_ic_data_f[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747640 (
+	.A1(n_25348),
+	.A2(n_33548),
+	.B1(n_25350),
+	.B2(n_33487),
+	.X(brqrv_top_brqrv_ifu_ic_data_f[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747641 (
+	.A1(n_25348),
+	.A2(n_33547),
+	.B1(n_25350),
+	.B2(n_33486),
+	.X(brqrv_top_brqrv_ifu_ic_data_f[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747642 (
+	.A1(n_25348),
+	.A2(n_33546),
+	.B1(n_25350),
+	.B2(n_33485),
+	.X(brqrv_top_brqrv_ifu_ic_data_f[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747643 (
+	.A1(n_25348),
+	.A2(n_33545),
+	.B1(n_25350),
+	.B2(n_33484),
+	.X(brqrv_top_brqrv_ifu_ic_data_f[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747644 (
+	.A1(n_25348),
+	.A2(n_33544),
+	.B1(n_25350),
+	.B2(n_33483),
+	.X(brqrv_top_brqrv_ifu_ic_data_f[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747645 (
+	.A1(n_25348),
+	.A2(n_33543),
+	.B1(n_25350),
+	.B2(n_33482),
+	.X(brqrv_top_brqrv_ifu_ic_data_f[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747646 (
+	.A1(n_25348),
+	.A2(n_33542),
+	.B1(n_25350),
+	.B2(n_33481),
+	.X(brqrv_top_brqrv_ifu_ic_data_f[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747647 (
+	.A1(n_25348),
+	.A2(n_33541),
+	.B1(n_25350),
+	.B2(n_33480),
+	.X(brqrv_top_brqrv_ifu_ic_data_f[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747648 (
+	.A1(n_25348),
+	.A2(n_33540),
+	.B1(n_25350),
+	.B2(n_33479),
+	.X(brqrv_top_brqrv_ifu_ic_data_f[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747649 (
+	.A1(n_25348),
+	.A2(n_33539),
+	.B1(n_25350),
+	.B2(n_33478),
+	.X(brqrv_top_brqrv_ifu_ic_data_f[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747650 (
+	.A1(n_25348),
+	.A2(n_33537),
+	.B1(n_25350),
+	.B2(n_33477),
+	.X(brqrv_top_brqrv_ifu_ic_data_f[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747651 (
+	.A1(n_25348),
+	.A2(n_33536),
+	.B1(n_25350),
+	.B2(n_33552),
+	.X(brqrv_top_brqrv_ifu_ic_data_f[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747652 (
+	.A1(n_25348),
+	.A2(n_33535),
+	.B1(n_25350),
+	.B2(n_33551),
+	.X(brqrv_top_brqrv_ifu_ic_data_f[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747653 (
+	.A1(n_25348),
+	.A2(n_33534),
+	.B1(n_25350),
+	.B2(n_33550),
+	.X(brqrv_top_brqrv_ifu_ic_data_f[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747654 (
+	.A1(n_25348),
+	.A2(n_33533),
+	.B1(n_25350),
+	.B2(n_33549),
+	.X(brqrv_top_brqrv_ifu_ic_data_f[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747655 (
+	.A1(n_25348),
+	.A2(n_33532),
+	.B1(n_25350),
+	.B2(n_33548),
+	.X(brqrv_top_brqrv_ifu_ic_data_f[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747656 (
+	.A1(n_25348),
+	.A2(n_33531),
+	.B1(n_25350),
+	.B2(n_33547),
+	.X(brqrv_top_brqrv_ifu_ic_data_f[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747657 (
+	.A1(n_25348),
+	.A2(n_33530),
+	.B1(n_25350),
+	.B2(n_33546),
+	.X(brqrv_top_brqrv_ifu_ic_data_f[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747658 (
+	.A1(n_25348),
+	.A2(n_33529),
+	.B1(n_25350),
+	.B2(n_33545),
+	.X(brqrv_top_brqrv_ifu_ic_data_f[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747659 (
+	.A1(n_25348),
+	.A2(n_33528),
+	.B1(n_25350),
+	.B2(n_33544),
+	.X(brqrv_top_brqrv_ifu_ic_data_f[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747660 (
+	.A1(n_25348),
+	.A2(n_33527),
+	.B1(n_25350),
+	.B2(n_33543),
+	.X(brqrv_top_brqrv_ifu_ic_data_f[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747661 (
+	.A1(n_25348),
+	.A2(n_33526),
+	.B1(n_25350),
+	.B2(n_33542),
+	.X(brqrv_top_brqrv_ifu_ic_data_f[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747662 (
+	.A1(n_25348),
+	.A2(n_33525),
+	.B1(n_25350),
+	.B2(n_33541),
+	.X(brqrv_top_brqrv_ifu_ic_data_f[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747663 (
+	.A1(n_25348),
+	.A2(n_33524),
+	.B1(n_25350),
+	.B2(n_33540),
+	.X(brqrv_top_brqrv_ifu_ic_data_f[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747664 (
+	.A1(n_25348),
+	.A2(n_33523),
+	.B1(n_25350),
+	.B2(n_33539),
+	.X(brqrv_top_brqrv_ifu_ic_data_f[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747665 (
+	.A1(n_25348),
+	.A2(n_33521),
+	.B1(n_25350),
+	.B2(n_33537),
+	.X(brqrv_top_brqrv_ifu_ic_data_f[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747666 (
+	.A1(n_25342),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[94]),
+	.B1(n_25344),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[126]),
+	.X(n_26189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747667 (
+	.A1(n_25342),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[64]),
+	.B1(n_25344),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[96]),
+	.X(n_26188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747668 (
+	.A1(n_25342),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[65]),
+	.B1(n_25344),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[97]),
+	.X(n_26187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747669 (
+	.A1(n_25342),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[88]),
+	.B1(n_25344),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[120]),
+	.X(n_26186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747670 (
+	.A1(n_25342),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[66]),
+	.B1(n_25344),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[98]),
+	.X(n_26185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g747671 (
+	.A1(brqrv_top_brqrv_dec_tlu_inst_acc_r),
+	.A2(n_24130),
+	.A3(brqrv_top_brqrv_dec_decode_r_t[14]),
+	.B1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.B2(brqrv_top_brqrv_lsu_error_pkt_r[35]),
+	.Y(n_26184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747672 (
+	.A1(n_25342),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[67]),
+	.B1(n_25344),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[99]),
+	.X(n_26183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747673 (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.A2_N(n_33769),
+	.B1(n_31488),
+	.B2(n_25520),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747674 (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.A2_N(n_33768),
+	.B1(n_31488),
+	.B2(n_25518),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747675 (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.A2_N(n_33767),
+	.B1(n_31488),
+	.B2(n_25512),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747676 (
+	.A1(n_25342),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[89]),
+	.B1(n_25344),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[121]),
+	.X(n_26182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747677 (
+	.A1(n_25342),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[34]),
+	.B1(n_25344),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[46]),
+	.X(n_26181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747678 (
+	.A1(n_25342),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[30]),
+	.B1(n_25344),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[42]),
+	.X(n_26180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747679 (
+	.A1(n_25342),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[33]),
+	.B1(n_25344),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[45]),
+	.X(n_26179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747680 (
+	.A1(n_25342),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[32]),
+	.B1(n_25344),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[44]),
+	.X(n_26178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747681 (
+	.A1(n_25342),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[90]),
+	.B1(n_25344),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[122]),
+	.X(n_26177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747682 (
+	.A1(n_25342),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[31]),
+	.B1(n_25344),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[43]),
+	.X(n_26176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747683 (
+	.A1(n_25342),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[35]),
+	.B1(n_25344),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[47]),
+	.X(n_26175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747684 (
+	.A1(n_25342),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[28]),
+	.B1(n_25344),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[40]),
+	.X(n_26174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747685 (
+	.A1(n_25342),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[29]),
+	.B1(n_25344),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[41]),
+	.X(n_26173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747686 (
+	.A1(n_25342),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[91]),
+	.B1(n_25344),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[123]),
+	.X(n_26172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747687 (
+	.A1(n_25342),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[93]),
+	.B1(n_25344),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[125]),
+	.X(n_26171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747688 (
+	.A1(n_25342),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[92]),
+	.B1(n_25344),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[124]),
+	.X(n_26170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g747689 (
+	.A1(n_25417),
+	.A2(n_25426),
+	.B1(n_25418),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[0]),
+	.Y(n_26169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747690 (
+	.A1(n_25342),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[87]),
+	.B1(n_25344),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[119]),
+	.X(n_26168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747691 (
+	.A1(n_25342),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[85]),
+	.B1(n_25344),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[117]),
+	.X(n_26167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747692 (
+	.A1(n_25342),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[84]),
+	.B1(n_25344),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[116]),
+	.X(n_26166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747693 (
+	.A1(n_25342),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[83]),
+	.B1(n_25344),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[115]),
+	.X(n_26165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747694 (
+	.A1(n_25342),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[82]),
+	.B1(n_25344),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[114]),
+	.X(n_26164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747695 (
+	.A1(n_25342),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[81]),
+	.B1(n_25344),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[113]),
+	.X(n_26163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747696 (
+	.A1(n_25342),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[80]),
+	.B1(n_25344),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[112]),
+	.X(n_26162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747697 (
+	.A1(n_25342),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[79]),
+	.B1(n_25344),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[111]),
+	.X(n_26161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747698 (
+	.A1(n_25342),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[78]),
+	.B1(n_25344),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[110]),
+	.X(n_26160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747699 (
+	.A1(n_25342),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[77]),
+	.B1(n_25344),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[109]),
+	.X(n_26159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747700 (
+	.A1(n_25342),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[76]),
+	.B1(n_25344),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[108]),
+	.X(n_26158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747701 (
+	.A1(n_25342),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[75]),
+	.B1(n_25344),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[107]),
+	.X(n_26157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747702 (
+	.A1(n_25342),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[74]),
+	.B1(n_25344),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[106]),
+	.X(n_26156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747703 (
+	.A1(n_25342),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[73]),
+	.B1(n_25344),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[105]),
+	.X(n_26155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747704 (
+	.A1(n_25342),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[72]),
+	.B1(n_25344),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[104]),
+	.X(n_26154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747705 (
+	.A1(n_25342),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[71]),
+	.B1(n_25344),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[103]),
+	.X(n_26153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747706 (
+	.A1(n_25342),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[70]),
+	.B1(n_25344),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[102]),
+	.X(n_26152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747707 (
+	.A1(n_25342),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[69]),
+	.B1(n_25344),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[101]),
+	.X(n_26151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747708 (
+	.A1(n_25342),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[68]),
+	.B1(n_25344),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[100]),
+	.X(n_26150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g747709 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_m[13]),
+	.B(n_30440),
+	.C(brqrv_top_brqrv_dec_tlu_flush_lower_r),
+	.D_N(brqrv_top_brqrv_lsu_lsu_exc_m),
+	.Y(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_error_pkt_m[0] ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g747710 (
+	.A1(n_24211),
+	.A2(brqrv_top_brqrv_dec_tlu_nmi_lsu_store_type_f),
+	.B1(n_25996),
+	.X(brqrv_top_brqrv_dec_tlu_nmi_lsu_store_type), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g747711 (
+	.A(n_32842),
+	.B(n_25069),
+	.X(brqrv_top_brqrv_picm_rdaddr[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g747712 (
+	.A1(n_25401),
+	.A2(n_25359),
+	.B1(n_26076),
+	.Y(n_26253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g747713 (
+	.A1(n_25401),
+	.A2(n_25390),
+	.B1(n_25359),
+	.Y(n_26252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g747714 (
+	.A1(n_24398),
+	.A2(n_31566),
+	.B1(n_24196),
+	.B2(n_31564),
+	.C1(n_25335),
+	.Y(brqrv_top_brqrv_ifu_ifc_fb_write_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747715 (
+	.A1_N(n_25556),
+	.A2_N(n_25424),
+	.B1(n_25556),
+	.B2(n_25424),
+	.Y(brqrv_top_dccm_rd_addr_lo[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747716 (
+	.A1_N(n_25554),
+	.A2_N(n_25423),
+	.B1(n_25554),
+	.B2(n_25423),
+	.Y(brqrv_top_dccm_rd_addr_lo[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747717 (
+	.A1_N(n_25483),
+	.A2_N(n_32827),
+	.B1(n_25483),
+	.B2(n_32827),
+	.Y(brqrv_top_dccm_rd_addr_lo[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747718 (
+	.A1_N(n_25500),
+	.A2_N(n_32826),
+	.B1(n_25500),
+	.B2(n_32826),
+	.Y(brqrv_top_dccm_rd_addr_lo[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747719 (
+	.A1_N(n_31870),
+	.A2_N(n_31453),
+	.B1(n_31870),
+	.B2(n_25517),
+	.Y(n_26246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g747720 (
+	.A(n_26136),
+	.Y(n_26137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g747721 (
+	.A(n_24026),
+	.Y(brqrv_top_brqrv_ifu_aln_qwen[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g747722 (
+	.A(n_26118),
+	.Y(brqrv_top_brqrv_dec_decode_d_d[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g747723 (
+	.A(n_26116),
+	.Y(brqrv_top_brqrv_dec_decode_d_d[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g747724 (
+	.A(n_26111),
+	.Y(brqrv_top_brqrv_dec_decode_d_d[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g747725 (
+	.A(n_26110),
+	.Y(brqrv_top_brqrv_dec_decode_d_d[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g747726 (
+	.A(n_26098),
+	.Y(brqrv_top_brqrv_dec_ctl_en[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g747727 (
+	.A(n_26096),
+	.Y(n_26095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g747728 (
+	.A(n_26093),
+	.Y(n_26094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g747729 (
+	.A(n_26091),
+	.Y(n_26090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g747730 (
+	.A(brqrv_top_brqrv_dec_i0_branch_d),
+	.Y(n_26089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g747731 (
+	.A(n_26083),
+	.Y(n_26084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g747732 (
+	.A(n_26081),
+	.Y(n_26082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g747733 (
+	.A(n_26076),
+	.Y(n_26075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g747734 (
+	.A(n_26074),
+	.Y(n_26073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g747735 (
+	.A(n_26070),
+	.Y(n_26069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g747736 (
+	.A(n_26068),
+	.Y(n_26067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g747737 (
+	.A(n_26066),
+	.Y(n_26065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g747738 (
+	.A(n_26062),
+	.Y(n_26061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g747739 (
+	.A(n_26057),
+	.Y(n_26056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g747740 (
+	.A(n_26049),
+	.Y(n_26048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g747741 (
+	.A(n_26039),
+	.Y(n_26038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g747742 (
+	.A(n_26035),
+	.Y(n_26034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g747743 (
+	.A(n_26031),
+	.Y(n_26030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g747744 (
+	.A(n_26025),
+	.Y(n_26024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g747745 (
+	.A(n_26022),
+	.Y(n_26021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g747746 (
+	.A(n_26020),
+	.Y(n_26019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g747747 (
+	.A(n_26017),
+	.Y(n_26018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g747748 (
+	.A(n_26016),
+	.Y(n_26015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g747749 (
+	.A(n_26014),
+	.Y(n_26013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g747750 (
+	.A(n_26012),
+	.Y(n_26011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g747751 (
+	.A(n_26010),
+	.Y(n_26009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g747752 (
+	.A(n_26008),
+	.Y(n_26007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g747754 (
+	.A(n_26004),
+	.Y(brqrv_top_brqrv_dec_tlu_wr_mdccmect_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g747755 (
+	.A(n_26003),
+	.Y(brqrv_top_brqrv_dec_tlu_wr_miccmect_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g747756 (
+	.A(n_26002),
+	.Y(n_26001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g747757 (
+	.A(n_26000),
+	.Y(n_25999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g747758 (
+	.A(n_31344),
+	.B(n_31343),
+	.C(n_31342),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_wr_en[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747759 (
+	.A1_N(n_31469),
+	.A2_N(n_34217),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_327),
+	.B2(n_31469),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g747760 (
+	.A(brqrv_top_brqrv_dec_data_en[1]),
+	.B(brqrv_top_brqrv_dec_decode_any_csr_d),
+	.X(brqrv_top_brqrv_dec_decode_n_962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g747761 (
+	.A(n_25387),
+	.B_N(brqrv_top_brqrv_exu_i0_branch_x),
+	.Y(brqrv_top_brqrv_exu_r_data_en_q2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g747762 (
+	.A(n_25432),
+	.B(brqrv_top_brqrv_dec_decode_x_d[1]),
+	.X(brqrv_top_brqrv_dec_decode_x_d_in[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g747763 (
+	.A(n_25432),
+	.B(brqrv_top_brqrv_dec_decode_x_d[0]),
+	.X(brqrv_top_brqrv_dec_decode_x_d_in[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g747764 (
+	.A_N(brqrv_top_brqrv_dec_decode_x_t_in[8]),
+	.B(brqrv_top_brqrv_dec_decode_r_t[8]),
+	.Y(n_25998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g747765 (
+	.A_N(brqrv_top_brqrv_dec_decode_x_t_in[9]),
+	.B(brqrv_top_brqrv_dec_decode_r_t[9]),
+	.Y(n_25997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747766 (
+	.A1_N(n_31469),
+	.A2_N(n_34218),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_328),
+	.B2(n_31469),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747767 (
+	.A1_N(n_31469),
+	.A2_N(n_34219),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_329),
+	.B2(n_31469),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747768 (
+	.A1_N(n_34283),
+	.A2_N(n_31473),
+	.B1(n_1704),
+	.B2(n_31473),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g747769 (
+	.A(n_30262),
+	.B_N(n_25543),
+	.Y(n_25996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g747770 (
+	.A_N(n_25436),
+	.B(brqrv_top_brqrv_exu_i0_rs1_d[30]),
+	.Y(n_25995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g747771 (
+	.A_N(n_25436),
+	.B(brqrv_top_brqrv_exu_i0_rs1_d[28]),
+	.Y(n_25994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747772 (
+	.A(n_25410),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_wr_en),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_timer_in[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747773 (
+	.A1_N(n_34284),
+	.A2_N(n_31473),
+	.B1(n_1703),
+	.B2(n_31473),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747774 (
+	.A1_N(n_34285),
+	.A2_N(n_31473),
+	.B1(n_1702),
+	.B2(n_31473),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747775 (
+	.A1_N(n_34286),
+	.A2_N(n_31473),
+	.B1(n_1701),
+	.B2(n_31473),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747776 (
+	.A1_N(n_34287),
+	.A2_N(n_31473),
+	.B1(n_1295),
+	.B2(n_31473),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747777 (
+	.A1_N(n_34288),
+	.A2_N(n_31473),
+	.B1(n_1705),
+	.B2(n_31473),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747778 (
+	.A(n_25384),
+	.B(brqrv_top_brqrv_dec_tlu_exc_cause_r[1]),
+	.Y(n_25993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747779 (
+	.A1_N(n_34289),
+	.A2_N(n_31473),
+	.B1(n_1304),
+	.B2(n_31473),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747780 (
+	.A1_N(n_34290),
+	.A2_N(n_31473),
+	.B1(n_1303),
+	.B2(n_31473),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747781 (
+	.A1(n_24293),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[9]),
+	.B1(n_31473),
+	.B2(n_34291),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747782 (
+	.A1_N(n_34292),
+	.A2_N(n_31473),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_308),
+	.B2(n_31473),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747783 (
+	.A1_N(n_34293),
+	.A2_N(n_31473),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_309),
+	.B2(n_31473),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747784 (
+	.A1_N(n_34294),
+	.A2_N(n_31473),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_310),
+	.B2(n_31473),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747785 (
+	.A1(n_24293),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[13]),
+	.B1(n_31473),
+	.B2(n_34295),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747786 (
+	.A1(n_24293),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[14]),
+	.B1(n_31473),
+	.B2(n_34296),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747787 (
+	.A1_N(n_34297),
+	.A2_N(n_31473),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_313),
+	.B2(n_31473),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747788 (
+	.A(n_25351),
+	.B(brqrv_top_brqrv_dbg_sbcs_reg_int[18]),
+	.Y(n_25992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g747789 (
+	.A(n_32207),
+	.B_N(n_25433),
+	.Y(n_25991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747790 (
+	.A1(n_24293),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[16]),
+	.B1(n_31473),
+	.B2(n_34298),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747791 (
+	.A1(n_24293),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[17]),
+	.B1(n_31473),
+	.B2(n_34299),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g747792 (
+	.A_N(n_25449),
+	.B(brqrv_top_brqrv_dec_dec_csr_wraddr_r[4]),
+	.Y(n_25990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747793 (
+	.A1_N(n_34300),
+	.A2_N(n_31473),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_316),
+	.B2(n_31473),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747794 (
+	.A1_N(n_34301),
+	.A2_N(n_31473),
+	.B1(n_2025),
+	.B2(n_31473),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747795 (
+	.A1_N(n_34302),
+	.A2_N(n_31473),
+	.B1(n_1819),
+	.B2(n_31473),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g747796 (
+	.A(n_25442),
+	.B(brqrv_top_brqrv_dec_decode_i0_dp_raw[18]),
+	.X(brqrv_top_brqrv_dec_decode_csr_clr_d), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g747797 (
+	.A(n_25442),
+	.B(brqrv_top_brqrv_dec_decode_i0_dp_raw[17]),
+	.X(brqrv_top_brqrv_dec_decode_csr_set_d), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747798 (
+	.A(n_25424),
+	.B(n_30623),
+	.Y(n_25989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747799 (
+	.A1(n_24293),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[21]),
+	.B1(n_34303),
+	.B2(n_31473),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747800 (
+	.A(n_25423),
+	.B(n_30526),
+	.Y(n_25988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747801 (
+	.A1_N(n_31473),
+	.A2_N(n_34304),
+	.B1(n_1308),
+	.B2(n_31473),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g747802 (
+	.A(brqrv_top_brqrv_dec_decode_r_t[9]),
+	.B_N(brqrv_top_brqrv_dec_decode_x_t_in[9]),
+	.Y(n_25987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g747803 (
+	.A(brqrv_top_brqrv_dec_decode_r_t[8]),
+	.B_N(brqrv_top_brqrv_dec_decode_x_t_in[8]),
+	.Y(n_25986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747804 (
+	.A1_N(n_31473),
+	.A2_N(n_34305),
+	.B1(n_1319),
+	.B2(n_31473),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747805 (
+	.A1(n_24293),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[24]),
+	.B1(n_34306),
+	.B2(n_31473),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747806 (
+	.A1_N(n_31473),
+	.A2_N(n_34307),
+	.B1(n_1823),
+	.B2(n_31473),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747807 (
+	.A1(n_24293),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[26]),
+	.B1(n_34308),
+	.B2(n_31473),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747808 (
+	.A1(n_24293),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[27]),
+	.B1(n_34309),
+	.B2(n_31473),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g747809 (
+	.A_N(brqrv_top_brqrv_dec_decode_i0_pipe_en[1]),
+	.B(n_25387),
+	.Y(brqrv_top_brqrv_dec_ctl_en[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747810 (
+	.A1_N(n_31473),
+	.A2_N(n_34310),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_326),
+	.B2(n_31473),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747811 (
+	.A1_N(n_31473),
+	.A2_N(n_34311),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_327),
+	.B2(n_31473),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g747812 (
+	.A_N(n_25508),
+	.B(n_24370),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_n_460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747813 (
+	.A1_N(n_31473),
+	.A2_N(n_34312),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_328),
+	.B2(n_31473),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747814 (
+	.A1_N(n_31473),
+	.A2_N(n_34313),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_329),
+	.B2(n_31473),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g747815 (
+	.A_N(n_25516),
+	.B(brqrv_top_brqrv_dec_tlu_meipt[1]),
+	.Y(n_25985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747816 (
+	.A1_N(n_34409),
+	.A2_N(n_31476),
+	.B1(n_1704),
+	.B2(n_31476),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747817 (
+	.A1_N(n_34410),
+	.A2_N(n_31476),
+	.B1(n_1703),
+	.B2(n_31476),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747818 (
+	.A1_N(n_34411),
+	.A2_N(n_31476),
+	.B1(n_1702),
+	.B2(n_31476),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747819 (
+	.A1_N(n_34412),
+	.A2_N(n_31476),
+	.B1(n_1701),
+	.B2(n_31476),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747820 (
+	.A1_N(n_34413),
+	.A2_N(n_31476),
+	.B1(n_1295),
+	.B2(n_31476),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747821 (
+	.A1_N(n_34414),
+	.A2_N(n_31476),
+	.B1(n_1705),
+	.B2(n_31476),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747822 (
+	.A1_N(n_34415),
+	.A2_N(n_31476),
+	.B1(n_1304),
+	.B2(n_31476),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747823 (
+	.A1_N(n_34416),
+	.A2_N(n_31476),
+	.B1(n_1303),
+	.B2(n_31476),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747824 (
+	.A1(n_24056),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[9]),
+	.B1(n_31476),
+	.B2(n_34417),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747825 (
+	.A1_N(n_34418),
+	.A2_N(n_31476),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_308),
+	.B2(n_31476),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747826 (
+	.A1_N(n_34419),
+	.A2_N(n_31476),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_309),
+	.B2(n_31476),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747827 (
+	.A1_N(n_34420),
+	.A2_N(n_31476),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_310),
+	.B2(n_31476),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747829 (
+	.A1_N(n_34423),
+	.A2_N(n_31476),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_313),
+	.B2(n_31476),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747830 (
+	.A1(n_24056),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[16]),
+	.B1(n_31476),
+	.B2(n_34424),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g747831 (
+	.A1(n_24221),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_3251),
+	.B1(brqrv_top_brqrv_dma_ctrl_n_3254),
+	.Y(n_25984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g747832 (
+	.A1(brqrv_top_brqrv_ifu_ifc_miss_f),
+	.A2(brqrv_top_brqrv_ifu_ifc_state[0]),
+	.B1(brqrv_top_brqrv_ifu_ifc_state[1]),
+	.Y(n_25983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747833 (
+	.A1(n_24056),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[17]),
+	.B1(n_31476),
+	.B2(n_34425),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747834 (
+	.A1_N(n_34426),
+	.A2_N(n_31476),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_316),
+	.B2(n_31476),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g747835 (
+	.A1(n_24209),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_n_666),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_ifc_region_acc_fault_final_f),
+	.Y(n_25982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747836 (
+	.A1_N(n_34427),
+	.A2_N(n_31476),
+	.B1(n_2025),
+	.B2(n_31476),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g747837 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[28]),
+	.B_N(n_25416),
+	.Y(n_25981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747838 (
+	.A1_N(n_34428),
+	.A2_N(n_31476),
+	.B1(n_1819),
+	.B2(n_31476),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747839 (
+	.A(n_24341),
+	.B(n_25363),
+	.Y(n_25980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747840 (
+	.A1(n_24056),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[21]),
+	.B1(n_34429),
+	.B2(n_31476),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747841 (
+	.A1_N(n_31476),
+	.A2_N(n_34430),
+	.B1(n_1308),
+	.B2(n_31476),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747842 (
+	.A(n_32792),
+	.B(n_25382),
+	.Y(brqrv_top_brqrv_exu_x_data_en_q1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747843 (
+	.A(n_32777),
+	.B(n_25493),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747844 (
+	.A(n_25539),
+	.B(n_32777),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747845 (
+	.A(n_32777),
+	.B(n_25540),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747846 (
+	.A(n_32777),
+	.B(n_25513),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747847 (
+	.A(n_25504),
+	.B(n_32777),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747848 (
+	.A(n_25495),
+	.B(n_32777),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747849 (
+	.A(n_25486),
+	.B(n_32777),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747850 (
+	.A(n_25533),
+	.B(n_32777),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747851 (
+	.A(n_25532),
+	.B(n_32777),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747852 (
+	.A(n_25481),
+	.B(n_32777),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747853 (
+	.A(n_25506),
+	.B(n_32777),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747854 (
+	.A(n_25485),
+	.B(n_32777),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747855 (
+	.A(n_25470),
+	.B(n_32777),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747856 (
+	.A(n_25456),
+	.B(n_32777),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747857 (
+	.A(n_25541),
+	.B(n_32777),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747858 (
+	.A(n_25520),
+	.B(n_32777),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747859 (
+	.A(n_25518),
+	.B(n_32777),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747860 (
+	.A(n_25512),
+	.B(n_32777),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747861 (
+	.A(n_32777),
+	.B(n_39195),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747862 (
+	.A(n_32777),
+	.B(n_39196),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747863 (
+	.A(n_32777),
+	.B(n_25511),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747864 (
+	.A(n_32777),
+	.B(n_25490),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747865 (
+	.A(n_32777),
+	.B(n_25487),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747866 (
+	.A(n_32777),
+	.B(n_25482),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747867 (
+	.A(n_32777),
+	.B(n_25460),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747868 (
+	.A(n_32777),
+	.B(n_25477),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747869 (
+	.A(n_32777),
+	.B(n_25475),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747870 (
+	.A(n_32777),
+	.B(n_25473),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747871 (
+	.A(n_32777),
+	.B(n_25471),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747872 (
+	.A(n_32777),
+	.B(n_25503),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g747873 (
+	.A(n_32777),
+	.B(n_25469),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747874 (
+	.A1_N(n_31476),
+	.A2_N(n_34431),
+	.B1(n_1319),
+	.B2(n_31476),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747875 (
+	.A1(n_24056),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[24]),
+	.B1(n_34432),
+	.B2(n_31476),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g747876 (
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_in_dccm_region_d),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_in_dccm_region_d),
+	.B1(n_24212),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_fir_nondccm_access_error_d), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g747877 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_valid[1]),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_valid[2]),
+	.B1(brqrv_top_brqrv_dma_ctrl_bus_cmd_sent),
+	.Y(n_25979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747878 (
+	.A1_N(n_31476),
+	.A2_N(n_34433),
+	.B1(n_1823),
+	.B2(n_31476),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747879 (
+	.A1(n_24056),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[26]),
+	.B1(n_34434),
+	.B2(n_31476),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747880 (
+	.A(n_25149),
+	.B(n_25455),
+	.Y(brqrv_top_n_1014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747881 (
+	.A(n_25153),
+	.B(n_25546),
+	.Y(brqrv_top_n_1018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747882 (
+	.A1(n_24056),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[27]),
+	.B1(n_34435),
+	.B2(n_31476),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747883 (
+	.A1_N(n_31476),
+	.A2_N(n_34436),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_326),
+	.B2(n_31476),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g747885 (
+	.A(n_24137),
+	.B(n_31525),
+	.C(n_31467),
+	.Y(brqrv_top_brqrv_dec_tlu_wr_meivt_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747886 (
+	.A1_N(n_31476),
+	.A2_N(n_34437),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_327),
+	.B2(n_31476),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747887 (
+	.A(n_25225),
+	.B(n_31018),
+	.Y(n_25977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747888 (
+	.A(n_25224),
+	.B(n_31017),
+	.Y(n_25976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747889 (
+	.A(n_25246),
+	.B(n_32153),
+	.Y(n_25975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747890 (
+	.A(n_25222),
+	.B(n_32152),
+	.Y(n_25974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747891 (
+	.A(n_25221),
+	.B(n_32150),
+	.Y(n_25973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747892 (
+	.A(n_30467),
+	.B(n_25223),
+	.Y(brqrv_top_brqrv_dec_decode_leak1_i1_stall_in), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g747893 (
+	.A1(brqrv_top_brqrv_dec_tlu_minstret_enable),
+	.A2(brqrv_top_brqrv_dec_tlu_minstretl_couta),
+	.B1(n_24052),
+	.X(brqrv_top_brqrv_dec_tlu_n_3326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747894 (
+	.A(n_25212),
+	.B(n_32193),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747895 (
+	.A1_N(n_31476),
+	.A2_N(n_34438),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_328),
+	.B2(n_31476),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747896 (
+	.A1_N(n_31476),
+	.A2_N(n_34439),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_329),
+	.B2(n_31476),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747897 (
+	.A1_N(n_34220),
+	.A2_N(n_31470),
+	.B1(n_1704),
+	.B2(n_31470),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747898 (
+	.A1_N(n_34221),
+	.A2_N(n_31470),
+	.B1(n_1703),
+	.B2(n_31470),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g747899 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_ifc_region_acc_fault_final_f),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_ifu_pmu_bus_error_in),
+	.B1(n_7752),
+	.X(brqrv_top_brqrv_ifu_ic_access_fault_f[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747900 (
+	.A(n_25154),
+	.B(n_25545),
+	.Y(brqrv_top_n_1019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747901 (
+	.A(n_25266),
+	.B(n_25465),
+	.Y(brqrv_top_n_1017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747902 (
+	.A(n_25150),
+	.B(n_25555),
+	.Y(brqrv_top_n_1016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747903 (
+	.A(n_25269),
+	.B(n_25450),
+	.Y(brqrv_top_n_1015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747904 (
+	.A(n_25270),
+	.B(n_25463),
+	.Y(brqrv_top_n_1013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747905 (
+	.A(n_25147),
+	.B(n_25464),
+	.Y(brqrv_top_n_1012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747906 (
+	.A(n_25146),
+	.B(n_25474),
+	.Y(brqrv_top_n_1011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747907 (
+	.A(n_25145),
+	.B(n_25458),
+	.Y(brqrv_top_n_1010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747908 (
+	.A(n_25144),
+	.B(n_25542),
+	.Y(brqrv_top_n_1009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g747909 (
+	.A1(n_11336),
+	.A2(n_24226),
+	.B1(n_25515),
+	.Y(brqrv_top_n_1008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747910 (
+	.A(n_25143),
+	.B(n_25549),
+	.Y(brqrv_top_n_1007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g747911 (
+	.A1(n_11336),
+	.A2(n_24458),
+	.B1(n_25484),
+	.Y(brqrv_top_n_1006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g747912 (
+	.A1(n_11336),
+	.A2(n_24453),
+	.B1(n_25507),
+	.Y(brqrv_top_n_1005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747913 (
+	.A(n_25142),
+	.B(n_25525),
+	.Y(brqrv_top_n_1004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747914 (
+	.A(n_25141),
+	.B(n_25550),
+	.Y(brqrv_top_n_1003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747915 (
+	.A(n_25140),
+	.B(n_25551),
+	.Y(brqrv_top_n_1002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747916 (
+	.A(n_25330),
+	.B(n_25536),
+	.Y(brqrv_top_n_1001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747917 (
+	.A(n_25329),
+	.B(n_25459),
+	.Y(brqrv_top_n_1000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747918 (
+	.A(n_25138),
+	.B(n_25534),
+	.Y(brqrv_top_n_999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747919 (
+	.A(n_25137),
+	.B(n_25467),
+	.Y(brqrv_top_n_998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747920 (
+	.A(n_25136),
+	.B(n_25531),
+	.Y(brqrv_top_n_997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747921 (
+	.A(n_25135),
+	.B(n_25498),
+	.Y(brqrv_top_n_996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747922 (
+	.A(n_25338),
+	.B(n_25519),
+	.Y(brqrv_top_n_995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747923 (
+	.A(n_25339),
+	.B(n_25527),
+	.Y(brqrv_top_n_994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747924 (
+	.A(n_25133),
+	.B(n_25544),
+	.Y(brqrv_top_n_993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747925 (
+	.A(n_25132),
+	.B(n_25526),
+	.Y(brqrv_top_n_991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747926 (
+	.A(n_25130),
+	.B(n_25501),
+	.Y(brqrv_top_n_988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747927 (
+	.A(n_25160),
+	.B(n_25474),
+	.Y(brqrv_top_n_1050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747928 (
+	.A(n_25128),
+	.B(n_25458),
+	.Y(brqrv_top_n_1049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747929 (
+	.A(n_25259),
+	.B(n_25542),
+	.Y(brqrv_top_n_1048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747930 (
+	.A(n_25168),
+	.B(n_25515),
+	.Y(brqrv_top_n_1047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747931 (
+	.A(n_25167),
+	.B(n_25549),
+	.Y(brqrv_top_n_1046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747932 (
+	.A(n_25126),
+	.B(n_25484),
+	.Y(brqrv_top_n_1045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747933 (
+	.A(n_25273),
+	.B(n_25507),
+	.Y(brqrv_top_n_1044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747934 (
+	.A(n_25125),
+	.B(n_25525),
+	.Y(brqrv_top_n_1043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747935 (
+	.A(n_25340),
+	.B(n_25550),
+	.Y(brqrv_top_n_1042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747936 (
+	.A(n_25124),
+	.B(n_25551),
+	.Y(brqrv_top_n_1041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747937 (
+	.A(n_25110),
+	.B(n_25536),
+	.Y(brqrv_top_n_1040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747938 (
+	.A(n_25106),
+	.B(n_25459),
+	.Y(brqrv_top_n_1039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747939 (
+	.A(n_25103),
+	.B(n_25534),
+	.Y(brqrv_top_n_1038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747940 (
+	.A(n_25092),
+	.B(n_25467),
+	.Y(brqrv_top_n_1037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747941 (
+	.A(n_25089),
+	.B(n_25531),
+	.Y(brqrv_top_n_1036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747942 (
+	.A(n_25090),
+	.B(n_25498),
+	.Y(brqrv_top_n_1035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747943 (
+	.A(n_25123),
+	.B(n_25519),
+	.Y(brqrv_top_n_1034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747944 (
+	.A(n_25102),
+	.B(n_25527),
+	.Y(brqrv_top_n_1033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747945 (
+	.A(n_25122),
+	.B(n_25544),
+	.Y(brqrv_top_n_1032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747946 (
+	.A(n_25121),
+	.B(n_25461),
+	.Y(brqrv_top_n_1031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747947 (
+	.A(n_25120),
+	.B(n_25526),
+	.Y(brqrv_top_n_1030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747948 (
+	.A(n_25119),
+	.B(n_25489),
+	.Y(brqrv_top_n_1029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747949 (
+	.A(n_25155),
+	.B(n_25523),
+	.Y(brqrv_top_n_1028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g747950 (
+	.A(n_25249),
+	.B(n_25501),
+	.Y(brqrv_top_n_1027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g747951 (
+	.A1(brqrv_top_brqrv_dbg_dmstatus_resumeack),
+	.A2(n_24354),
+	.B1(n_25429),
+	.Y(n_25972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747952 (
+	.A1_N(n_34222),
+	.A2_N(n_31470),
+	.B1(n_1702),
+	.B2(n_31470),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747953 (
+	.A1_N(n_34223),
+	.A2_N(n_31470),
+	.B1(n_1701),
+	.B2(n_31470),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747954 (
+	.A1_N(n_34224),
+	.A2_N(n_31470),
+	.B1(n_1295),
+	.B2(n_31470),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747955 (
+	.A1_N(n_34225),
+	.A2_N(n_31470),
+	.B1(n_1705),
+	.B2(n_31470),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747956 (
+	.A1_N(n_34226),
+	.A2_N(n_31470),
+	.B1(n_1304),
+	.B2(n_31470),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747957 (
+	.A1_N(n_34227),
+	.A2_N(n_31470),
+	.B1(n_1303),
+	.B2(n_31470),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747958 (
+	.A1_N(n_34229),
+	.A2_N(n_31470),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_308),
+	.B2(n_31470),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747959 (
+	.A1_N(n_34230),
+	.A2_N(n_31470),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_309),
+	.B2(n_31470),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747960 (
+	.A1_N(n_34231),
+	.A2_N(n_31470),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_310),
+	.B2(n_31470),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747961 (
+	.A1(n_24290),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[13]),
+	.B1(n_31470),
+	.B2(n_34232),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747962 (
+	.A1(n_24290),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[14]),
+	.B1(n_31470),
+	.B2(n_34233),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747963 (
+	.A1_N(n_34234),
+	.A2_N(n_31470),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_313),
+	.B2(n_31470),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747964 (
+	.A1(n_24290),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[16]),
+	.B1(n_31470),
+	.B2(n_34235),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747965 (
+	.A1_N(n_34237),
+	.A2_N(n_31470),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_316),
+	.B2(n_31470),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747966 (
+	.A1_N(n_34238),
+	.A2_N(n_31470),
+	.B1(n_2025),
+	.B2(n_31470),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747967 (
+	.A1_N(n_34239),
+	.A2_N(n_31470),
+	.B1(n_1819),
+	.B2(n_31470),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747968 (
+	.A1(n_24290),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[21]),
+	.B1(n_34240),
+	.B2(n_31470),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747969 (
+	.A1_N(n_31470),
+	.A2_N(n_34241),
+	.B1(n_1308),
+	.B2(n_31470),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747970 (
+	.A1_N(n_31470),
+	.A2_N(n_34242),
+	.B1(n_1319),
+	.B2(n_31470),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747971 (
+	.A1(n_24290),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[24]),
+	.B1(n_34243),
+	.B2(n_31470),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747972 (
+	.A1_N(n_31470),
+	.A2_N(n_34244),
+	.B1(n_1823),
+	.B2(n_31470),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747973 (
+	.A1(n_24290),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[26]),
+	.B1(n_34245),
+	.B2(n_31470),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747974 (
+	.A1(n_24290),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[27]),
+	.B1(n_34246),
+	.B2(n_31470),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747975 (
+	.A1_N(n_31470),
+	.A2_N(n_34247),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_326),
+	.B2(n_31470),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747976 (
+	.A1_N(n_31470),
+	.A2_N(n_34248),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_327),
+	.B2(n_31470),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747977 (
+	.A1_N(n_31470),
+	.A2_N(n_34249),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_328),
+	.B2(n_31470),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g747978 (
+	.A1_N(n_31470),
+	.A2_N(n_34250),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_329),
+	.B2(n_31470),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747979 (
+	.A1(n_31232),
+	.A2(n_3245),
+	.B1(n_33096),
+	.B2(n_31487),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g747980 (
+	.A1(brqrv_top_brqrv_lsu_lsu_pkt_m[4]),
+	.A2(n_22518),
+	.B1(brqrv_top_brqrv_lsu_lsu_pkt_m[0]),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_r_in[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g747981 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_reset_ic_ff),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_reset_all_tags),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_miss_pending),
+	.X(brqrv_top_brqrv_ifu_mem_ctl_reset_ic_in), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g747982 (
+	.A1(brqrv_top_brqrv_lsu_dccm_ctl_n_1137),
+	.A2(n_31051),
+	.B1(brqrv_top_brqrv_dec_tlu_lsu_clk_override),
+	.X(brqrv_top_brqrv_lsu_dccm_ctl_n_1110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747983 (
+	.A1(n_32424),
+	.A2(n_3245),
+	.B1(n_33098),
+	.B2(n_31487),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747984 (
+	.A1(n_31135),
+	.A2(n_3245),
+	.B1(n_33100),
+	.B2(n_31487),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g747985 (
+	.A1(brqrv_top_brqrv_dec_decode_n_1234),
+	.A2(brqrv_top_brqrv_dec_decode_n_1428),
+	.B1(brqrv_top_brqrv_dec_decode_n_1461),
+	.Y(n_25971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g747986 (
+	.A1(brqrv_top_brqrv_dma_ctrl_n_3254),
+	.A2(brqrv_top_brqrv_dbg_command_reg[17]),
+	.B1(brqrv_top_brqrv_dbg_command_reg[18]),
+	.Y(n_25970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747987 (
+	.A1(n_32439),
+	.A2(n_3245),
+	.B1(n_33101),
+	.B2(n_31487),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g747988 (
+	.A1(n_31129),
+	.A2(n_24391),
+	.B1(n_32146),
+	.Y(n_25969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g747989 (
+	.A1(n_31129),
+	.A2(n_24426),
+	.B1(n_32144),
+	.Y(n_25968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g747990 (
+	.A1(n_31129),
+	.A2(n_19524),
+	.B1(n_31014),
+	.Y(n_25967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g747991 (
+	.A1(n_31125),
+	.A2(n_24391),
+	.B1(n_32063),
+	.Y(n_25966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g747992 (
+	.A1(n_31125),
+	.A2(n_24426),
+	.B1(n_32061),
+	.Y(n_25965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g747993 (
+	.A1(n_31125),
+	.A2(n_19478),
+	.B1(n_32060),
+	.Y(n_25964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g747994 (
+	.A1(n_31134),
+	.A2(n_3245),
+	.B1(n_33105),
+	.B2(n_31487),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g747995 (
+	.A1(n_32349),
+	.A2(n_24391),
+	.B1(n_31988),
+	.Y(n_25963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g747996 (
+	.A1(n_32349),
+	.A2(n_24426),
+	.B1(n_31986),
+	.Y(n_25962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g747997 (
+	.A1(n_32349),
+	.A2(n_19524),
+	.B1(n_31985),
+	.Y(n_25961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g747998 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_6062),
+	.A2(brqrv_top_brqrv_dec_tlu_mtdata1_t1[9]),
+	.B1(n_30490),
+	.Y(n_25960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748000 (
+	.A1(n_31252),
+	.A2(n_3245),
+	.B1(n_33114),
+	.B2(n_31487),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748001 (
+	.A1(n_31132),
+	.A2(n_3245),
+	.B1(n_33115),
+	.B2(n_31487),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748002 (
+	.A1(n_32425),
+	.A2(n_3245),
+	.B1(n_33116),
+	.B2(n_31487),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g748003 (
+	.A1(brqrv_top_brqrv_dbg_sb_state[0]),
+	.A2(brqrv_top_brqrv_dbg_sb_state[1]),
+	.B1(brqrv_top_brqrv_dbg_sb_state[2]),
+	.Y(n_25958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g748004 (
+	.A1(n_31642),
+	.A2(n_30690),
+	.B1(n_30519),
+	.Y(n_25957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748005 (
+	.A1(n_31245),
+	.A2(n_3245),
+	.B1(n_33126),
+	.B2(n_31487),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g748006 (
+	.A1(brqrv_top_brqrv_dbg_sb_state[2]),
+	.A2(brqrv_top_brqrv_dbg_sb_state[1]),
+	.B1(n_25479),
+	.Y(n_25956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748007 (
+	.A1(n_32366),
+	.A2(n_3245),
+	.B1(n_33127),
+	.B2(n_31487),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748008 (
+	.A1(n_31227),
+	.A2(n_3245),
+	.B1(brqrv_top_brqrv_exu_i0_rs2_d[31]),
+	.B2(n_31487),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748009 (
+	.A1(n_24056),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[13]),
+	.B1(n_31476),
+	.B2(n_34421),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748010 (
+	.A1(n_24290),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[17]),
+	.B1(n_31470),
+	.B2(n_34236),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g748012 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_wr_en),
+	.A2(n_31497),
+	.B1(n_8148),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g748013 (
+	.A1(n_11336),
+	.A2(n_24155),
+	.B1(n_25545),
+	.Y(brqrv_top_n_1058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g748014 (
+	.A1(n_11336),
+	.A2(n_24425),
+	.B1(n_25546),
+	.Y(brqrv_top_n_1057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g748015 (
+	.A1(n_11336),
+	.A2(n_24420),
+	.B1(n_25465),
+	.Y(brqrv_top_n_1056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g748016 (
+	.A1(n_11336),
+	.A2(n_24140),
+	.B1(n_25555),
+	.Y(brqrv_top_n_1055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g748017 (
+	.A1(n_11336),
+	.A2(n_24201),
+	.B1(n_25450),
+	.Y(brqrv_top_n_1054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g748018 (
+	.A1(n_11336),
+	.A2(n_24154),
+	.B1(n_25455),
+	.Y(brqrv_top_n_1053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g748019 (
+	.A1(n_11336),
+	.A2(n_24395),
+	.B1(n_25463),
+	.Y(brqrv_top_n_1052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g748020 (
+	.A1(n_11336),
+	.A2(n_24394),
+	.B1(n_25464),
+	.Y(brqrv_top_n_1051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748021 (
+	.A1(n_24290),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[9]),
+	.B1(n_31470),
+	.B2(n_34228),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g748022 (
+	.A(brqrv_top_brqrv_dec_tlu_meicurpl[0]),
+	.B(brqrv_top_brqrv_dec_tlu_meicurpl[1]),
+	.C(n_2568),
+	.Y(n_25955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748023 (
+	.A1(n_34627),
+	.A2(n_24053),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_mcycleh_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[22]),
+	.X(brqrv_top_brqrv_dec_tlu_mcycleh_ns[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g748024 (
+	.A(n_31614),
+	.B(n_467),
+	.C(n_30519),
+	.Y(n_25954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g748025 (
+	.A1(n_24267),
+	.A2(brqrv_top_brqrv_dec_tlu_n_4585),
+	.B1(n_31511),
+	.Y(brqrv_top_brqrv_dec_tlu_n_3315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748026 (
+	.A1(n_24053),
+	.A2(n_34617),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_mcycleh_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[17]),
+	.X(brqrv_top_brqrv_dec_tlu_mcycleh_ns[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g748027 (
+	.A(brqrv_top_brqrv_dec_decode_i0_pipe_en[0]),
+	.B(brqrv_top_brqrv_dec_decode_i0_pipe_en[1]),
+	.C(brqrv_top_brqrv_dec_dec_tlu_dec_clk_override),
+	.X(brqrv_top_brqrv_dec_decode_i0_wb_ctl_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g748028 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_numvld_any[1]),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_numvld_any[0]),
+	.C_N(n_32843),
+	.Y(brqrv_top_brqrv_lsu_lsu_stbuf_empty_any), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g748029 (
+	.A_N(n_32456),
+	.B(brqrv_top_brqrv_dbg_dmcontrol_reg[0]),
+	.C(brqrv_top_dmi_reg_wdata[28]),
+	.X(brqrv_top_brqrv_dbg_dmstatus_haveresetn_wren), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748030 (
+	.A1_N(n_31483),
+	.A2_N(n_34373),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_326),
+	.B2(n_31483),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g748031 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_m[6]),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_m[0]),
+	.C(brqrv_top_brqrv_lsu_addr_external_m),
+	.X(brqrv_top_brqrv_lsu_pmu_store_external_m), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g748032 (
+	.A(n_31381),
+	.B(n_31380),
+	.C(n_31379),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_wr_en[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g748033 (
+	.A(n_31378),
+	.B(n_31377),
+	.C(n_31376),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_wr_en[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g748034 (
+	.A(n_31375),
+	.B(n_31374),
+	.C(n_31373),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_wr_en[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g748035 (
+	.A(n_31372),
+	.B(n_31371),
+	.C(n_31370),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_wr_en[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g748036 (
+	.A(n_31369),
+	.B(n_32493),
+	.C(n_32492),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_wr_en[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g748037 (
+	.A(n_32491),
+	.B(n_32490),
+	.C(n_31368),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_wr_en[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g748038 (
+	.A(n_32489),
+	.B(n_31367),
+	.C(n_32488),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_wr_en[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g748039 (
+	.A(n_32487),
+	.B(n_32486),
+	.C(n_32485),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_wr_en[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g748040 (
+	.A(n_32484),
+	.B(n_32483),
+	.C(n_31366),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_wr_en[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g748041 (
+	.A(n_31365),
+	.B(n_31364),
+	.C(n_31363),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_wr_en[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g748042 (
+	.A(n_31341),
+	.B(n_31340),
+	.C(n_31339),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_wr_en[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g748043 (
+	.A(n_31338),
+	.B(n_31337),
+	.C(n_31336),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_wr_en[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g748044 (
+	.A(n_31332),
+	.B(n_31331),
+	.C(n_32482),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_wr_en[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g748045 (
+	.A(n_31330),
+	.B(n_32481),
+	.C(n_32480),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_wr_en[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g748046 (
+	.A(n_32479),
+	.B(n_32478),
+	.C(n_32477),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_wr_en[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g748047 (
+	.A(n_31329),
+	.B(n_31328),
+	.C(n_32476),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_wr_en[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g748048 (
+	.A(n_32475),
+	.B(n_32474),
+	.C(n_31327),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_wr_en[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g748049 (
+	.A(n_32473),
+	.B(n_32472),
+	.C(n_31326),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_wr_en[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g748050 (
+	.A(n_32470),
+	.B(n_31325),
+	.C(n_32468),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_wr_en[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g748051 (
+	.A(n_32467),
+	.B(n_32466),
+	.C(n_32465),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_wr_en[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g748052 (
+	.A(n_31324),
+	.B(n_31323),
+	.C(n_31322),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_wr_en[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g748053 (
+	.A(n_31321),
+	.B(n_31320),
+	.C(n_31319),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_wr_en[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g748054 (
+	.A(n_31318),
+	.B(n_31317),
+	.C(n_31316),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_wr_en[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g748055 (
+	.A(n_31362),
+	.B(n_31361),
+	.C(n_31360),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_wr_en[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g748056 (
+	.A(n_31359),
+	.B(n_31358),
+	.C(n_31357),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_wr_en[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g748057 (
+	.A(n_31356),
+	.B(n_31355),
+	.C(n_31354),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_wr_en[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g748058 (
+	.A(n_31353),
+	.B(n_31352),
+	.C(n_31351),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_wr_en[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g748059 (
+	.A(n_31350),
+	.B(n_31349),
+	.C(n_31348),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_wr_en[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g748060 (
+	.A(n_31347),
+	.B(n_31346),
+	.C(n_31345),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_wr_en[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748061 (
+	.A1_N(n_34363),
+	.A2_N(n_31483),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_316),
+	.B2(n_31483),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g748062 (
+	.A(n_31335),
+	.B(n_31334),
+	.C(n_31333),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_wr_en[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g748063 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[17]),
+	.B(brqrv_top_brqrv_dec_decode_write_csr_data[18]),
+	.C(brqrv_top_brqrv_dec_decode_write_csr_data[4]),
+	.Y(n_25953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748064 (
+	.A1(n_34645),
+	.A2(n_24053),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_mcycleh_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[31]),
+	.X(brqrv_top_brqrv_dec_tlu_mcycleh_ns[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748065 (
+	.A1(n_24292),
+	.A2(n_34583),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_minstreth_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[0]),
+	.X(brqrv_top_brqrv_dec_tlu_minstreth_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g748066 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[11]),
+	.B(brqrv_top_brqrv_dec_decode_write_csr_data[14]),
+	.C(brqrv_top_brqrv_dec_decode_write_csr_data[2]),
+	.Y(n_25952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g748067 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_sel_mb_addr_ff),
+	.B(n_31608),
+	.C(n_32605),
+	.Y(n_25951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g748068 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_WrPtr1_r[0]),
+	.B(n_24133),
+	.C(n_31582),
+	.Y(n_25950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748069 (
+	.A1(n_24292),
+	.A2(n_34586),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_minstreth_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[1]),
+	.X(brqrv_top_brqrv_dec_tlu_minstreth_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748070 (
+	.A1(n_24292),
+	.A2(n_34588),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_minstreth_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[2]),
+	.X(brqrv_top_brqrv_dec_tlu_minstreth_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748072 (
+	.A1(n_24292),
+	.A2(n_34590),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_minstreth_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[3]),
+	.X(brqrv_top_brqrv_dec_tlu_minstreth_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g748073 (
+	.A(brqrv_top_brqrv_dec_tlu_i0_kill_writeb_r),
+	.B(n_31822),
+	.C_N(n_32558),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748074 (
+	.A1(n_24292),
+	.A2(n_34592),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_minstreth_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[4]),
+	.X(brqrv_top_brqrv_dec_tlu_minstreth_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748075 (
+	.A1(n_24292),
+	.A2(n_34594),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_minstreth_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[5]),
+	.X(brqrv_top_brqrv_dec_tlu_minstreth_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g748076 (
+	.A(brqrv_top_brqrv_dec_decode_leak1_mode),
+	.B(n_31740),
+	.C(n_30519),
+	.Y(brqrv_top_brqrv_dec_i0_predict_p_d[35]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748077 (
+	.A1(n_24292),
+	.A2(n_34596),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_minstreth_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[6]),
+	.X(brqrv_top_brqrv_dec_tlu_minstreth_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748078 (
+	.A1(n_24292),
+	.A2(n_34598),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_minstreth_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[7]),
+	.X(brqrv_top_brqrv_dec_tlu_minstreth_ns[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748079 (
+	.A1(n_24292),
+	.A2(n_34600),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_minstreth_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[8]),
+	.X(brqrv_top_brqrv_dec_tlu_minstreth_ns[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748080 (
+	.A1(n_24292),
+	.A2(n_34602),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_minstreth_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[9]),
+	.X(brqrv_top_brqrv_dec_tlu_minstreth_ns[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748081 (
+	.A1(n_24292),
+	.A2(n_34604),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_minstreth_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[10]),
+	.X(brqrv_top_brqrv_dec_tlu_minstreth_ns[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748082 (
+	.A1(n_24292),
+	.A2(n_34606),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_minstreth_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[11]),
+	.X(brqrv_top_brqrv_dec_tlu_minstreth_ns[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g748083 (
+	.A(n_31382),
+	.B(n_31383),
+	.C(brqrv_top_brqrv_dec_dec_div_active),
+	.Y(n_25948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g748084 (
+	.A_N(n_30450),
+	.B(n_25431),
+	.C(brqrv_top_brqrv_dec_tlu_int_timer0_int_hold_f),
+	.Y(n_25947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748085 (
+	.A1(n_24292),
+	.A2(n_34608),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_minstreth_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[12]),
+	.X(brqrv_top_brqrv_dec_tlu_minstreth_ns[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748086 (
+	.A1(n_24292),
+	.A2(n_34610),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_minstreth_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[13]),
+	.X(brqrv_top_brqrv_dec_tlu_minstreth_ns[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748087 (
+	.A1(n_24292),
+	.A2(n_34612),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_minstreth_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[14]),
+	.X(brqrv_top_brqrv_dec_tlu_minstreth_ns[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g748088 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_error[6]),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_error[7]),
+	.C(n_25393),
+	.Y(n_25946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g748089 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_error[8]),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_error[9]),
+	.C(n_25393),
+	.Y(n_25945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g748090 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_error[2]),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_error[3]),
+	.C(n_25393),
+	.Y(n_25944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g748091 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_error[4]),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_error[5]),
+	.C(n_25393),
+	.Y(n_25943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g748092 (
+	.A(n_31598),
+	.B(n_32607),
+	.C_N(n_31599),
+	.Y(n_25942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g748093 (
+	.A(n_32847),
+	.B(n_31824),
+	.C(n_30886),
+	.X(n_25941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g748094 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[1]),
+	.B(brqrv_top_brqrv_dbg_sbaddress0_reg[2]),
+	.C(brqrv_top_brqrv_dbg_sbaddress0_reg[0]),
+	.Y(n_25940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748095 (
+	.A1(n_24292),
+	.A2(n_34614),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_minstreth_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[15]),
+	.X(brqrv_top_brqrv_dec_tlu_minstreth_ns[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748096 (
+	.A1(n_24292),
+	.A2(n_34616),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_minstreth_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[16]),
+	.X(brqrv_top_brqrv_dec_tlu_minstreth_ns[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748097 (
+	.A1(n_24292),
+	.A2(n_34618),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_minstreth_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[17]),
+	.X(brqrv_top_brqrv_dec_tlu_minstreth_ns[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748098 (
+	.A1(brqrv_top_brqrv_dec_tlu_npc_r[22]),
+	.A2(n_24472),
+	.B1(brqrv_top_brqrv_dec_tlu_npc_r[23]),
+	.B2(n_24489),
+	.Y(n_25939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g748099 (
+	.A1_N(n_24213),
+	.A2_N(n_31564),
+	.B1(brqrv_top_brqrv_ifu_ifc_fb_write_f[1]),
+	.B2(n_31565),
+	.Y(n_25938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748100 (
+	.A1(n_24203),
+	.A2(brqrv_top_brqrv_dec_decode_d_t[12]),
+	.B1(brqrv_top_brqrv_dec_decode_x_t[8]),
+	.B2(n_24244),
+	.Y(n_25937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748101 (
+	.A1_N(brqrv_top_brqrv_exu_i0_flush_path_x[14]),
+	.A2_N(n_24494),
+	.B1(brqrv_top_brqrv_exu_i0_flush_path_x[13]),
+	.B2(n_24253),
+	.Y(n_25936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748102 (
+	.A1(brqrv_top_brqrv_exu_i0_flush_path_x[18]),
+	.A2(n_24249),
+	.B1(brqrv_top_brqrv_exu_i0_flush_path_x[19]),
+	.B2(n_24261),
+	.Y(n_25935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748103 (
+	.A1_N(n_24247),
+	.A2_N(n_39307),
+	.B1(n_24484),
+	.B2(n_39237),
+	.Y(n_25934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748104 (
+	.A1(brqrv_top_brqrv_exu_i0_flush_path_x[21]),
+	.A2(n_24251),
+	.B1(brqrv_top_brqrv_exu_i0_flush_path_x[23]),
+	.B2(n_24228),
+	.Y(n_25933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748105 (
+	.A1(n_24466),
+	.A2(n_39235),
+	.B1(n_24248),
+	.B2(n_39239),
+	.Y(n_25932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748106 (
+	.A1_N(brqrv_top_brqrv_exu_i0_pc_x[14]),
+	.A2_N(n_370),
+	.B1(brqrv_top_brqrv_exu_i0_pc_x[13]),
+	.B2(n_520),
+	.Y(n_25931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748107 (
+	.A1(brqrv_top_brqrv_exu_i0_pc_x[18]),
+	.A2(n_372),
+	.B1(brqrv_top_brqrv_exu_i0_pc_x[19]),
+	.B2(n_527),
+	.Y(n_25930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748108 (
+	.A1(brqrv_top_brqrv_exu_i0_pc_x[21]),
+	.A2(n_368),
+	.B1(brqrv_top_brqrv_exu_i0_pc_x[23]),
+	.B2(n_522),
+	.Y(n_25929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748109 (
+	.A1(n_24485),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[18]),
+	.B1(n_24504),
+	.B2(brqrv_top_brqrv_ifu_i0_pc[19]),
+	.Y(n_25928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748110 (
+	.A1(n_24500),
+	.A2(brqrv_top_brqrv_dec_tlu_flush_path_r[14]),
+	.B1(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[13]),
+	.B2(n_24240),
+	.Y(n_25927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748111 (
+	.A1_N(n_24473),
+	.A2_N(brqrv_top_brqrv_dec_tlu_flush_path_r[18]),
+	.B1(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[19]),
+	.B2(n_24236),
+	.Y(n_25926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748112 (
+	.A1(brqrv_top_brqrv_exu_pred_correct_npc_r[13]),
+	.A2(n_24260),
+	.B1(brqrv_top_brqrv_exu_pred_correct_npc_r[15]),
+	.B2(n_24229),
+	.Y(n_25925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748113 (
+	.A1_N(brqrv_top_brqrv_ifu_aln_q0pc[26]),
+	.A2_N(n_24216),
+	.B1(n_24523),
+	.B2(n_32815),
+	.Y(n_25924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748114 (
+	.A1(brqrv_top_brqrv_dec_tlu_exc_cause_r[0]),
+	.A2(n_24483),
+	.B1(brqrv_top_brqrv_dec_tlu_exc_cause_r[1]),
+	.B2(n_24482),
+	.X(n_25923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748115 (
+	.A1_N(brqrv_top_brqrv_ifu_aln_q0pc[25]),
+	.A2_N(n_24216),
+	.B1(n_24285),
+	.B2(n_32815),
+	.Y(n_25922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748116 (
+	.A1(n_24402),
+	.A2(n_32455),
+	.B1(n_5330),
+	.B2(n_31446),
+	.Y(n_25921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748117 (
+	.A1_N(brqrv_top_brqrv_ifu_aln_q2pc[23]),
+	.A2_N(n_24204),
+	.B1(n_24513),
+	.B2(n_32815),
+	.Y(n_25920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748118 (
+	.A1(n_70),
+	.A2(n_33937),
+	.B1(n_72),
+	.B2(n_34037),
+	.Y(n_25919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748119 (
+	.A1_N(n_33832),
+	.A2_N(n_66),
+	.B1(n_24274),
+	.B2(n_32286),
+	.Y(n_25918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748120 (
+	.A1_N(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[10]),
+	.A2_N(n_24459),
+	.B1(n_24498),
+	.B2(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[11]),
+	.Y(n_25917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748121 (
+	.A1(n_24402),
+	.A2(n_31581),
+	.B1(n_5330),
+	.B2(n_31580),
+	.Y(n_25916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748122 (
+	.A1_N(n_33904),
+	.A2_N(n_39165),
+	.B1(n_24514),
+	.B2(n_32284),
+	.Y(n_25915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748123 (
+	.A1_N(n_33972),
+	.A2_N(n_67),
+	.B1(n_24268),
+	.B2(n_32285),
+	.Y(n_25914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748124 (
+	.A1(n_0),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[0]),
+	.B1(n_6017),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[8]),
+	.X(n_25913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748125 (
+	.A1(n_22518),
+	.A2(brqrv_top_brqrv_dec_decode_leak1_i0_stall),
+	.B1(brqrv_top_brqrv_dec_i0_decode_d),
+	.B2(brqrv_top_brqrv_dec_decode_leak1_mode),
+	.X(brqrv_top_brqrv_dec_decode_leak1_i0_stall_in), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748126 (
+	.A1(n_24402),
+	.A2(n_31583),
+	.B1(n_5330),
+	.B2(n_31584),
+	.Y(n_25912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748127 (
+	.A1(n_24254),
+	.A2(brqrv_top_brqrv_dec_tlu_dec_timer_t0_pulse),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_mitctl1[1]),
+	.B2(n_24454),
+	.Y(n_25911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748128 (
+	.A1_N(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [28]),
+	.A2_N(n_2847),
+	.B1(n_24279),
+	.B2(n_31455),
+	.Y(n_25910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748130 (
+	.A1_N(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [118]),
+	.A2_N(n_39151),
+	.B1(n_24468),
+	.B2(n_31455),
+	.Y(n_25908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g748131 (
+	.A1_N(brqrv_top_brqrv_exu_pred_correct_npc_r[26]),
+	.A2_N(n_24245),
+	.B1(n_24470),
+	.B2(brqrv_top_brqrv_pred_correct_npc_x[27]),
+	.Y(n_25907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748132 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitctl0[1]),
+	.A2(n_24454),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_mitctl0[2]),
+	.B2(n_24061),
+	.Y(n_25906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748133 (
+	.A1(n_6017),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[9]),
+	.B1(n_6019),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[5]),
+	.X(n_25905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748134 (
+	.A1_N(brqrv_top_brqrv_ifu_aln_q2pc[24]),
+	.A2_N(n_24204),
+	.B1(n_24521),
+	.B2(n_32815),
+	.Y(n_25904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748135 (
+	.A1(n_0),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[3]),
+	.B1(n_6019),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[7]),
+	.X(n_25903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748136 (
+	.A1(brqrv_top_brqrv_dec_decode_x_t[10]),
+	.A2(n_24475),
+	.B1(brqrv_top_brqrv_dec_decode_x_t[12]),
+	.B2(n_24488),
+	.Y(n_25902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748137 (
+	.A1_N(n_24242),
+	.A2_N(brqrv_top_brqrv_ifu_i0_pc[22]),
+	.B1(n_24505),
+	.B2(brqrv_top_brqrv_ifu_i0_pc[21]),
+	.Y(n_25901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748138 (
+	.A1(n_0),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[2]),
+	.B1(n_6019),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[6]),
+	.X(n_25900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748139 (
+	.A1(n_24292),
+	.A2(n_34620),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_minstreth_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[18]),
+	.X(brqrv_top_brqrv_dec_tlu_minstreth_ns[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g748140 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_dec_tlu_flush_lower_r),
+	.C_N(n_32811),
+	.Y(brqrv_top_brqrv_exu_i0_predict_p_d[55]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748141 (
+	.A1(n_34622),
+	.A2(n_24292),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_minstreth_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[19]),
+	.X(brqrv_top_brqrv_dec_tlu_minstreth_ns[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748142 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[3]),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[5]),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[7]),
+	.B2(n_24355),
+	.Y(n_25899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748143 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[1]),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[5]),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[5]),
+	.B2(n_24355),
+	.Y(n_25898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748144 (
+	.A1(n_24355),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[4]),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[5]),
+	.B2(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[0]),
+	.Y(n_25897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748145 (
+	.A1(n_24355),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[0]),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[5]),
+	.B2(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[4]),
+	.Y(n_25896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748146 (
+	.A1(n_34624),
+	.A2(n_24292),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_minstreth_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[20]),
+	.X(brqrv_top_brqrv_dec_tlu_minstreth_ns[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748147 (
+	.A1(n_34626),
+	.A2(n_24292),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_minstreth_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[21]),
+	.X(brqrv_top_brqrv_dec_tlu_minstreth_ns[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748148 (
+	.A1(n_34628),
+	.A2(n_24292),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_minstreth_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[22]),
+	.X(brqrv_top_brqrv_dec_tlu_minstreth_ns[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748149 (
+	.A1(n_34630),
+	.A2(n_24292),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_minstreth_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[23]),
+	.X(brqrv_top_brqrv_dec_tlu_minstreth_ns[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748150 (
+	.A1(n_34632),
+	.A2(n_24292),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_minstreth_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[24]),
+	.X(brqrv_top_brqrv_dec_tlu_minstreth_ns[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748151 (
+	.A1(n_24351),
+	.A2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[9]),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[28]),
+	.B2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[11]),
+	.X(n_25895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748152 (
+	.A1(n_24351),
+	.A2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[1]),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[28]),
+	.B2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[3]),
+	.X(n_25894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748153 (
+	.A1(n_24347),
+	.A2(brqrv_top_brqrv_exu_ghr_x[6]),
+	.B1(brqrv_top_brqrv_exu_n_1728),
+	.B2(brqrv_top_brqrv_exu_ghr_x[5]),
+	.X(brqrv_top_brqrv_exu_ghr_x_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748154 (
+	.A1(n_24347),
+	.A2(brqrv_top_brqrv_exu_ghr_x[5]),
+	.B1(brqrv_top_brqrv_exu_n_1728),
+	.B2(brqrv_top_brqrv_exu_ghr_x[4]),
+	.X(brqrv_top_brqrv_exu_ghr_x_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748155 (
+	.A1(n_24347),
+	.A2(brqrv_top_brqrv_exu_ghr_x[4]),
+	.B1(brqrv_top_brqrv_exu_n_1728),
+	.B2(brqrv_top_brqrv_exu_ghr_x[3]),
+	.X(brqrv_top_brqrv_exu_ghr_x_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748156 (
+	.A1(n_24347),
+	.A2(brqrv_top_brqrv_exu_ghr_x[3]),
+	.B1(brqrv_top_brqrv_exu_n_1728),
+	.B2(brqrv_top_brqrv_exu_ghr_x[2]),
+	.X(brqrv_top_brqrv_exu_ghr_x_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748157 (
+	.A1(n_24347),
+	.A2(brqrv_top_brqrv_exu_ghr_x[2]),
+	.B1(brqrv_top_brqrv_exu_n_1728),
+	.B2(brqrv_top_brqrv_exu_ghr_x[1]),
+	.X(brqrv_top_brqrv_exu_ghr_x_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748158 (
+	.A1(n_24347),
+	.A2(brqrv_top_brqrv_exu_ghr_x[1]),
+	.B1(brqrv_top_brqrv_exu_n_1728),
+	.B2(brqrv_top_brqrv_exu_ghr_x[0]),
+	.X(brqrv_top_brqrv_exu_ghr_x_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748159 (
+	.A1(n_24347),
+	.A2(brqrv_top_brqrv_exu_ghr_x[0]),
+	.B1(brqrv_top_brqrv_exu_n_1728),
+	.B2(brqrv_top_brqrv_exu_i0_taken_x),
+	.X(brqrv_top_brqrv_exu_ghr_x_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748160 (
+	.A1(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[7]),
+	.A2(n_22330),
+	.B1(n_31462),
+	.B2(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[7]),
+	.X(brqrv_top_brqrv_ifu_mem_ctl_imb_in[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748161 (
+	.A1(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[10]),
+	.A2(n_22330),
+	.B1(n_31462),
+	.B2(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[10]),
+	.X(brqrv_top_brqrv_ifu_mem_ctl_imb_in[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748162 (
+	.A1(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[1]),
+	.A2(n_22330),
+	.B1(n_31462),
+	.B2(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[1]),
+	.X(brqrv_top_brqrv_ifu_mem_ctl_imb_in[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748163 (
+	.A1(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[5]),
+	.A2(n_22330),
+	.B1(n_31462),
+	.B2(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[5]),
+	.X(brqrv_top_brqrv_ifu_mem_ctl_imb_in[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748164 (
+	.A1(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[9]),
+	.A2(n_22330),
+	.B1(n_31462),
+	.B2(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[9]),
+	.X(brqrv_top_brqrv_ifu_mem_ctl_imb_in[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748165 (
+	.A1(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[4]),
+	.A2(n_22330),
+	.B1(n_31462),
+	.B2(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[4]),
+	.X(brqrv_top_brqrv_ifu_mem_ctl_imb_in[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748166 (
+	.A1(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[3]),
+	.A2(n_22330),
+	.B1(n_31462),
+	.B2(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[3]),
+	.X(brqrv_top_brqrv_ifu_mem_ctl_imb_in[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748167 (
+	.A1(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[8]),
+	.A2(n_22330),
+	.B1(n_31462),
+	.B2(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[8]),
+	.X(brqrv_top_brqrv_ifu_mem_ctl_imb_in[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748168 (
+	.A1(n_34634),
+	.A2(n_24292),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_minstreth_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[25]),
+	.X(brqrv_top_brqrv_dec_tlu_minstreth_ns[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748169 (
+	.A1(n_34636),
+	.A2(n_24292),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_minstreth_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[26]),
+	.X(brqrv_top_brqrv_dec_tlu_minstreth_ns[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748170 (
+	.A1(n_33198),
+	.A2(n_2695),
+	.B1(n_33167),
+	.B2(n_31484),
+	.X(brqrv_top_brqrv_dec_decode_i0_result_x[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748171 (
+	.A1(n_33196),
+	.A2(n_2695),
+	.B1(n_33165),
+	.B2(n_31484),
+	.X(brqrv_top_brqrv_dec_decode_i0_result_x[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748172 (
+	.A1(n_33195),
+	.A2(n_2695),
+	.B1(n_33164),
+	.B2(n_31484),
+	.X(brqrv_top_brqrv_dec_decode_i0_result_x[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748173 (
+	.A1(n_33194),
+	.A2(n_2695),
+	.B1(n_33163),
+	.B2(n_31484),
+	.X(brqrv_top_brqrv_dec_decode_i0_result_x[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748174 (
+	.A1(n_33193),
+	.A2(n_2695),
+	.B1(n_33162),
+	.B2(n_31484),
+	.X(brqrv_top_brqrv_dec_decode_i0_result_x[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748175 (
+	.A1(n_33192),
+	.A2(n_2695),
+	.B1(n_33161),
+	.B2(n_31484),
+	.X(brqrv_top_brqrv_dec_decode_i0_result_x[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748176 (
+	.A1(n_33191),
+	.A2(n_2695),
+	.B1(n_33160),
+	.B2(n_31484),
+	.X(brqrv_top_brqrv_dec_decode_i0_result_x[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748177 (
+	.A1(n_33190),
+	.A2(n_2695),
+	.B1(n_33159),
+	.B2(n_31484),
+	.X(brqrv_top_brqrv_dec_decode_i0_result_x[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748178 (
+	.A1(n_33189),
+	.A2(n_2695),
+	.B1(n_33158),
+	.B2(n_31484),
+	.X(brqrv_top_brqrv_dec_decode_i0_result_x[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748179 (
+	.A1(n_33188),
+	.A2(n_2695),
+	.B1(n_33157),
+	.B2(n_31484),
+	.X(brqrv_top_brqrv_dec_decode_i0_result_x[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748180 (
+	.A1(n_33187),
+	.A2(n_2695),
+	.B1(n_33156),
+	.B2(n_31484),
+	.X(brqrv_top_brqrv_dec_decode_i0_result_x[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748181 (
+	.A1(n_33185),
+	.A2(n_2695),
+	.B1(n_33154),
+	.B2(n_31484),
+	.X(brqrv_top_brqrv_dec_decode_i0_result_x[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748182 (
+	.A1(n_33180),
+	.A2(n_2695),
+	.B1(n_33148),
+	.B2(n_31484),
+	.X(brqrv_top_brqrv_dec_decode_i0_result_x[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748183 (
+	.A1(n_33211),
+	.A2(n_2695),
+	.B1(n_33210),
+	.B2(n_31484),
+	.X(brqrv_top_brqrv_dec_decode_i0_result_x[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748184 (
+	.A1(n_33208),
+	.A2(n_2695),
+	.B1(n_33207),
+	.B2(n_31484),
+	.X(brqrv_top_brqrv_dec_decode_i0_result_x[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748185 (
+	.A1(n_33204),
+	.A2(n_2695),
+	.B1(n_33173),
+	.B2(n_31484),
+	.X(brqrv_top_brqrv_dec_decode_i0_result_x[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748186 (
+	.A1(n_33203),
+	.A2(n_2695),
+	.B1(n_33172),
+	.B2(n_31484),
+	.X(brqrv_top_brqrv_dec_decode_i0_result_x[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748187 (
+	.A1(n_33202),
+	.A2(n_2695),
+	.B1(n_33171),
+	.B2(n_31484),
+	.X(brqrv_top_brqrv_dec_decode_i0_result_x[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748188 (
+	.A1(n_33201),
+	.A2(n_2695),
+	.B1(n_33170),
+	.B2(n_31484),
+	.X(brqrv_top_brqrv_dec_decode_i0_result_x[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748189 (
+	.A1(n_33200),
+	.A2(n_2695),
+	.B1(n_33169),
+	.B2(n_31484),
+	.X(brqrv_top_brqrv_dec_decode_i0_result_x[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748190 (
+	.A1(n_33199),
+	.A2(n_2695),
+	.B1(n_33168),
+	.B2(n_31484),
+	.X(brqrv_top_brqrv_dec_decode_i0_result_x[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748191 (
+	.A1(n_24056),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[14]),
+	.B1(n_31476),
+	.B2(n_34422),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748192 (
+	.A1(n_34643),
+	.A2(n_24053),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_mcycleh_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[30]),
+	.X(brqrv_top_brqrv_dec_tlu_mcycleh_ns[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748193 (
+	.A1(n_34641),
+	.A2(n_24053),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_mcycleh_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[29]),
+	.X(brqrv_top_brqrv_dec_tlu_mcycleh_ns[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748194 (
+	.A1(n_34639),
+	.A2(n_24053),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_mcycleh_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[28]),
+	.X(brqrv_top_brqrv_dec_tlu_mcycleh_ns[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748195 (
+	.A1(n_34637),
+	.A2(n_24053),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_mcycleh_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[27]),
+	.X(brqrv_top_brqrv_dec_tlu_mcycleh_ns[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748196 (
+	.A1(n_34635),
+	.A2(n_24053),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_mcycleh_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[26]),
+	.X(brqrv_top_brqrv_dec_tlu_mcycleh_ns[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748197 (
+	.A1(n_34633),
+	.A2(n_24053),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_mcycleh_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[25]),
+	.X(brqrv_top_brqrv_dec_tlu_mcycleh_ns[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748198 (
+	.A1(n_34631),
+	.A2(n_24053),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_mcycleh_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[24]),
+	.X(brqrv_top_brqrv_dec_tlu_mcycleh_ns[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748199 (
+	.A1(n_34629),
+	.A2(n_24053),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_mcycleh_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[23]),
+	.X(brqrv_top_brqrv_dec_tlu_mcycleh_ns[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748200 (
+	.A1(n_34625),
+	.A2(n_24053),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_mcycleh_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[21]),
+	.X(brqrv_top_brqrv_dec_tlu_mcycleh_ns[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748201 (
+	.A1(n_34623),
+	.A2(n_24053),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_mcycleh_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[20]),
+	.X(brqrv_top_brqrv_dec_tlu_mcycleh_ns[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748202 (
+	.A1(n_34621),
+	.A2(n_24053),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_mcycleh_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[19]),
+	.X(brqrv_top_brqrv_dec_tlu_mcycleh_ns[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748203 (
+	.A1(n_24053),
+	.A2(n_34619),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_mcycleh_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[18]),
+	.X(brqrv_top_brqrv_dec_tlu_mcycleh_ns[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748204 (
+	.A1(n_24053),
+	.A2(n_34615),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_mcycleh_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[16]),
+	.X(brqrv_top_brqrv_dec_tlu_mcycleh_ns[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748205 (
+	.A1(n_24053),
+	.A2(n_34613),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_mcycleh_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[15]),
+	.X(brqrv_top_brqrv_dec_tlu_mcycleh_ns[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748206 (
+	.A1(n_24053),
+	.A2(n_34611),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_mcycleh_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[14]),
+	.X(brqrv_top_brqrv_dec_tlu_mcycleh_ns[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748207 (
+	.A1(n_24053),
+	.A2(n_34609),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_mcycleh_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[13]),
+	.X(brqrv_top_brqrv_dec_tlu_mcycleh_ns[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748208 (
+	.A1(n_24053),
+	.A2(n_34607),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_mcycleh_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[12]),
+	.X(brqrv_top_brqrv_dec_tlu_mcycleh_ns[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748209 (
+	.A1(n_24053),
+	.A2(n_34605),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_mcycleh_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[11]),
+	.X(brqrv_top_brqrv_dec_tlu_mcycleh_ns[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748210 (
+	.A1(n_24053),
+	.A2(n_34603),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_mcycleh_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[10]),
+	.X(brqrv_top_brqrv_dec_tlu_mcycleh_ns[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748211 (
+	.A1(n_24053),
+	.A2(n_34601),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_mcycleh_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[9]),
+	.X(brqrv_top_brqrv_dec_tlu_mcycleh_ns[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748212 (
+	.A1(n_24053),
+	.A2(n_34599),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_mcycleh_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[8]),
+	.X(brqrv_top_brqrv_dec_tlu_mcycleh_ns[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748213 (
+	.A1(n_24053),
+	.A2(n_34597),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_mcycleh_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[7]),
+	.X(brqrv_top_brqrv_dec_tlu_mcycleh_ns[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748214 (
+	.A1(n_24053),
+	.A2(n_34595),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_mcycleh_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[6]),
+	.X(brqrv_top_brqrv_dec_tlu_mcycleh_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748215 (
+	.A1(n_24053),
+	.A2(n_34593),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_mcycleh_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[5]),
+	.X(brqrv_top_brqrv_dec_tlu_mcycleh_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748216 (
+	.A1(n_24053),
+	.A2(n_34591),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_mcycleh_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[4]),
+	.X(brqrv_top_brqrv_dec_tlu_mcycleh_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748217 (
+	.A1(n_24053),
+	.A2(n_34589),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_mcycleh_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[3]),
+	.X(brqrv_top_brqrv_dec_tlu_mcycleh_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748218 (
+	.A1(n_24053),
+	.A2(n_34587),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_mcycleh_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[2]),
+	.X(brqrv_top_brqrv_dec_tlu_mcycleh_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748219 (
+	.A1(n_24053),
+	.A2(n_34585),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_mcycleh_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[1]),
+	.X(brqrv_top_brqrv_dec_tlu_mcycleh_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748220 (
+	.A1(n_24053),
+	.A2(n_34584),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_mcycleh_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[0]),
+	.X(brqrv_top_brqrv_dec_tlu_mcycleh_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748221 (
+	.A1(n_34646),
+	.A2(n_24292),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_minstreth_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[31]),
+	.X(brqrv_top_brqrv_dec_tlu_minstreth_ns[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748222 (
+	.A1(n_34644),
+	.A2(n_24292),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_minstreth_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[30]),
+	.X(brqrv_top_brqrv_dec_tlu_minstreth_ns[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748223 (
+	.A1(n_34642),
+	.A2(n_24292),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_minstreth_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[29]),
+	.X(brqrv_top_brqrv_dec_tlu_minstreth_ns[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748224 (
+	.A1(n_34640),
+	.A2(n_24292),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_minstreth_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[28]),
+	.X(brqrv_top_brqrv_dec_tlu_minstreth_ns[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748225 (
+	.A1(n_34638),
+	.A2(n_24292),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_minstreth_r),
+	.B2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[27]),
+	.X(brqrv_top_brqrv_dec_tlu_minstreth_ns[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748226 (
+	.A1(n_24092),
+	.A2(brqrv_top_brqrv_lsu_lsu_pkt_m[9]),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_m[1]),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[0]),
+	.Y(n_26149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748227 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[2]),
+	.A2(brqrv_top_brqrv_dec_decode_n_1234),
+	.B1(brqrv_top_brqrv_dec_decode_csrimm_x[2]),
+	.B2(n_24118),
+	.Y(n_26148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748228 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[3]),
+	.A2(brqrv_top_brqrv_dec_decode_n_1234),
+	.B1(brqrv_top_brqrv_dec_decode_csrimm_x[3]),
+	.B2(n_24118),
+	.Y(n_26147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748229 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[4]),
+	.A2(brqrv_top_brqrv_dec_decode_n_1234),
+	.B1(brqrv_top_brqrv_dec_decode_csrimm_x[4]),
+	.B2(n_24118),
+	.Y(n_26146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748230 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[0]),
+	.A2(brqrv_top_brqrv_dec_decode_n_1234),
+	.B1(brqrv_top_brqrv_dec_decode_csrimm_x[0]),
+	.B2(n_24118),
+	.Y(n_26145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748231 (
+	.A1(brqrv_top_brqrv_exu_csr_rs1_x[1]),
+	.A2(brqrv_top_brqrv_dec_decode_n_1234),
+	.B1(brqrv_top_brqrv_dec_decode_csrimm_x[1]),
+	.B2(n_24118),
+	.Y(n_26144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g748232 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_valid_ff ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_enable ),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_rq_enable ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g748233 (
+	.A(n_25438),
+	.B(n_24429),
+	.X(n_26143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g748234 (
+	.A(n_25452),
+	.B(brqrv_top_brqrv_dma_ctrl_WrPtr[1]),
+	.X(n_26142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g748235 (
+	.A(brqrv_top_brqrv_dbg_sbcs_reg[13]),
+	.B(brqrv_top_brqrv_dbg_sbcs_reg[14]),
+	.C(brqrv_top_brqrv_dbg_sbcs_reg[12]),
+	.X(n_26141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g748236 (
+	.A(n_25404),
+	.B(n_32604),
+	.X(n_26140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g748237 (
+	.A(n_25457),
+	.B(n_32773),
+	.Y(n_26139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g748238 (
+	.A(n_39120),
+	.B(n_24354),
+	.X(n_26138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g748239 (
+	.A1(n_24218),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1319),
+	.B1(n_32565),
+	.Y(n_26136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g748240 (
+	.A(brqrv_top_brqrv_ifu_ic_fetch_val_f[0]),
+	.B(n_24208),
+	.C(brqrv_top_brqrv_ifu_aln_wrptr[1]),
+	.X(brqrv_top_brqrv_ifu_aln_qwen[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g748241 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.B1_N(n_32302),
+	.Y(n_26135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g748242 (
+	.A(brqrv_top_brqrv_dec_tlu_e5_valid),
+	.B(brqrv_top_brqrv_dec_dec_tlu_dec_clk_override),
+	.C(brqrv_top_brqrv_dec_dec_tlu_i0_valid_r),
+	.X(brqrv_top_brqrv_dec_tlu_n_3271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g748243 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_bus_cmd_req_hold),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f),
+	.B1(n_8148),
+	.X(brqrv_top_brqrv_ifu_mem_ctl_bus_cmd_req_in), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g748244 (
+	.A(brqrv_top_brqrv_dma_ctrl_WrPtr[0]),
+	.B(brqrv_top_brqrv_dma_ctrl_WrPtr[1]),
+	.C(n_24429),
+	.Y(n_26134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g748245 (
+	.A(n_25186),
+	.B(n_32834),
+	.Y(n_26133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g748246 (
+	.A1(n_31875),
+	.A2(n_24371),
+	.B1(n_25430),
+	.Y(n_26132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g748247 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_n_3477),
+	.C(n_32604),
+	.Y(n_26131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g748248 (
+	.A(brqrv_top_dmi_reg_addr[4]),
+	.B(brqrv_top_dmi_reg_addr[5]),
+	.C(brqrv_top_dmi_reg_addr[3]),
+	.Y(n_26130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g748249 (
+	.A(n_25181),
+	.B(n_32838),
+	.Y(n_26129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g748250 (
+	.A(n_39358),
+	.B(n_32840),
+	.Y(n_25893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g748251 (
+	.A_N(n_29899),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[14]),
+	.C(n_539),
+	.Y(n_26128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g748252 (
+	.A1(n_30890),
+	.A2(n_30519),
+	.B1(n_24212),
+	.Y(brqrv_top_brqrv_lsu_p[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g748253 (
+	.A(n_29925),
+	.B(n_32514),
+	.C_N(n_29916),
+	.Y(n_26127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g748255 (
+	.A(n_25420),
+	.B(brqrv_top_brqrv_dbg_n_5),
+	.Y(n_26125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g748256 (
+	.A(brqrv_top_brqrv_dec_tlu_misc_clk_override),
+	.B(brqrv_top_brqrv_dma_ctrl_bus_cmd_valid),
+	.C(brqrv_top_brqrv_dbg_cmd_valid),
+	.X(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clken), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g748257 (
+	.A1(n_24359),
+	.A2(brqrv_top_brqrv_dec_tlu_mtdata1_t3[9]),
+	.B1(brqrv_top_brqrv_dec_tlu_n_12944),
+	.Y(n_26124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g748258 (
+	.A1(n_24359),
+	.A2(brqrv_top_brqrv_dec_tlu_mtdata1_t2[9]),
+	.B1(brqrv_top_brqrv_dec_tlu_n_12947),
+	.Y(n_26123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g748259 (
+	.A1(n_24359),
+	.A2(brqrv_top_brqrv_dec_tlu_mtdata1_t1[9]),
+	.B1(brqrv_top_brqrv_dec_tlu_n_12950),
+	.Y(n_26122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g748260 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_flush_final_f),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_n_3474),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_n_3477),
+	.Y(n_26121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g748261 (
+	.A1(n_24130),
+	.A2(brqrv_top_brqrv_dec_decode_r_t[14]),
+	.B1_N(brqrv_top_brqrv_dec_tlu_inst_acc_r),
+	.Y(n_26120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g748262 (
+	.A(n_25400),
+	.B(n_29903),
+	.Y(n_26119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g748263 (
+	.A(brqrv_top_brqrv_dec_decode_d_d[22]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[24]),
+	.X(brqrv_top_brqrv_dec_decode_d_d[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g748265 (
+	.A(brqrv_top_brqrv_dec_decode_d_d[22]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[22]),
+	.X(brqrv_top_brqrv_dec_decode_d_d[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g748266 (
+	.A(brqrv_top_brqrv_dec_decode_d_d[22]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[20]),
+	.Y(n_26118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g748267 (
+	.A(brqrv_top_brqrv_dma_ctrl_n_1319),
+	.B(n_32565),
+	.C(n_31486),
+	.Y(n_26117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g748268 (
+	.A(brqrv_top_brqrv_dec_decode_d_d[22]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[21]),
+	.Y(n_26116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g748269 (
+	.A_N(brqrv_top_brqrv_dec_dec_csr_wraddr_r[4]),
+	.B(n_29924),
+	.C(brqrv_top_brqrv_dec_dec_csr_wraddr_r[5]),
+	.Y(n_26115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g748270 (
+	.A_N(n_31459),
+	.B(brqrv_top_dmi_reg_addr[2]),
+	.C(brqrv_top_dmi_reg_addr[1]),
+	.Y(n_26114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g748271 (
+	.A(brqrv_top_brqrv_dec_decode_d_d[22]),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[42]),
+	.X(brqrv_top_brqrv_dec_decode_d_d[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g748272 (
+	.A(brqrv_top_brqrv_dbg_dmcontrol_reg[31]),
+	.B(n_25300),
+	.Y(n_26113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g748273 (
+	.A(brqrv_top_brqrv_dec_decode_d_d[22]),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[43]),
+	.X(brqrv_top_brqrv_dec_decode_d_d[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g748274 (
+	.A(n_25444),
+	.B(n_25445),
+	.X(n_26112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g748275 (
+	.A(brqrv_top_brqrv_dec_decode_d_d[22]),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[47]),
+	.Y(n_26111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g748276 (
+	.A(brqrv_top_brqrv_dec_decode_d_d[22]),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[44]),
+	.Y(n_26110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g748277 (
+	.A(brqrv_top_brqrv_ifu_aln_wrptr[0]),
+	.B(n_25448),
+	.Y(brqrv_top_brqrv_ifu_aln_qwen[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g748278 (
+	.A(brqrv_top_brqrv_dbg_dbg_state[0]),
+	.B(n_25420),
+	.Y(n_26109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g748279 (
+	.A1(n_24284),
+	.A2(brqrv_top_brqrv_dma_ctrl_rdbuf_vld),
+	.B1(n_32774),
+	.Y(n_26108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g748280 (
+	.A(n_32215),
+	.B(brqrv_top_brqrv_dec_dec_csr_wrdata_r[31]),
+	.C(brqrv_top_brqrv_dec_dec_csr_wrdata_r[30]),
+	.Y(n_26107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g748281 (
+	.A_N(n_25437),
+	.B(n_25428),
+	.Y(n_26106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g748282 (
+	.A(n_25412),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_m[9]),
+	.Y(n_26105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g748284 (
+	.A(n_5424),
+	.B(n_25430),
+	.X(n_26103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g748285 (
+	.A(n_25419),
+	.B(brqrv_top_brqrv_dbg_dbg_state[0]),
+	.Y(n_26102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g748286 (
+	.A(brqrv_top_brqrv_dec_decode_d_d[22]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[31]),
+	.X(brqrv_top_brqrv_dec_decode_d_d[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g748287 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt),
+	.B(n_25421),
+	.Y(n_26101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g748288 (
+	.A(brqrv_top_brqrv_dec_decode_d_d[22]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[23]),
+	.X(brqrv_top_brqrv_dec_decode_d_d[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g748289 (
+	.A(brqrv_top_brqrv_ifu_aln_n_2316),
+	.B(brqrv_top_brqrv_ifu_aln_n_2319),
+	.C(brqrv_top_brqrv_ifu_aln_n_2310),
+	.Y(n_26100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g748290 (
+	.A(n_25479),
+	.B(n_24379),
+	.Y(n_26099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g748291 (
+	.A(brqrv_top_brqrv_dec_decode_i0_pipe_en[2]),
+	.B(brqrv_top_brqrv_dec_data_en[1]),
+	.Y(n_26098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g748292 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_m[6]),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_m[0]),
+	.C(brqrv_top_brqrv_lsu_lsu_pkt_m[4]),
+	.Y(n_26097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g748293 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_n_10401 ),
+	.B_N(n_25491),
+	.Y(n_26096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g748294 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wraddr_r[7]),
+	.B(brqrv_top_brqrv_dec_tlu_n_398),
+	.C(n_31529),
+	.Y(n_26093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g748295 (
+	.A(n_31460),
+	.B(n_31518),
+	.C_N(brqrv_top_dmi_reg_addr[3]),
+	.Y(n_26092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g748296 (
+	.A_N(n_25405),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_m[9]),
+	.Y(n_26091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g748297 (
+	.A_N(brqrv_top_brqrv_dec_decode_i0_br_error_all),
+	.B(n_31642),
+	.C(n_31614),
+	.Y(brqrv_top_brqrv_dec_i0_branch_d), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g748298 (
+	.A(n_25395),
+	.B(brqrv_top_brqrv_dec_dec_csr_wrdata_r[12]),
+	.Y(n_26088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g748299 (
+	.A(n_25390),
+	.B(n_25359),
+	.Y(n_26087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g748300 (
+	.A(n_25394),
+	.B(brqrv_top_brqrv_dec_decode_x_d[3]),
+	.X(n_26086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g748301 (
+	.A(n_33367),
+	.B_N(n_25439),
+	.Y(n_26085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g748302 (
+	.A(n_25385),
+	.B(brqrv_top_brqrv_dec_decode_n_1461),
+	.Y(n_26083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g748303 (
+	.A_N(n_25411),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_n_10401 ),
+	.Y(n_26081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g748304 (
+	.A(n_25366),
+	.B(n_32454),
+	.Y(n_26080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g748305 (
+	.A(n_25357),
+	.B(n_31504),
+	.Y(n_26079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g748306 (
+	.A(n_25362),
+	.B(n_32441),
+	.Y(n_26078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g748307 (
+	.A(n_25364),
+	.B(n_31302),
+	.Y(n_26077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g748308 (
+	.A(n_25391),
+	.B(n_25360),
+	.Y(n_26076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g748309 (
+	.A(brqrv_top_brqrv_dbg_n_5),
+	.B_N(n_25429),
+	.Y(n_26074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g748310 (
+	.A1(brqrv_top_brqrv_dec_decode_n_1558),
+	.A2(brqrv_top_brqrv_dec_decode_n_1461),
+	.B1(n_25385),
+	.Y(n_26072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g748311 (
+	.A(n_25411),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_n_10401 ),
+	.X(n_26071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g748312 (
+	.A_N(n_31525),
+	.B(n_29916),
+	.C(n_29925),
+	.Y(n_26070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g748313 (
+	.A(brqrv_top_brqrv_dbg_dbg_state[0]),
+	.B(n_24122),
+	.C(n_32738),
+	.Y(n_26068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g748314 (
+	.A(n_24381),
+	.B(n_31519),
+	.C(n_31459),
+	.Y(n_26066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g748315 (
+	.A(brqrv_top_brqrv_dec_tlu_flush_lower_r),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[37]),
+	.Y(n_26064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g748316 (
+	.A1(n_24301),
+	.A2(n_33343),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[26]),
+	.Y(n_26063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g748317 (
+	.A1(n_24301),
+	.A2(n_33336),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[19]),
+	.Y(n_26062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g748318 (
+	.A1(n_24301),
+	.A2(n_33320),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[3]),
+	.Y(n_26060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g748319 (
+	.A1(n_24301),
+	.A2(n_33328),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[11]),
+	.Y(n_26059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g748320 (
+	.A1(n_24301),
+	.A2(n_33344),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[27]),
+	.Y(n_26058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g748321 (
+	.A1(n_24301),
+	.A2(n_33337),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[20]),
+	.Y(n_26057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g748322 (
+	.A1(n_24301),
+	.A2(n_33321),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[4]),
+	.Y(n_26055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g748323 (
+	.A1(n_24301),
+	.A2(n_33329),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[12]),
+	.Y(n_26054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g748324 (
+	.A1(n_24301),
+	.A2(n_33345),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[28]),
+	.Y(n_26053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g748325 (
+	.A1(n_24301),
+	.A2(n_33330),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[13]),
+	.Y(n_26052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g748326 (
+	.A1(n_24301),
+	.A2(n_33346),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[29]),
+	.Y(n_26051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g748327 (
+	.A1(n_24301),
+	.A2(n_33331),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[14]),
+	.Y(n_26050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g748328 (
+	.A1(n_24301),
+	.A2(n_33347),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[30]),
+	.Y(n_26049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g748329 (
+	.A1(n_24301),
+	.A2(n_33348),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[31]),
+	.Y(n_26047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g748330 (
+	.A1(n_24301),
+	.A2(n_33339),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[22]),
+	.Y(n_26046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g748331 (
+	.A1(n_31454),
+	.A2(n_31500),
+	.B1(n_25399),
+	.Y(n_26045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g748332 (
+	.A1(n_24301),
+	.A2(n_33323),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[6]),
+	.Y(n_26044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g748333 (
+	.A1(n_24126),
+	.A2(n_31500),
+	.B1(n_25399),
+	.Y(n_26043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g748334 (
+	.A1(n_24301),
+	.A2(n_33332),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[15]),
+	.Y(n_26042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g748335 (
+	.A1(n_24301),
+	.A2(n_33340),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[23]),
+	.Y(n_26041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g748336 (
+	.A1(n_24301),
+	.A2(n_33324),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[7]),
+	.Y(n_26040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g748337 (
+	.A1(n_24301),
+	.A2(n_33338),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[21]),
+	.Y(n_26039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g748338 (
+	.A1(n_24301),
+	.A2(n_33322),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[5]),
+	.Y(n_26037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g748339 (
+	.A1(n_24301),
+	.A2(n_33325),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[8]),
+	.Y(n_26036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g748340 (
+	.A1(n_24301),
+	.A2(n_33341),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[24]),
+	.Y(n_26035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g748341 (
+	.A1(n_24301),
+	.A2(n_33317),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[0]),
+	.Y(n_26033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g748342 (
+	.A1(n_24301),
+	.A2(n_33333),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[16]),
+	.Y(n_26032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g748343 (
+	.A1(n_24301),
+	.A2(n_33334),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[17]),
+	.Y(n_26031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g748344 (
+	.A1(n_24301),
+	.A2(n_33326),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[9]),
+	.Y(n_26029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g748345 (
+	.A1(n_24301),
+	.A2(n_33318),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[1]),
+	.Y(n_26028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g748346 (
+	.A1(n_24301),
+	.A2(n_33342),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[25]),
+	.Y(n_26027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g748347 (
+	.A1(n_24301),
+	.A2(n_33319),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[2]),
+	.Y(n_26026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g748348 (
+	.A1(n_24301),
+	.A2(n_33335),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[18]),
+	.Y(n_26025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g748349 (
+	.A1(n_24301),
+	.A2(n_33327),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[10]),
+	.Y(n_26023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g748350 (
+	.A_N(n_25517),
+	.B(n_31870),
+	.Y(n_26022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g748351 (
+	.A(n_25478),
+	.B(n_24357),
+	.Y(n_26020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g748352 (
+	.A(n_25478),
+	.B(brqrv_top_brqrv_dma_ctrl_RspPtr[0]),
+	.Y(n_26017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g748353 (
+	.A(n_25454),
+	.B(brqrv_top_brqrv_dma_ctrl_RspPtr[0]),
+	.Y(n_26016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g748354 (
+	.A(n_25454),
+	.B(n_24357),
+	.Y(n_26014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g748355 (
+	.A(n_24357),
+	.B(n_24158),
+	.C(brqrv_top_brqrv_dma_ctrl_RspPtr[2]),
+	.Y(n_26012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g748356 (
+	.A1(brqrv_top_brqrv_exu_i0_rs2_d[4]),
+	.A2(n_7218),
+	.B1(n_25354),
+	.Y(n_26010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g748357 (
+	.A(n_25385),
+	.B(brqrv_top_brqrv_dec_decode_n_1428),
+	.Y(n_26008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g748358 (
+	.A(n_25384),
+	.B(brqrv_top_brqrv_dec_tlu_illegal_r),
+	.X(n_26006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_2 g748359 (
+	.A(n_24381),
+	.B(n_31519),
+	.C(n_31520),
+	.Y(n_26005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g748360 (
+	.A(n_29924),
+	.B(n_31481),
+	.C(n_31479),
+	.X(n_26004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g748361 (
+	.A(n_29924),
+	.B(n_31509),
+	.C(n_31480),
+	.X(n_26003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g748362 (
+	.A_N(n_31578),
+	.B(brqrv_top_brqrv_lsu_addr_in_dccm_d),
+	.C(brqrv_top_brqrv_dma_mem_sz[1]),
+	.X(n_26002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_2 g748363 (
+	.A_N(n_31578),
+	.B(brqrv_top_brqrv_lsu_addr_in_pic_d),
+	.X(n_26000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748365 (
+	.A1(n_29890),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [23]),
+	.B1(n_33793),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_25868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748366 (
+	.A1_N(n_31469),
+	.A2_N(n_34215),
+	.B1(brqrv_top_brqrv_dec_tlu_n_6062),
+	.B2(n_31469),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748367 (
+	.A1(n_24055),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[26]),
+	.B1(n_34214),
+	.B2(n_31469),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748368 (
+	.A1_N(n_31469),
+	.A2_N(n_34213),
+	.B1(n_1823),
+	.B2(n_31469),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748369 (
+	.A1(n_24055),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[24]),
+	.B1(n_34212),
+	.B2(n_31469),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748370 (
+	.A1_N(n_31469),
+	.A2_N(n_34211),
+	.B1(n_1319),
+	.B2(n_31469),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748371 (
+	.A1_N(n_31469),
+	.A2_N(n_34210),
+	.B1(n_1308),
+	.B2(n_31469),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748372 (
+	.A1(n_24055),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[21]),
+	.B1(n_34209),
+	.B2(n_31469),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748373 (
+	.A1_N(n_31469),
+	.A2_N(n_34208),
+	.B1(n_1819),
+	.B2(n_31469),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748374 (
+	.A1_N(n_31469),
+	.A2_N(n_34207),
+	.B1(n_2025),
+	.B2(n_31469),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748375 (
+	.A1_N(n_31469),
+	.A2_N(n_34206),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_316),
+	.B2(n_31469),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748376 (
+	.A1(n_24055),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[17]),
+	.B1(n_34205),
+	.B2(n_31469),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748377 (
+	.A1(n_24055),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[16]),
+	.B1(n_34204),
+	.B2(n_31469),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748378 (
+	.A1_N(n_31469),
+	.A2_N(n_34203),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_313),
+	.B2(n_31469),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748379 (
+	.A1(n_24055),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[14]),
+	.B1(n_34202),
+	.B2(n_31469),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748380 (
+	.A1(n_24055),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[13]),
+	.B1(n_34201),
+	.B2(n_31469),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748381 (
+	.A1_N(n_31469),
+	.A2_N(n_34200),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_310),
+	.B2(n_31469),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748382 (
+	.A1_N(n_31469),
+	.A2_N(n_34199),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_309),
+	.B2(n_31469),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748383 (
+	.A1_N(n_31469),
+	.A2_N(n_34198),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_308),
+	.B2(n_31469),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748384 (
+	.A1(n_24055),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[9]),
+	.B1(n_34197),
+	.B2(n_31469),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748385 (
+	.A1_N(n_31469),
+	.A2_N(n_34196),
+	.B1(n_1303),
+	.B2(n_31469),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748386 (
+	.A1_N(n_31469),
+	.A2_N(n_34195),
+	.B1(n_1304),
+	.B2(n_31469),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748387 (
+	.A1_N(n_31469),
+	.A2_N(n_34194),
+	.B1(n_1705),
+	.B2(n_31469),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748388 (
+	.A1_N(n_31469),
+	.A2_N(n_34193),
+	.B1(n_1295),
+	.B2(n_31469),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748389 (
+	.A1_N(n_31469),
+	.A2_N(n_34192),
+	.B1(n_1701),
+	.B2(n_31469),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748390 (
+	.A1_N(n_31469),
+	.A2_N(n_34191),
+	.B1(n_1702),
+	.B2(n_31469),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748391 (
+	.A1_N(n_31469),
+	.A2_N(n_34190),
+	.B1(n_1703),
+	.B2(n_31469),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748392 (
+	.A1_N(n_31469),
+	.A2_N(n_34189),
+	.B1(n_1704),
+	.B2(n_31469),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748393 (
+	.A1_N(n_31469),
+	.A2_N(n_34188),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_298),
+	.B2(n_31469),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748394 (
+	.A1_N(n_31472),
+	.A2_N(n_34282),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_329),
+	.B2(n_31472),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748395 (
+	.A1_N(n_31472),
+	.A2_N(n_34281),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_328),
+	.B2(n_31472),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748396 (
+	.A1_N(n_31472),
+	.A2_N(n_34280),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_327),
+	.B2(n_31472),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748397 (
+	.A1_N(n_31472),
+	.A2_N(n_34279),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_326),
+	.B2(n_31472),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748398 (
+	.A1_N(n_31472),
+	.A2_N(n_34278),
+	.B1(brqrv_top_brqrv_dec_tlu_n_6062),
+	.B2(n_31472),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748399 (
+	.A1(n_24054),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[26]),
+	.B1(n_34277),
+	.B2(n_31472),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748400 (
+	.A1_N(n_31472),
+	.A2_N(n_34276),
+	.B1(n_1823),
+	.B2(n_31472),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748401 (
+	.A1(n_24054),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[24]),
+	.B1(n_34275),
+	.B2(n_31472),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748402 (
+	.A1_N(n_31472),
+	.A2_N(n_34274),
+	.B1(n_1319),
+	.B2(n_31472),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748403 (
+	.A1_N(n_31472),
+	.A2_N(n_34273),
+	.B1(n_1308),
+	.B2(n_31472),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748404 (
+	.A1(n_24054),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[21]),
+	.B1(n_34272),
+	.B2(n_31472),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748405 (
+	.A1_N(n_31472),
+	.A2_N(n_34271),
+	.B1(n_1819),
+	.B2(n_31472),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748406 (
+	.A1_N(n_31472),
+	.A2_N(n_34270),
+	.B1(n_2025),
+	.B2(n_31472),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748407 (
+	.A1_N(n_31472),
+	.A2_N(n_34269),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_316),
+	.B2(n_31472),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748408 (
+	.A1(n_24054),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[17]),
+	.B1(n_34268),
+	.B2(n_31472),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748409 (
+	.A1(n_24054),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[16]),
+	.B1(n_34267),
+	.B2(n_31472),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748410 (
+	.A1_N(n_31472),
+	.A2_N(n_34266),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_313),
+	.B2(n_31472),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748411 (
+	.A1(n_24054),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[14]),
+	.B1(n_34265),
+	.B2(n_31472),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748412 (
+	.A1(n_24054),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[13]),
+	.B1(n_34264),
+	.B2(n_31472),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748413 (
+	.A1_N(n_31472),
+	.A2_N(n_34262),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_309),
+	.B2(n_31472),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748414 (
+	.A1_N(n_31472),
+	.A2_N(n_34261),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_308),
+	.B2(n_31472),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748415 (
+	.A1(n_24054),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[9]),
+	.B1(n_34260),
+	.B2(n_31472),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748416 (
+	.A1_N(n_31472),
+	.A2_N(n_34259),
+	.B1(n_1303),
+	.B2(n_31472),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748417 (
+	.A1_N(n_31472),
+	.A2_N(n_34258),
+	.B1(n_1304),
+	.B2(n_31472),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748418 (
+	.A1_N(n_31472),
+	.A2_N(n_34257),
+	.B1(n_1705),
+	.B2(n_31472),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748419 (
+	.A1_N(n_31472),
+	.A2_N(n_34256),
+	.B1(n_1295),
+	.B2(n_31472),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748420 (
+	.A1_N(n_31472),
+	.A2_N(n_34255),
+	.B1(n_1701),
+	.B2(n_31472),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748421 (
+	.A1_N(n_31472),
+	.A2_N(n_34254),
+	.B1(n_1702),
+	.B2(n_31472),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748422 (
+	.A1_N(n_31472),
+	.A2_N(n_34253),
+	.B1(n_1703),
+	.B2(n_31472),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748423 (
+	.A1_N(n_31472),
+	.A2_N(n_34252),
+	.B1(n_1704),
+	.B2(n_31472),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748424 (
+	.A1_N(n_31472),
+	.A2_N(n_34251),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_298),
+	.B2(n_31472),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748425 (
+	.A1_N(n_31475),
+	.A2_N(n_34408),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_329),
+	.B2(n_31475),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748426 (
+	.A1_N(n_31475),
+	.A2_N(n_34407),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_328),
+	.B2(n_31475),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748427 (
+	.A1_N(n_31475),
+	.A2_N(n_34406),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_327),
+	.B2(n_31475),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748428 (
+	.A1_N(n_31475),
+	.A2_N(n_34405),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_326),
+	.B2(n_31475),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748429 (
+	.A1_N(n_31475),
+	.A2_N(n_34404),
+	.B1(brqrv_top_brqrv_dec_tlu_n_6062),
+	.B2(n_31475),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748430 (
+	.A1(n_24059),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[26]),
+	.B1(n_34403),
+	.B2(n_31475),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748431 (
+	.A1_N(n_31475),
+	.A2_N(n_34402),
+	.B1(n_1823),
+	.B2(n_31475),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748432 (
+	.A1(n_24059),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[24]),
+	.B1(n_34401),
+	.B2(n_31475),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748433 (
+	.A1_N(n_31475),
+	.A2_N(n_34400),
+	.B1(n_1319),
+	.B2(n_31475),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748434 (
+	.A1_N(n_31475),
+	.A2_N(n_34399),
+	.B1(n_1308),
+	.B2(n_31475),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748435 (
+	.A1(n_24059),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[21]),
+	.B1(n_34398),
+	.B2(n_31475),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748436 (
+	.A1_N(n_31475),
+	.A2_N(n_34397),
+	.B1(n_1819),
+	.B2(n_31475),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748437 (
+	.A1_N(n_31475),
+	.A2_N(n_34396),
+	.B1(n_2025),
+	.B2(n_31475),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748438 (
+	.A1_N(n_31475),
+	.A2_N(n_34395),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_316),
+	.B2(n_31475),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748439 (
+	.A1(n_24059),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[17]),
+	.B1(n_34394),
+	.B2(n_31475),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748440 (
+	.A1(n_24059),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[16]),
+	.B1(n_34393),
+	.B2(n_31475),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748441 (
+	.A1_N(n_31475),
+	.A2_N(n_34392),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_313),
+	.B2(n_31475),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748442 (
+	.A1(n_24059),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[14]),
+	.B1(n_34391),
+	.B2(n_31475),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748443 (
+	.A1(n_24059),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[13]),
+	.B1(n_34390),
+	.B2(n_31475),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748444 (
+	.A1_N(n_31475),
+	.A2_N(n_34389),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_310),
+	.B2(n_31475),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748445 (
+	.A1_N(n_31475),
+	.A2_N(n_34388),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_309),
+	.B2(n_31475),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748446 (
+	.A1_N(n_31475),
+	.A2_N(n_34387),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_308),
+	.B2(n_31475),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748447 (
+	.A1(n_24059),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[9]),
+	.B1(n_34386),
+	.B2(n_31475),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748448 (
+	.A1_N(n_31475),
+	.A2_N(n_34385),
+	.B1(n_1303),
+	.B2(n_31475),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748449 (
+	.A1_N(n_31475),
+	.A2_N(n_34384),
+	.B1(n_1304),
+	.B2(n_31475),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748450 (
+	.A1_N(n_31475),
+	.A2_N(n_34383),
+	.B1(n_1705),
+	.B2(n_31475),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748451 (
+	.A1_N(n_31475),
+	.A2_N(n_34382),
+	.B1(n_1295),
+	.B2(n_31475),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748452 (
+	.A1_N(n_31475),
+	.A2_N(n_34381),
+	.B1(n_1701),
+	.B2(n_31475),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748453 (
+	.A1_N(n_31475),
+	.A2_N(n_34380),
+	.B1(n_1702),
+	.B2(n_31475),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748454 (
+	.A1_N(n_31475),
+	.A2_N(n_34379),
+	.B1(n_1703),
+	.B2(n_31475),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748455 (
+	.A1_N(n_31475),
+	.A2_N(n_34378),
+	.B1(n_1704),
+	.B2(n_31475),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748456 (
+	.A1_N(n_31475),
+	.A2_N(n_34377),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_298),
+	.B2(n_31475),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748457 (
+	.A1_N(n_31483),
+	.A2_N(n_34376),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_329),
+	.B2(n_31483),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748458 (
+	.A1_N(n_31483),
+	.A2_N(n_34375),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_328),
+	.B2(n_31483),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748459 (
+	.A1_N(n_31483),
+	.A2_N(n_34374),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_327),
+	.B2(n_31483),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748460 (
+	.A1_N(n_31483),
+	.A2_N(n_34372),
+	.B1(brqrv_top_brqrv_dec_tlu_n_6062),
+	.B2(n_31483),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748461 (
+	.A1(n_24057),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[26]),
+	.B1(n_34371),
+	.B2(n_31483),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748462 (
+	.A1_N(n_31483),
+	.A2_N(n_34370),
+	.B1(n_1823),
+	.B2(n_31483),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748463 (
+	.A1(n_24057),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[24]),
+	.B1(n_34369),
+	.B2(n_31483),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748464 (
+	.A1_N(n_31483),
+	.A2_N(n_34368),
+	.B1(n_1319),
+	.B2(n_31483),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748465 (
+	.A1_N(n_31483),
+	.A2_N(n_34367),
+	.B1(n_1308),
+	.B2(n_31483),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748466 (
+	.A1(n_24057),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[21]),
+	.B1(n_34366),
+	.B2(n_31483),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748467 (
+	.A1_N(n_34365),
+	.A2_N(n_31483),
+	.B1(n_1819),
+	.B2(n_31483),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748468 (
+	.A1_N(n_34364),
+	.A2_N(n_31483),
+	.B1(n_2025),
+	.B2(n_31483),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748469 (
+	.A1(n_24057),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[17]),
+	.B1(n_31483),
+	.B2(n_34362),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748470 (
+	.A1(n_24057),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[16]),
+	.B1(n_31483),
+	.B2(n_34361),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748471 (
+	.A1_N(n_34360),
+	.A2_N(n_31483),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_313),
+	.B2(n_31483),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748472 (
+	.A1(n_24057),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[14]),
+	.B1(n_31483),
+	.B2(n_34359),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748473 (
+	.A1(n_24057),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[13]),
+	.B1(n_31483),
+	.B2(n_34358),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748474 (
+	.A1_N(n_34357),
+	.A2_N(n_31483),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_310),
+	.B2(n_31483),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748475 (
+	.A1_N(n_34356),
+	.A2_N(n_31483),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_309),
+	.B2(n_31483),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748476 (
+	.A1_N(n_34355),
+	.A2_N(n_31483),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_308),
+	.B2(n_31483),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748477 (
+	.A1(n_24057),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[9]),
+	.B1(n_31483),
+	.B2(n_34354),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748478 (
+	.A1_N(n_34353),
+	.A2_N(n_31483),
+	.B1(n_1303),
+	.B2(n_31483),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748479 (
+	.A1_N(n_34352),
+	.A2_N(n_31483),
+	.B1(n_1304),
+	.B2(n_31483),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748480 (
+	.A1_N(n_34351),
+	.A2_N(n_31483),
+	.B1(n_1705),
+	.B2(n_31483),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748481 (
+	.A1_N(n_34350),
+	.A2_N(n_31483),
+	.B1(n_1295),
+	.B2(n_31483),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748482 (
+	.A1_N(n_34349),
+	.A2_N(n_31483),
+	.B1(n_1701),
+	.B2(n_31483),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748483 (
+	.A1_N(n_34348),
+	.A2_N(n_31483),
+	.B1(n_1702),
+	.B2(n_31483),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748484 (
+	.A1_N(n_34347),
+	.A2_N(n_31483),
+	.B1(n_1703),
+	.B2(n_31483),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748485 (
+	.A1_N(n_34346),
+	.A2_N(n_31483),
+	.B1(n_1704),
+	.B2(n_31483),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748486 (
+	.A1_N(n_32510),
+	.A2_N(n_34345),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_329),
+	.B2(n_32510),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748487 (
+	.A1_N(n_32510),
+	.A2_N(n_34344),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_328),
+	.B2(n_32510),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748488 (
+	.A1_N(n_32510),
+	.A2_N(n_34343),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_327),
+	.B2(n_32510),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748489 (
+	.A1_N(n_32510),
+	.A2_N(n_34342),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_326),
+	.B2(n_32510),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748490 (
+	.A1_N(n_32510),
+	.A2_N(n_34341),
+	.B1(brqrv_top_brqrv_dec_tlu_n_6062),
+	.B2(n_32510),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748491 (
+	.A1(n_24291),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[26]),
+	.B1(n_34340),
+	.B2(n_32510),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748492 (
+	.A1_N(n_32510),
+	.A2_N(n_34339),
+	.B1(n_1823),
+	.B2(n_32510),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748493 (
+	.A1(n_24291),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[24]),
+	.B1(n_34338),
+	.B2(n_32510),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748494 (
+	.A1_N(n_32510),
+	.A2_N(n_34337),
+	.B1(n_1319),
+	.B2(n_32510),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748495 (
+	.A1_N(n_32510),
+	.A2_N(n_34336),
+	.B1(n_1308),
+	.B2(n_32510),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748496 (
+	.A1(n_24291),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[21]),
+	.B1(n_34335),
+	.B2(n_32510),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748497 (
+	.A1_N(n_32510),
+	.A2_N(n_34334),
+	.B1(n_1819),
+	.B2(n_32510),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748498 (
+	.A1_N(n_32510),
+	.A2_N(n_34333),
+	.B1(n_2025),
+	.B2(n_32510),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748499 (
+	.A1_N(n_32510),
+	.A2_N(n_34332),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_316),
+	.B2(n_32510),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748500 (
+	.A1(n_24291),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[17]),
+	.B1(n_34331),
+	.B2(n_32510),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748501 (
+	.A1(n_24291),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[16]),
+	.B1(n_34330),
+	.B2(n_32510),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748502 (
+	.A1_N(n_32510),
+	.A2_N(n_34329),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_313),
+	.B2(n_32510),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748503 (
+	.A1(n_24291),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[14]),
+	.B1(n_34328),
+	.B2(n_32510),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748504 (
+	.A1(n_24291),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[13]),
+	.B1(n_34327),
+	.B2(n_32510),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748505 (
+	.A1_N(n_32510),
+	.A2_N(n_34326),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_310),
+	.B2(n_32510),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748506 (
+	.A1_N(n_32510),
+	.A2_N(n_34325),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_309),
+	.B2(n_32510),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748507 (
+	.A1_N(n_32510),
+	.A2_N(n_34324),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_308),
+	.B2(n_32510),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748508 (
+	.A1(n_24291),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[9]),
+	.B1(n_34323),
+	.B2(n_32510),
+	.X(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748509 (
+	.A1_N(n_32510),
+	.A2_N(n_34322),
+	.B1(n_1303),
+	.B2(n_32510),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748510 (
+	.A1_N(n_32510),
+	.A2_N(n_34321),
+	.B1(n_1304),
+	.B2(n_32510),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748511 (
+	.A1_N(n_32510),
+	.A2_N(n_34320),
+	.B1(n_1705),
+	.B2(n_32510),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748512 (
+	.A1_N(n_32510),
+	.A2_N(n_34319),
+	.B1(n_1295),
+	.B2(n_32510),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748513 (
+	.A1_N(n_32510),
+	.A2_N(n_34318),
+	.B1(n_1701),
+	.B2(n_32510),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748514 (
+	.A1_N(n_32510),
+	.A2_N(n_34317),
+	.B1(n_1702),
+	.B2(n_32510),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748515 (
+	.A1_N(n_32510),
+	.A2_N(n_34316),
+	.B1(n_1703),
+	.B2(n_32510),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748516 (
+	.A1_N(n_32510),
+	.A2_N(n_34314),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_298),
+	.B2(n_32510),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748517 (
+	.A1_N(n_32510),
+	.A2_N(n_34315),
+	.B1(n_1704),
+	.B2(n_32510),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748518 (
+	.A1_N(n_31472),
+	.A2_N(n_34263),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_310),
+	.B2(n_31472),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748519 (
+	.A1(n_24052),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[13]),
+	.B1(n_32511),
+	.B2(n_34476),
+	.X(brqrv_top_brqrv_dec_tlu_minstretl_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748520 (
+	.A1_N(n_32511),
+	.A2_N(n_34494),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_329),
+	.B2(n_32511),
+	.Y(brqrv_top_brqrv_dec_tlu_minstretl_ns[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748521 (
+	.A1_N(n_32511),
+	.A2_N(n_34493),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_328),
+	.B2(n_32511),
+	.Y(brqrv_top_brqrv_dec_tlu_minstretl_ns[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748522 (
+	.A1_N(n_32511),
+	.A2_N(n_34492),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_327),
+	.B2(n_32511),
+	.Y(brqrv_top_brqrv_dec_tlu_minstretl_ns[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748523 (
+	.A1_N(n_32511),
+	.A2_N(n_34491),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_326),
+	.B2(n_32511),
+	.Y(brqrv_top_brqrv_dec_tlu_minstretl_ns[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748524 (
+	.A1_N(n_32511),
+	.A2_N(n_34490),
+	.B1(brqrv_top_brqrv_dec_tlu_n_6062),
+	.B2(n_32511),
+	.Y(brqrv_top_brqrv_dec_tlu_minstretl_ns[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748525 (
+	.A1(n_24052),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[26]),
+	.B1(n_34489),
+	.B2(n_32511),
+	.X(brqrv_top_brqrv_dec_tlu_minstretl_ns[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748526 (
+	.A1_N(n_32511),
+	.A2_N(n_34488),
+	.B1(n_1823),
+	.B2(n_32511),
+	.Y(brqrv_top_brqrv_dec_tlu_minstretl_ns[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748527 (
+	.A1(n_24052),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[24]),
+	.B1(n_34487),
+	.B2(n_32511),
+	.X(brqrv_top_brqrv_dec_tlu_minstretl_ns[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748528 (
+	.A1_N(n_32511),
+	.A2_N(n_34486),
+	.B1(n_1319),
+	.B2(n_32511),
+	.Y(brqrv_top_brqrv_dec_tlu_minstretl_ns[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748529 (
+	.A1_N(n_32511),
+	.A2_N(n_34485),
+	.B1(n_1308),
+	.B2(n_32511),
+	.Y(brqrv_top_brqrv_dec_tlu_minstretl_ns[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748530 (
+	.A1(n_24052),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[21]),
+	.B1(n_32511),
+	.B2(n_34484),
+	.X(brqrv_top_brqrv_dec_tlu_minstretl_ns[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748531 (
+	.A1_N(n_34483),
+	.A2_N(n_32511),
+	.B1(n_1819),
+	.B2(n_32511),
+	.Y(brqrv_top_brqrv_dec_tlu_minstretl_ns[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748532 (
+	.A1_N(n_34482),
+	.A2_N(n_32511),
+	.B1(n_2025),
+	.B2(n_32511),
+	.Y(brqrv_top_brqrv_dec_tlu_minstretl_ns[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748533 (
+	.A1_N(n_34481),
+	.A2_N(n_32511),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_316),
+	.B2(n_32511),
+	.Y(brqrv_top_brqrv_dec_tlu_minstretl_ns[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748534 (
+	.A1(n_24052),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[17]),
+	.B1(n_32511),
+	.B2(n_34480),
+	.X(brqrv_top_brqrv_dec_tlu_minstretl_ns[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748535 (
+	.A1(n_24052),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[16]),
+	.B1(n_32511),
+	.B2(n_34479),
+	.X(brqrv_top_brqrv_dec_tlu_minstretl_ns[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748536 (
+	.A1_N(n_34478),
+	.A2_N(n_32511),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_313),
+	.B2(n_32511),
+	.Y(brqrv_top_brqrv_dec_tlu_minstretl_ns[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748537 (
+	.A1(n_24052),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[14]),
+	.B1(n_32511),
+	.B2(n_34477),
+	.X(brqrv_top_brqrv_dec_tlu_minstretl_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748538 (
+	.A1_N(n_34475),
+	.A2_N(n_32511),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_310),
+	.B2(n_32511),
+	.Y(brqrv_top_brqrv_dec_tlu_minstretl_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748539 (
+	.A1_N(n_34474),
+	.A2_N(n_32511),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_309),
+	.B2(n_32511),
+	.Y(brqrv_top_brqrv_dec_tlu_minstretl_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748540 (
+	.A1_N(n_34473),
+	.A2_N(n_32511),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_308),
+	.B2(n_32511),
+	.Y(brqrv_top_brqrv_dec_tlu_minstretl_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748541 (
+	.A1(n_24052),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[9]),
+	.B1(n_32511),
+	.B2(n_34472),
+	.X(brqrv_top_brqrv_dec_tlu_minstretl_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748542 (
+	.A1_N(n_34471),
+	.A2_N(n_32511),
+	.B1(n_1303),
+	.B2(n_32511),
+	.Y(brqrv_top_brqrv_dec_tlu_minstretl_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748543 (
+	.A1_N(n_31511),
+	.A2_N(n_34518),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_329),
+	.B2(n_31511),
+	.Y(brqrv_top_brqrv_dec_tlu_mcyclel_ns[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748544 (
+	.A1_N(n_31511),
+	.A2_N(n_34517),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_328),
+	.B2(n_31511),
+	.Y(brqrv_top_brqrv_dec_tlu_mcyclel_ns[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748545 (
+	.A1_N(n_31511),
+	.A2_N(n_34516),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_327),
+	.B2(n_31511),
+	.Y(brqrv_top_brqrv_dec_tlu_mcyclel_ns[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748546 (
+	.A1_N(n_31511),
+	.A2_N(n_34515),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_326),
+	.B2(n_31511),
+	.Y(brqrv_top_brqrv_dec_tlu_mcyclel_ns[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748547 (
+	.A1_N(n_31511),
+	.A2_N(n_34514),
+	.B1(brqrv_top_brqrv_dec_tlu_n_6062),
+	.B2(n_31511),
+	.Y(brqrv_top_brqrv_dec_tlu_mcyclel_ns[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748548 (
+	.A1(n_24289),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[26]),
+	.B1(n_34513),
+	.B2(n_31511),
+	.X(brqrv_top_brqrv_dec_tlu_mcyclel_ns[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748549 (
+	.A1_N(n_31511),
+	.A2_N(n_34512),
+	.B1(n_1823),
+	.B2(n_31511),
+	.Y(brqrv_top_brqrv_dec_tlu_mcyclel_ns[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748550 (
+	.A1(n_24289),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[24]),
+	.B1(n_34511),
+	.B2(n_31511),
+	.X(brqrv_top_brqrv_dec_tlu_mcyclel_ns[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748551 (
+	.A1_N(n_31511),
+	.A2_N(n_34510),
+	.B1(n_1319),
+	.B2(n_31511),
+	.Y(brqrv_top_brqrv_dec_tlu_mcyclel_ns[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748552 (
+	.A1_N(n_31511),
+	.A2_N(n_34509),
+	.B1(n_1308),
+	.B2(n_31511),
+	.Y(brqrv_top_brqrv_dec_tlu_mcyclel_ns[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748553 (
+	.A1(n_24289),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[21]),
+	.B1(n_31511),
+	.B2(n_34508),
+	.X(brqrv_top_brqrv_dec_tlu_mcyclel_ns[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748554 (
+	.A1_N(n_34507),
+	.A2_N(n_31511),
+	.B1(n_1819),
+	.B2(n_31511),
+	.Y(brqrv_top_brqrv_dec_tlu_mcyclel_ns[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748555 (
+	.A1_N(n_34506),
+	.A2_N(n_31511),
+	.B1(n_2025),
+	.B2(n_31511),
+	.Y(brqrv_top_brqrv_dec_tlu_mcyclel_ns[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748556 (
+	.A1_N(n_34505),
+	.A2_N(n_31511),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_316),
+	.B2(n_31511),
+	.Y(brqrv_top_brqrv_dec_tlu_mcyclel_ns[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748557 (
+	.A1(n_24289),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[17]),
+	.B1(n_31511),
+	.B2(n_34504),
+	.X(brqrv_top_brqrv_dec_tlu_mcyclel_ns[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748558 (
+	.A1(n_24289),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[16]),
+	.B1(n_31511),
+	.B2(n_34503),
+	.X(brqrv_top_brqrv_dec_tlu_mcyclel_ns[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748559 (
+	.A1_N(n_34502),
+	.A2_N(n_31511),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_313),
+	.B2(n_31511),
+	.Y(brqrv_top_brqrv_dec_tlu_mcyclel_ns[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748560 (
+	.A1(n_24289),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[14]),
+	.B1(n_31511),
+	.B2(n_34501),
+	.X(brqrv_top_brqrv_dec_tlu_mcyclel_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748561 (
+	.A1(n_24289),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[13]),
+	.B1(n_31511),
+	.B2(n_34500),
+	.X(brqrv_top_brqrv_dec_tlu_mcyclel_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748562 (
+	.A1_N(n_34499),
+	.A2_N(n_31511),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_310),
+	.B2(n_31511),
+	.Y(brqrv_top_brqrv_dec_tlu_mcyclel_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748563 (
+	.A1_N(n_34498),
+	.A2_N(n_31511),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_309),
+	.B2(n_31511),
+	.Y(brqrv_top_brqrv_dec_tlu_mcyclel_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748564 (
+	.A1_N(n_34497),
+	.A2_N(n_31511),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_308),
+	.B2(n_31511),
+	.Y(brqrv_top_brqrv_dec_tlu_mcyclel_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748565 (
+	.A1(n_24289),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[9]),
+	.B1(n_31511),
+	.B2(n_34496),
+	.X(brqrv_top_brqrv_dec_tlu_mcyclel_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748566 (
+	.A1_N(n_34495),
+	.A2_N(n_31511),
+	.B1(n_1303),
+	.B2(n_31511),
+	.Y(brqrv_top_brqrv_dec_tlu_mcyclel_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748567 (
+	.A(brqrv_top_brqrv_dec_tlu_npc_r_d1[15]),
+	.B(brqrv_top_brqrv_dec_tlu_npc_r[15]),
+	.Y(n_25867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748568 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_n_596),
+	.A2(n_24103),
+	.B1(n_24102),
+	.B2(brqrv_top_brqrv_lsu_stbuf_n_600),
+	.Y(n_25866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748569 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_n_580),
+	.A2(n_24097),
+	.B1(brqrv_top_brqrv_lsu_stbuf_n_584),
+	.B2(n_24344),
+	.Y(n_25865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g748570 (
+	.A1_N(brqrv_top_brqrv_dec_decode_x_d[23]),
+	.A2_N(n_39170),
+	.B1(brqrv_top_brqrv_dec_decode_x_d[23]),
+	.B2(n_39170),
+	.Y(n_25864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g748571 (
+	.A1_N(n_32576),
+	.A2_N(n_32335),
+	.B1(brqrv_top_brqrv_dma_ctrl_fifo_error[2]),
+	.B2(n_32336),
+	.Y(n_25863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g748572 (
+	.A1_N(n_32576),
+	.A2_N(n_32339),
+	.B1(brqrv_top_brqrv_dma_ctrl_fifo_error[8]),
+	.B2(n_32340),
+	.Y(n_25862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g748573 (
+	.A1_N(n_32576),
+	.A2_N(n_32341),
+	.B1(brqrv_top_brqrv_dma_ctrl_n_823),
+	.B2(n_32342),
+	.Y(n_25861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g748574 (
+	.A1_N(n_32576),
+	.A2_N(n_32337),
+	.B1(brqrv_top_brqrv_dma_ctrl_fifo_error[6]),
+	.B2(n_32338),
+	.Y(n_25860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g748575 (
+	.A1_N(n_32576),
+	.A2_N(n_32333),
+	.B1(brqrv_top_brqrv_dma_ctrl_fifo_error[4]),
+	.B2(n_32334),
+	.Y(n_25859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g748576 (
+	.A1_N(brqrv_top_brqrv_exu_pred_correct_npc_r[31]),
+	.A2_N(brqrv_top_brqrv_pred_correct_npc_x[31]),
+	.B1(brqrv_top_brqrv_exu_pred_correct_npc_r[31]),
+	.B2(brqrv_top_brqrv_pred_correct_npc_x[31]),
+	.Y(n_25858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748577 (
+	.A1_N(brqrv_top_brqrv_dec_decode_x_t[16]),
+	.A2_N(brqrv_top_brqrv_dec_decode_d_t[16]),
+	.B1(brqrv_top_brqrv_dec_decode_x_t[16]),
+	.B2(brqrv_top_brqrv_dec_decode_d_t[16]),
+	.Y(n_25857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748578 (
+	.A1_N(brqrv_top_brqrv_dec_decode_x_t[11]),
+	.A2_N(brqrv_top_brqrv_dec_decode_d_t[11]),
+	.B1(brqrv_top_brqrv_dec_decode_x_t[11]),
+	.B2(brqrv_top_brqrv_dec_decode_d_t[11]),
+	.Y(n_25856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748579 (
+	.A1_N(brqrv_top_brqrv_exu_i0_flush_path_x[29]),
+	.A2_N(n_39304),
+	.B1(brqrv_top_brqrv_exu_i0_flush_path_x[29]),
+	.B2(n_39304),
+	.Y(n_25855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748580 (
+	.A1_N(brqrv_top_brqrv_exu_i0_flush_path_x[27]),
+	.A2_N(n_39233),
+	.B1(brqrv_top_brqrv_exu_i0_flush_path_x[27]),
+	.B2(n_39233),
+	.Y(n_25854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748581 (
+	.A1_N(brqrv_top_brqrv_exu_i0_pc_x[29]),
+	.A2_N(brqrv_top_brqrv_ifu_i0_pc[29]),
+	.B1(brqrv_top_brqrv_exu_i0_pc_x[29]),
+	.B2(brqrv_top_brqrv_ifu_i0_pc[29]),
+	.Y(n_25853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748582 (
+	.A1_N(brqrv_top_brqrv_exu_i0_pc_x[31]),
+	.A2_N(brqrv_top_brqrv_ifu_i0_pc[31]),
+	.B1(brqrv_top_brqrv_exu_i0_pc_x[31]),
+	.B2(brqrv_top_brqrv_ifu_i0_pc[31]),
+	.Y(n_25852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748583 (
+	.A1_N(brqrv_top_brqrv_exu_i0_pc_x[26]),
+	.A2_N(brqrv_top_brqrv_ifu_i0_pc[26]),
+	.B1(brqrv_top_brqrv_exu_i0_pc_x[26]),
+	.B2(brqrv_top_brqrv_ifu_i0_pc[26]),
+	.Y(n_25851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748584 (
+	.A1_N(brqrv_top_brqrv_exu_i0_pc_x[25]),
+	.A2_N(brqrv_top_brqrv_ifu_i0_pc[25]),
+	.B1(brqrv_top_brqrv_exu_i0_pc_x[25]),
+	.B2(brqrv_top_brqrv_ifu_i0_pc[25]),
+	.Y(n_25850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g748585 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[24]),
+	.A2_N(brqrv_top_brqrv_dec_tlu_flush_path_r[24]),
+	.B1(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[24]),
+	.B2(brqrv_top_brqrv_dec_tlu_flush_path_r[24]),
+	.Y(n_25849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g748586 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[29]),
+	.A2_N(brqrv_top_brqrv_dec_tlu_flush_path_r[29]),
+	.B1(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[29]),
+	.B2(brqrv_top_brqrv_dec_tlu_flush_path_r[29]),
+	.Y(n_25848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748587 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[28]),
+	.A2_N(n_29904),
+	.B1(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[28]),
+	.B2(n_29904),
+	.Y(n_25847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g748588 (
+	.A1_N(brqrv_top_brqrv_exu_pred_correct_npc_r[19]),
+	.A2_N(brqrv_top_brqrv_pred_correct_npc_x[19]),
+	.B1(brqrv_top_brqrv_exu_pred_correct_npc_r[19]),
+	.B2(brqrv_top_brqrv_pred_correct_npc_x[19]),
+	.Y(n_25846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g748589 (
+	.A1_N(brqrv_top_brqrv_exu_pred_correct_npc_r[18]),
+	.A2_N(brqrv_top_brqrv_pred_correct_npc_x[18]),
+	.B1(brqrv_top_brqrv_exu_pred_correct_npc_r[18]),
+	.B2(brqrv_top_brqrv_pred_correct_npc_x[18]),
+	.Y(n_25845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748590 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[18]),
+	.A2(n_24110),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[19]),
+	.B2(n_24105),
+	.Y(n_25844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748591 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_n_19),
+	.A2_N(n_31468),
+	.B1(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_n_19),
+	.B2(n_31468),
+	.Y(n_25843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g748592 (
+	.A1_N(\brqrv_top_brqrv_ifu_bpred.bp_exu_mp_way_f ),
+	.A2_N(n_31531),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_exu_mp_way_f ),
+	.B2(n_31531),
+	.Y(n_25842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748593 (
+	.A1(brqrv_top_brqrv_exu_i_alu_pcout[31]),
+	.A2(n_467),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[31]),
+	.B2(n_24298),
+	.Y(n_25841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748594 (
+	.A1(brqrv_top_brqrv_dec_dec_i0_waddr_r[0]),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[30]),
+	.B1(n_2581),
+	.B2(n_24163),
+	.Y(n_25840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g748595 (
+	.A1_N(brqrv_top_brqrv_dec_decode_x_d[21]),
+	.A2_N(n_31131),
+	.B1(brqrv_top_brqrv_dec_decode_x_d[21]),
+	.B2(n_31131),
+	.Y(n_25839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748596 (
+	.A1(n_29890),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [4]),
+	.B1(n_33774),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_25838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748597 (
+	.A1(brqrv_top_brqrv_exu_i_alu_pcout[17]),
+	.A2(n_467),
+	.B1(brqrv_top_brqrv_dec_csr_rddata_d[17]),
+	.B2(n_24298),
+	.Y(n_25837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748598 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_n_600),
+	.A2(n_24105),
+	.B1(brqrv_top_brqrv_lsu_stbuf_n_604),
+	.B2(n_24111),
+	.Y(n_25836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748599 (
+	.A1(brqrv_top_brqrv_dec_dec_i0_waddr_r[2]),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[22]),
+	.B1(n_2596),
+	.B2(n_24167),
+	.Y(n_25835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748600 (
+	.A1(n_2384),
+	.A2(n_33095),
+	.B1(n_2387),
+	.B2(n_33094),
+	.X(n_25834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748601 (
+	.A1(n_2384),
+	.A2(n_33091),
+	.B1(n_2387),
+	.B2(n_33090),
+	.X(n_25833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748602 (
+	.A1_N(brqrv_top_brqrv_ifu_ifc_dma_iccm_stall_any_f),
+	.A2_N(n_31545),
+	.B1(brqrv_top_brqrv_ifu_ifc_dma_iccm_stall_any_f),
+	.B2(n_31545),
+	.Y(n_25832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748603 (
+	.A1_N(brqrv_top_brqrv_exu_i0_flush_path_x[24]),
+	.A2_N(n_39234),
+	.B1(brqrv_top_brqrv_exu_i0_flush_path_x[24]),
+	.B2(n_39234),
+	.Y(n_25831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g748604 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_lsu_idle_any_f),
+	.A2_N(n_31315),
+	.B1(brqrv_top_brqrv_dec_tlu_mfdhs[1]),
+	.B2(n_31315),
+	.Y(n_25830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g748605 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[27]),
+	.A2_N(brqrv_top_brqrv_dec_tlu_flush_path_r[27]),
+	.B1(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[27]),
+	.B2(brqrv_top_brqrv_dec_tlu_flush_path_r[27]),
+	.Y(n_25829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g748606 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[31]),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[31]),
+	.X(n_25828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g748607 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r_d1[26]),
+	.B(brqrv_top_brqrv_dec_tlu_pc_r[26]),
+	.X(n_25827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748608 (
+	.A1_N(n_24134),
+	.A2_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[30]),
+	.B1(n_24368),
+	.B2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[29]),
+	.Y(n_25826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748609 (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [1]),
+	.A2_N(n_39170),
+	.B1(n_39170),
+	.B2(n_32209),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_in [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g748610 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r_d1[24]),
+	.B(brqrv_top_brqrv_dec_tlu_pc_r[24]),
+	.X(n_25825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748611 (
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[29]),
+	.A2(n_24493),
+	.B1(n_24134),
+	.B2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[30]),
+	.Y(n_25824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748612 (
+	.A1(n_29890),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [10]),
+	.B1(n_33780),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_25823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748613 (
+	.A1(n_2384),
+	.A2(n_33093),
+	.B1(n_2387),
+	.B2(n_33092),
+	.X(n_25822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748614 (
+	.A1(n_2568),
+	.A2(n_24418),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.B2(brqrv_top_brqrv_dec_tlu_meipt[1]),
+	.Y(n_25821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748615 (
+	.A1(n_23309),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dualtag[0]),
+	.B1(n_32453),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[4]),
+	.Y(n_25820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g748616 (
+	.A0(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rvalid_in ),
+	.A1(n_32352),
+	.S(brqrv_top_brqrv_iccm_dma_rvalid),
+	.Y(n_25819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748617 (
+	.A1(n_29891),
+	.A2(brqrv_top_brqrv_dbg_sbdata0_reg[12]),
+	.B1(n_29892),
+	.B2(brqrv_top_brqrv_dbg_sbdata1_reg[12]),
+	.Y(n_25818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748618 (
+	.A1_N(brqrv_top_brqrv_exu_i0_flush_path_x[30]),
+	.A2_N(n_39238),
+	.B1(brqrv_top_brqrv_exu_i0_flush_path_x[30]),
+	.B2(n_39238),
+	.Y(n_25817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g748619 (
+	.A1_N(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_n_9 ),
+	.A2_N(brqrv_top_brqrv_dma_mem_tag[1]),
+	.B1(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_n_9 ),
+	.B2(brqrv_top_brqrv_dma_mem_tag[1]),
+	.Y(n_25816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g748620 (
+	.A1_N(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_n_8 ),
+	.A2_N(brqrv_top_brqrv_dma_mem_tag[0]),
+	.B1(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_n_8 ),
+	.B2(brqrv_top_brqrv_dma_mem_tag[0]),
+	.Y(n_25815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748621 (
+	.A1(n_29890),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [16]),
+	.B1(n_33786),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_25814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748622 (
+	.A1_N(brqrv_top_brqrv_i0_brp[37]),
+	.A2_N(brqrv_top_brqrv_exu_i0_predict_p_d[54]),
+	.B1(brqrv_top_brqrv_i0_brp[37]),
+	.B2(brqrv_top_brqrv_exu_i0_predict_p_d[54]),
+	.Y(brqrv_top_brqrv_exu_i0_predict_p_d[50]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g748623 (
+	.A1_N(brqrv_top_brqrv_iccm_dma_rtag[0]),
+	.A2_N(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_n_8 ),
+	.B1(brqrv_top_brqrv_iccm_dma_rtag[0]),
+	.B2(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_n_8 ),
+	.Y(n_25813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748624 (
+	.A1(n_29890),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [18]),
+	.B1(n_33788),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_25812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g748625 (
+	.A1_N(n_24406),
+	.A2_N(n_31093),
+	.B1(brqrv_top_brqrv_dma_ctrl_fifo_addr[100]),
+	.B2(n_4911),
+	.Y(n_25811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g748626 (
+	.A1_N(n_24177),
+	.A2_N(n_31093),
+	.B1(brqrv_top_brqrv_dma_ctrl_fifo_addr[98]),
+	.B2(n_4911),
+	.Y(n_25810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g748627 (
+	.A1_N(n_24403),
+	.A2_N(n_31093),
+	.B1(brqrv_top_brqrv_dma_ctrl_fifo_addr[97]),
+	.B2(n_4911),
+	.Y(n_25809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g748628 (
+	.A1_N(n_24406),
+	.A2_N(n_31089),
+	.B1(brqrv_top_brqrv_dma_ctrl_fifo_addr[36]),
+	.B2(n_4910),
+	.Y(n_25808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g748629 (
+	.A1_N(n_24177),
+	.A2_N(n_31089),
+	.B1(brqrv_top_brqrv_dma_ctrl_fifo_addr[34]),
+	.B2(n_4910),
+	.Y(n_25807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g748630 (
+	.A1_N(n_24403),
+	.A2_N(n_31089),
+	.B1(brqrv_top_brqrv_dma_ctrl_fifo_addr[33]),
+	.B2(n_4910),
+	.Y(n_25806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748631 (
+	.A1(n_33302),
+	.A2(n_470),
+	.B1(n_4913),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[155]),
+	.Y(n_25805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748632 (
+	.A1(n_33301),
+	.A2(n_470),
+	.B1(n_4913),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[154]),
+	.Y(n_25804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748633 (
+	.A1(n_33299),
+	.A2(n_470),
+	.B1(n_4913),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[153]),
+	.Y(n_25803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748634 (
+	.A1(n_33295),
+	.A2(n_470),
+	.B1(n_4913),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[152]),
+	.Y(n_25802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748635 (
+	.A1(n_29890),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [22]),
+	.B1(n_33792),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_25801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g748636 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[4]),
+	.A2_N(n_32824),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[4]),
+	.B2(n_32824),
+	.Y(n_25800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g748637 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[2]),
+	.A2_N(n_31586),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[2]),
+	.B2(n_31586),
+	.Y(n_25799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748640 (
+	.A1(n_19378),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[31]),
+	.B1(brqrv_top_brqrv_dec_tlu_request_debug_mode_r),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r[31]),
+	.Y(n_25796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748641 (
+	.A1(n_19378),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[29]),
+	.B1(brqrv_top_brqrv_dec_tlu_request_debug_mode_r),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r[29]),
+	.Y(n_25795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748642 (
+	.A1(n_19378),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[25]),
+	.B1(brqrv_top_brqrv_dec_tlu_request_debug_mode_r),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r[25]),
+	.Y(n_25794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748643 (
+	.A1(n_19378),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[23]),
+	.B1(brqrv_top_brqrv_dec_tlu_request_debug_mode_r),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r[23]),
+	.Y(n_25793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748644 (
+	.A1(n_19378),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[22]),
+	.B1(brqrv_top_brqrv_dec_tlu_request_debug_mode_r),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r[22]),
+	.Y(n_25792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748645 (
+	.A1(n_19378),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[12]),
+	.B1(brqrv_top_brqrv_dec_tlu_request_debug_mode_r),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r[12]),
+	.Y(n_25791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748646 (
+	.A1(n_19378),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[11]),
+	.B1(brqrv_top_brqrv_dec_tlu_request_debug_mode_r),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r[11]),
+	.Y(n_25790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748647 (
+	.A1(n_19378),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[10]),
+	.B1(brqrv_top_brqrv_dec_tlu_request_debug_mode_r),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r[10]),
+	.Y(n_25789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748648 (
+	.A1(n_19378),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[1]),
+	.B1(brqrv_top_brqrv_dec_tlu_request_debug_mode_r),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r[1]),
+	.Y(n_25788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g748650 (
+	.A1_N(brqrv_top_brqrv_ifu_mem_ctl_reset_all_tags),
+	.A2_N(n_38505),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_reset_all_tags),
+	.B2(n_38505),
+	.Y(n_25786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748651 (
+	.A1(n_29890),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [25]),
+	.B1(n_33795),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_25785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748652 (
+	.A1(n_23310),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dualtag[1]),
+	.B1(n_31301),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[3]),
+	.Y(n_25784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748653 (
+	.A1(n_23310),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dualtag[0]),
+	.B1(n_31301),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[2]),
+	.Y(n_25783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748654 (
+	.A1_N(brqrv_top_brqrv_ifu_pmu_bus_busy),
+	.A2_N(n_32351),
+	.B1(brqrv_top_brqrv_ifu_pmu_bus_busy),
+	.B2(n_32351),
+	.Y(n_25782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748655 (
+	.A1(n_24339),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dualtag[1]),
+	.B1(n_32440),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[1]),
+	.Y(n_25781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748656 (
+	.A1(n_24339),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dualtag[0]),
+	.B1(n_32440),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[0]),
+	.Y(n_25780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748657 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[42]),
+	.A2(n_24110),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[44]),
+	.B2(n_24111),
+	.Y(n_25779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748658 (
+	.A1(brqrv_top_brqrv_dec_dec_i0_waddr_r[2]),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[12]),
+	.B1(n_2596),
+	.B2(n_24165),
+	.Y(n_25778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g748659 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[4]),
+	.A2_N(n_32822),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[4]),
+	.B2(n_32822),
+	.Y(n_25777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748660 (
+	.A1(n_23109),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dualtag[1]),
+	.B1(n_31494),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[7]),
+	.Y(n_25776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g748661 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[2]),
+	.A2_N(n_31585),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[2]),
+	.B2(n_31585),
+	.Y(n_25775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748662 (
+	.A1(n_23109),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dualtag[0]),
+	.B1(n_31494),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[6]),
+	.Y(n_25774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748663 (
+	.A1(n_29890),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [29]),
+	.B1(n_33799),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_25773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748664 (
+	.A1(n_29890),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [28]),
+	.B1(n_33798),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_25772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748665 (
+	.A1(n_29890),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [27]),
+	.B1(n_33797),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_25771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748666 (
+	.A1(n_29890),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [26]),
+	.B1(n_33796),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_25770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748667 (
+	.A1(n_29890),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [24]),
+	.B1(n_33794),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_25769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748668 (
+	.A1_N(n_31469),
+	.A2_N(n_34216),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_n_326),
+	.B2(n_31469),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748669 (
+	.A1(n_29890),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [21]),
+	.B1(n_33791),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_25768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748670 (
+	.A1(n_29890),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [20]),
+	.B1(n_33790),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_25767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748671 (
+	.A1(n_29890),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [19]),
+	.B1(n_33789),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_25766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748672 (
+	.A1(n_29890),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [17]),
+	.B1(n_33787),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_25765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748673 (
+	.A1(n_23309),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dualtag[1]),
+	.B1(n_32453),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[5]),
+	.Y(n_25764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748674 (
+	.A1(n_29890),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [15]),
+	.B1(n_33785),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_25763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748675 (
+	.A1(n_29890),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [14]),
+	.B1(n_33784),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_25762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748676 (
+	.A1(n_29890),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [13]),
+	.B1(n_33783),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_25761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748677 (
+	.A1(n_29890),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [12]),
+	.B1(n_33782),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_25760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748678 (
+	.A1(n_29890),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [11]),
+	.B1(n_33781),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_25759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748679 (
+	.A1(n_29890),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [9]),
+	.B1(n_33779),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_25758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748680 (
+	.A1(n_29890),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [8]),
+	.B1(n_33778),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_25757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748681 (
+	.A1(n_29890),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [7]),
+	.B1(n_33777),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_25756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748682 (
+	.A1(n_29890),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [6]),
+	.B1(n_33776),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_25755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748683 (
+	.A1(n_29890),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [5]),
+	.B1(n_33775),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_25754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748684 (
+	.A1(n_29890),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [3]),
+	.B1(n_33773),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_25753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748685 (
+	.A1(n_29890),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [2]),
+	.B1(n_33772),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_25752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748686 (
+	.A1(n_29890),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [1]),
+	.B1(n_33771),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_25751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748687 (
+	.A1(n_29890),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [0]),
+	.B1(n_33770),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_25750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g748688 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_ifu_miss_state_idle_f),
+	.A2_N(n_31315),
+	.B1(brqrv_top_brqrv_dec_tlu_mfdhs[0]),
+	.B2(n_31315),
+	.Y(n_25749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748689 (
+	.A1_N(brqrv_top_brqrv_dec_dec_i0_waddr_r[1]),
+	.A2_N(brqrv_top_brqrv_dec_decode_cam_raw[1]),
+	.B1(brqrv_top_brqrv_dec_dec_i0_waddr_r[1]),
+	.B2(brqrv_top_brqrv_dec_decode_cam_raw[1]),
+	.Y(n_25748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748690 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mie[1]),
+	.A2_N(n_30442),
+	.B1(n_1304),
+	.B2(n_30442),
+	.Y(brqrv_top_brqrv_dec_tlu_mie_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748691 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mie[0]),
+	.A2_N(n_30442),
+	.B1(n_1702),
+	.B2(n_30442),
+	.Y(brqrv_top_brqrv_dec_tlu_mie_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g748692 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mcyclel[4]),
+	.A2_N(n_32818),
+	.B1(brqrv_top_brqrv_dec_tlu_mcyclel[4]),
+	.B2(n_32818),
+	.Y(n_25747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g748693 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mcyclel[2]),
+	.A2_N(n_29907),
+	.B1(brqrv_top_brqrv_dec_tlu_mcyclel[2]),
+	.B2(n_29907),
+	.Y(n_25746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g748694 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_minstretl[4]),
+	.A2_N(n_32820),
+	.B1(brqrv_top_brqrv_dec_tlu_minstretl[4]),
+	.B2(n_32820),
+	.Y(n_25745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g748695 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_minstretl[2]),
+	.A2_N(n_29906),
+	.B1(brqrv_top_brqrv_dec_tlu_minstretl[2]),
+	.B2(n_29906),
+	.Y(n_25744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g748696 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_pc_r_d1[30]),
+	.A2_N(brqrv_top_brqrv_dec_tlu_pc_r[30]),
+	.B1(brqrv_top_brqrv_dec_tlu_pc_r_d1[30]),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r[30]),
+	.Y(n_25743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748697 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[14]),
+	.A2(n_24097),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[15]),
+	.B2(n_24344),
+	.Y(n_25742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748698 (
+	.A(brqrv_top_brqrv_ifu_ifc_miss_a),
+	.B(brqrv_top_brqrv_ifu_ifc_miss_f),
+	.Y(n_25741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748699 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_n_298),
+	.A2(n_31511),
+	.B1(brqrv_top_brqrv_dec_tlu_mcyclel[0]),
+	.B2(n_24289),
+	.Y(\brqrv_top_brqrv_dec_tlu_mcyclel_ns[0]_3316 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748700 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_n_298),
+	.A2(n_32511),
+	.B1(brqrv_top_brqrv_dec_tlu_minstretl[0]),
+	.B2(n_24052),
+	.Y(\brqrv_top_brqrv_dec_tlu_minstretl_ns[0]_3327 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748701 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_n_298),
+	.A2(n_31470),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc6[0]),
+	.B2(n_24290),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748702 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_n_298),
+	.A2(n_31476),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc3[0]),
+	.B2(n_24056),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748703 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_n_298),
+	.A2(n_31473),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc5[0]),
+	.B2(n_24293),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748704 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_n_298),
+	.A2(n_31483),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc4[0]),
+	.B2(n_24057),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748705 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[40]),
+	.A2(n_24098),
+	.B1(n_24410),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[3]),
+	.Y(n_25740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748706 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[32]),
+	.A2(n_24101),
+	.B1(n_24156),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[6]),
+	.Y(n_25739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748707 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[28]),
+	.A2(n_24098),
+	.B1(n_24412),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[2]),
+	.Y(n_25738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748708 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[26]),
+	.A2(n_24097),
+	.B1(n_24435),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[4]),
+	.Y(n_25737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748709 (
+	.A1(n_24102),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[43]),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[8]),
+	.B2(n_24421),
+	.Y(n_25736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748710 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[44]),
+	.A2(n_24101),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[7]),
+	.B2(n_24182),
+	.Y(n_25735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748711 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[39]),
+	.A2(n_24344),
+	.B1(n_24416),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[4]),
+	.Y(n_25734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748712 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[30]),
+	.A2(n_24103),
+	.B1(n_24411),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[8]),
+	.Y(n_25733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748713 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_n_588),
+	.A2(n_24112),
+	.B1(n_24193),
+	.B2(brqrv_top_brqrv_lsu_end_addr_r[2]),
+	.Y(n_25732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748714 (
+	.A1(n_24415),
+	.A2(n_33378),
+	.B1(brqrv_top_brqrv_lsu_stbuf_n_580),
+	.B2(n_24346),
+	.Y(n_25731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748715 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[39]),
+	.A2(n_24104),
+	.B1(n_24185),
+	.B2(brqrv_top_brqrv_lsu_end_addr_r[2]),
+	.Y(n_25730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748716 (
+	.A1(n_24410),
+	.A2(n_33377),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[38]),
+	.B2(n_24346),
+	.Y(n_25729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748717 (
+	.A1(n_24431),
+	.A2(n_33377),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[14]),
+	.B2(n_24346),
+	.Y(n_25728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g748718 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[26]),
+	.A2_N(n_24346),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[28]),
+	.B2(n_24112),
+	.Y(n_25727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748719 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[28]),
+	.A2(n_24112),
+	.B1(n_24412),
+	.B2(brqrv_top_brqrv_lsu_end_addr_r[2]),
+	.Y(n_25726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748720 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[15]),
+	.A2(n_24104),
+	.B1(n_24388),
+	.B2(brqrv_top_brqrv_lsu_end_addr_r[2]),
+	.Y(n_25725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g748721 (
+	.A1(n_24097),
+	.A2(brqrv_top_brqrv_lsu_stbuf_n_580),
+	.B1(n_24344),
+	.B2(brqrv_top_brqrv_lsu_stbuf_n_584),
+	.X(n_25724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748722 (
+	.A1(n_24103),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[18]),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[19]),
+	.B2(n_24102),
+	.Y(n_25723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g748723 (
+	.A0(brqrv_top_brqrv_dec_tlu_ic_diag_pkt[1]),
+	.A1(n_24408),
+	.S(brqrv_top_brqrv_ifu_mem_ctl_ic_debug_rd_en_ff),
+	.Y(n_25722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748724 (
+	.A(brqrv_top_brqrv_dec_tlu_interrupt_valid_r_d1),
+	.B(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.Y(n_25721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g748725 (
+	.A(brqrv_top_brqrv_ifu_pmu_ic_miss),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f),
+	.X(n_25720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748726 (
+	.A1_N(la_data_in[64]),
+	.A2_N(n_24503),
+	.B1(wb_rst_i),
+	.B2(n_24503),
+	.Y(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g748727 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[9]),
+	.B(brqrv_top_brqrv_dec_decode_write_csr_data[8]),
+	.C(brqrv_top_brqrv_dec_decode_write_csr_data[7]),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data[10]),
+	.Y(n_25719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g748728 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[25]),
+	.B(brqrv_top_brqrv_dec_decode_write_csr_data[31]),
+	.C(brqrv_top_brqrv_dec_decode_write_csr_data[1]),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data[26]),
+	.Y(n_25718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748729 (
+	.A1(n_24157),
+	.A2(n_31447),
+	.B1(n_24176),
+	.B2(n_31448),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[12]_3282 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748730 (
+	.A1(n_24186),
+	.A2(n_31451),
+	.B1(n_24178),
+	.B2(n_31450),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[31]_3301 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748731 (
+	.A1(n_24433),
+	.A2(n_31441),
+	.B1(n_24393),
+	.B2(n_31440),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[10]_3364 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 g748732 (
+	.A0(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_n_10 ),
+	.A1(n_24439),
+	.S(brqrv_top_brqrv_iccm_dma_rtag[2]),
+	.X(n_25717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g748733 (
+	.A(brqrv_top_brqrv_dec_decode_i0_dp_raw[66]),
+	.B(brqrv_top_brqrv_dec_decode_i0_dp_raw[55]),
+	.C(brqrv_top_brqrv_dec_decode_i0_dp_raw[73]),
+	.D(brqrv_top_brqrv_dec_decode_i0_dp_raw[78]),
+	.Y(n_25716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748734 (
+	.A1(n_24405),
+	.A2(n_31441),
+	.B1(n_24427),
+	.B2(n_31440),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[14]_3368 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g748735 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[29]),
+	.B(brqrv_top_brqrv_dec_decode_write_csr_data[24]),
+	.C(brqrv_top_brqrv_dec_decode_write_csr_data[23]),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data[30]),
+	.Y(n_25715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748736 (
+	.A1(n_24186),
+	.A2(n_31231),
+	.B1(n_24178),
+	.B2(n_31230),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[127]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748737 (
+	.A1(n_24430),
+	.A2(n_31231),
+	.B1(n_24179),
+	.B2(n_31230),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[126]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748738 (
+	.A1(n_24387),
+	.A2(n_31231),
+	.B1(n_24199),
+	.B2(n_31230),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[125]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748739 (
+	.A1(n_24175),
+	.A2(n_31231),
+	.B1(n_24187),
+	.B2(n_31230),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[124]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748740 (
+	.A1(n_24174),
+	.A2(n_31231),
+	.B1(n_607),
+	.B2(n_31230),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[123]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748741 (
+	.A1(n_24171),
+	.A2(n_31231),
+	.B1(n_24173),
+	.B2(n_31230),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[122]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748742 (
+	.A1(n_24159),
+	.A2(n_31231),
+	.B1(n_24428),
+	.B2(n_31230),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[120]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748743 (
+	.A1(n_24190),
+	.A2(n_31228),
+	.B1(n_24397),
+	.B2(n_31229),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[111]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748744 (
+	.A1(n_24427),
+	.A2(n_31228),
+	.B1(n_24405),
+	.B2(n_31229),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[110]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748745 (
+	.A1(n_24157),
+	.A2(n_31228),
+	.B1(n_24176),
+	.B2(n_31229),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[108]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748746 (
+	.A1(n_24384),
+	.A2(n_31228),
+	.B1(n_2621),
+	.B2(n_31229),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[107]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748747 (
+	.A1(n_24393),
+	.A2(n_31228),
+	.B1(n_24433),
+	.B2(n_31229),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[106]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748748 (
+	.A1(n_24172),
+	.A2(n_31228),
+	.B1(n_24169),
+	.B2(n_31229),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[105]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748749 (
+	.A1(n_24175),
+	.A2(n_31443),
+	.B1(n_24187),
+	.B2(n_31442),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[28]_3382 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748750 (
+	.A1(n_24186),
+	.A2(n_31443),
+	.B1(n_24178),
+	.B2(n_31442),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[31]_3385 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748751 (
+	.A1(n_24430),
+	.A2(n_31443),
+	.B1(n_24179),
+	.B2(n_31442),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[30]_3384 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748752 (
+	.A1(n_24387),
+	.A2(n_31443),
+	.B1(n_24199),
+	.B2(n_31442),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[29]_3383 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748753 (
+	.A1(n_24174),
+	.A2(n_31443),
+	.B1(n_607),
+	.B2(n_31442),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[27]_3381 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748754 (
+	.A1(n_24171),
+	.A2(n_31443),
+	.B1(n_24173),
+	.B2(n_31442),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[26]_3380 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748755 (
+	.A1(n_24159),
+	.A2(n_31443),
+	.B1(n_24428),
+	.B2(n_31442),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[24]_3378 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748756 (
+	.A1(n_24397),
+	.A2(n_31441),
+	.B1(n_24190),
+	.B2(n_31440),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[15]_3369 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748757 (
+	.A1(n_24176),
+	.A2(n_31441),
+	.B1(n_24157),
+	.B2(n_31440),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[12]_3366 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748758 (
+	.A1(n_2621),
+	.A2(n_31441),
+	.B1(n_24384),
+	.B2(n_31440),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[11]_3365 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748759 (
+	.A1(n_24169),
+	.A2(n_31441),
+	.B1(n_24172),
+	.B2(n_31440),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[9]_3363 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748760 (
+	.A1(n_24186),
+	.A2(n_32423),
+	.B1(n_24178),
+	.B2(n_32422),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748761 (
+	.A1(n_24430),
+	.A2(n_32423),
+	.B1(n_24179),
+	.B2(n_32422),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748762 (
+	.A1(n_24387),
+	.A2(n_32423),
+	.B1(n_24199),
+	.B2(n_32422),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748763 (
+	.A1(n_24175),
+	.A2(n_32423),
+	.B1(n_24187),
+	.B2(n_32422),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748764 (
+	.A1(n_24171),
+	.A2(n_32423),
+	.B1(n_24173),
+	.B2(n_32422),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748765 (
+	.A1(n_24159),
+	.A2(n_32423),
+	.B1(n_24428),
+	.B2(n_32422),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748766 (
+	.A1(n_24397),
+	.A2(n_32421),
+	.B1(n_24190),
+	.B2(n_32420),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748767 (
+	.A1(n_24405),
+	.A2(n_32421),
+	.B1(n_24427),
+	.B2(n_32420),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748768 (
+	.A1(n_24176),
+	.A2(n_32421),
+	.B1(n_24157),
+	.B2(n_32420),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748769 (
+	.A1(n_2621),
+	.A2(n_32421),
+	.B1(n_24384),
+	.B2(n_32420),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748770 (
+	.A1(n_24433),
+	.A2(n_32421),
+	.B1(n_24393),
+	.B2(n_32420),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748771 (
+	.A1(n_24169),
+	.A2(n_32421),
+	.B1(n_24172),
+	.B2(n_32420),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748772 (
+	.A1(n_24430),
+	.A2(n_31451),
+	.B1(n_24179),
+	.B2(n_31450),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[30]_3300 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748773 (
+	.A1(n_24387),
+	.A2(n_31451),
+	.B1(n_24199),
+	.B2(n_31450),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[29]_3299 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748774 (
+	.A1(n_24175),
+	.A2(n_31451),
+	.B1(n_24187),
+	.B2(n_31450),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[28]_3298 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748775 (
+	.A1(n_24174),
+	.A2(n_31451),
+	.B1(n_607),
+	.B2(n_31450),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[27]_3297 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748776 (
+	.A1(n_24171),
+	.A2(n_31451),
+	.B1(n_24173),
+	.B2(n_31450),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[26]_3296 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748777 (
+	.A1(n_24159),
+	.A2(n_31451),
+	.B1(n_24428),
+	.B2(n_31450),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[24]_3294 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748778 (
+	.A1(n_24190),
+	.A2(n_31447),
+	.B1(n_24397),
+	.B2(n_31448),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[15]_3285 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748779 (
+	.A1(n_24427),
+	.A2(n_31447),
+	.B1(n_24405),
+	.B2(n_31448),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[14]_3284 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748780 (
+	.A1(n_24384),
+	.A2(n_31447),
+	.B1(n_2621),
+	.B2(n_31448),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[11]_3281 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748781 (
+	.A1(n_24393),
+	.A2(n_31447),
+	.B1(n_24433),
+	.B2(n_31448),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[10]_3280 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748782 (
+	.A1(n_24172),
+	.A2(n_31447),
+	.B1(n_24169),
+	.B2(n_31448),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[9]_3279 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748783 (
+	.A1(n_24174),
+	.A2(n_32423),
+	.B1(n_607),
+	.B2(n_32422),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g748784 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r_d1[27]),
+	.B(brqrv_top_brqrv_dec_tlu_pc_r[27]),
+	.X(n_25714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g748785 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r_d1[28]),
+	.B(brqrv_top_brqrv_dec_tlu_pc_r[28]),
+	.X(n_25713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748786 (
+	.A1(n_24170),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.B1(brqrv_top_brqrv_dec_tlu_meicurpl[1]),
+	.B2(n_2568),
+	.Y(n_25712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748787 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_n_616),
+	.A2_N(n_24099),
+	.B1(brqrv_top_brqrv_lsu_stbuf_n_616),
+	.B2(n_24099),
+	.Y(n_25711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748788 (
+	.A1_N(n_24343),
+	.A2_N(brqrv_top_brqrv_lsu_stbuf_n_612),
+	.B1(n_24343),
+	.B2(brqrv_top_brqrv_lsu_stbuf_n_612),
+	.Y(n_25710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g748789 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_n_608),
+	.A2_N(n_24100),
+	.B1(brqrv_top_brqrv_lsu_stbuf_n_608),
+	.B2(n_24100),
+	.Y(n_25709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g748790 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_n_604),
+	.A2_N(n_24101),
+	.B1(brqrv_top_brqrv_lsu_stbuf_n_604),
+	.B2(n_24101),
+	.Y(n_25708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748791 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_n_592),
+	.A2_N(n_24345),
+	.B1(brqrv_top_brqrv_lsu_stbuf_n_592),
+	.B2(n_24345),
+	.Y(n_25707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748792 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_n_588),
+	.A2_N(n_24098),
+	.B1(brqrv_top_brqrv_lsu_stbuf_n_588),
+	.B2(n_24098),
+	.Y(n_25706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748793 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[47]),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[11]),
+	.Y(n_25705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748794 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[42]),
+	.A2_N(n_24103),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[42]),
+	.B2(n_24103),
+	.Y(n_25704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748795 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[41]),
+	.A2_N(n_24345),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[41]),
+	.B2(n_24345),
+	.Y(n_25703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748796 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[38]),
+	.A2_N(n_24097),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[38]),
+	.B2(n_24097),
+	.Y(n_25702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748797 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[33]),
+	.A2(n_24100),
+	.B1(n_24434),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[9]),
+	.Y(n_25701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g748798 (
+	.A1(n_24102),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[31]),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[7]),
+	.B2(n_24400),
+	.Y(n_25700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748799 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[29]),
+	.A2_N(n_24345),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[29]),
+	.B2(n_24345),
+	.Y(n_25699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748800 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[27]),
+	.A2_N(n_24344),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[27]),
+	.B2(n_24344),
+	.Y(n_25698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748801 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[10]),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[46]),
+	.Y(n_25697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748802 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[10]),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[34]),
+	.Y(n_25696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g748803 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[16]),
+	.A2_N(n_24098),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[16]),
+	.B2(n_24098),
+	.Y(n_25695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748804 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[35]),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[11]),
+	.Y(n_25694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748805 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[23]),
+	.A2_N(n_24099),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[23]),
+	.B2(n_24099),
+	.Y(n_25693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748806 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[45]),
+	.A2_N(n_24100),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[45]),
+	.B2(n_24100),
+	.Y(n_25692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748807 (
+	.A1_N(n_24343),
+	.A2_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[22]),
+	.B1(n_24343),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[22]),
+	.Y(n_25691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g748808 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[17]),
+	.A2_N(n_24345),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[17]),
+	.B2(n_24345),
+	.Y(n_25690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748809 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[21]),
+	.A2_N(n_24100),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[21]),
+	.B2(n_24100),
+	.Y(n_25689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748810 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[20]),
+	.A2_N(n_24101),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[20]),
+	.B2(n_24101),
+	.Y(n_25688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748811 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_n_612),
+	.A2_N(n_24109),
+	.B1(brqrv_top_brqrv_lsu_stbuf_n_612),
+	.B2(n_24109),
+	.Y(n_25687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748812 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_n_616),
+	.A2_N(n_24106),
+	.B1(brqrv_top_brqrv_lsu_stbuf_n_616),
+	.B2(n_24106),
+	.Y(n_25686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748813 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_n_584),
+	.A2_N(n_24104),
+	.B1(brqrv_top_brqrv_lsu_stbuf_n_584),
+	.B2(n_24104),
+	.Y(n_25685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748814 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_n_592),
+	.A2_N(n_24108),
+	.B1(brqrv_top_brqrv_lsu_stbuf_n_592),
+	.B2(n_24108),
+	.Y(n_25684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g748815 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_n_608),
+	.A2_N(n_24107),
+	.B1(brqrv_top_brqrv_lsu_stbuf_n_608),
+	.B2(n_24107),
+	.Y(n_25683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g748816 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_n_596),
+	.A2_N(n_24110),
+	.B1(brqrv_top_brqrv_lsu_stbuf_n_596),
+	.B2(n_24110),
+	.Y(n_25682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748817 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[46]),
+	.A2_N(n_24109),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[46]),
+	.B2(n_24109),
+	.Y(n_25681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748818 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[47]),
+	.A2_N(n_24106),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[47]),
+	.B2(n_24106),
+	.Y(n_25680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748819 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[40]),
+	.A2_N(n_24112),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[40]),
+	.B2(n_24112),
+	.Y(n_25679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748820 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[41]),
+	.A2_N(n_24108),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[41]),
+	.B2(n_24108),
+	.Y(n_25678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g748821 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[43]),
+	.A2_N(n_24105),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[43]),
+	.B2(n_24105),
+	.Y(n_25677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g748822 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[45]),
+	.A2_N(n_24107),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[45]),
+	.B2(n_24107),
+	.Y(n_25676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748823 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[16]),
+	.A2_N(n_24112),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[16]),
+	.B2(n_24112),
+	.Y(n_25675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748824 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[20]),
+	.B(n_33382),
+	.Y(n_25674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g748825 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[29]),
+	.A2_N(n_24108),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[29]),
+	.B2(n_24108),
+	.Y(n_25673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748826 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[27]),
+	.B(n_33377),
+	.Y(n_25672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g748827 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[21]),
+	.A2_N(n_24107),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[21]),
+	.B2(n_24107),
+	.Y(n_25671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748828 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[22]),
+	.A2_N(n_24109),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[22]),
+	.B2(n_24109),
+	.Y(n_25670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748829 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[17]),
+	.A2_N(n_24108),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[17]),
+	.B2(n_24108),
+	.Y(n_25669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748830 (
+	.A1_N(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[23]),
+	.A2_N(n_24106),
+	.B1(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[23]),
+	.B2(n_24106),
+	.Y(n_25668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748831 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_exc_or_int_valid_r_d1),
+	.A2_N(n_6400),
+	.B1(brqrv_top_brqrv_dec_tlu_exc_or_int_valid_r_d1),
+	.B2(n_6400),
+	.Y(n_25667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748832 (
+	.A1(brqrv_top_brqrv_lsu_nonblock_load_inv_tag_r[1]),
+	.A2(n_24386),
+	.B1(n_24114),
+	.B2(brqrv_top_brqrv_dec_decode_cam_raw[36]),
+	.Y(n_25666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748833 (
+	.A1(brqrv_top_brqrv_lsu_nonblock_load_inv_tag_r[1]),
+	.A2(n_24195),
+	.B1(n_24114),
+	.B2(brqrv_top_brqrv_dec_decode_cam_raw[26]),
+	.Y(n_25665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748834 (
+	.A1(brqrv_top_brqrv_lsu_nonblock_load_inv_tag_r[1]),
+	.A2(n_24419),
+	.B1(n_24114),
+	.B2(brqrv_top_brqrv_dec_decode_cam_raw[16]),
+	.Y(n_25664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748835 (
+	.A1(brqrv_top_brqrv_lsu_nonblock_load_inv_tag_r[1]),
+	.A2(n_24166),
+	.B1(n_24114),
+	.B2(brqrv_top_brqrv_dec_decode_cam_raw[6]),
+	.Y(n_25663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748836 (
+	.A1_N(n_24351),
+	.A2_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[28]),
+	.B1(n_24351),
+	.B2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[28]),
+	.Y(n_25662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748839 (
+	.A1(n_24122),
+	.A2(brqrv_top_brqrv_dbg_dbg_state[2]),
+	.B1(n_24369),
+	.B2(brqrv_top_brqrv_dbg_dbg_state[1]),
+	.Y(n_25661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g748840 (
+	.A1(brqrv_top_brqrv_dec_tlu_take_nmi),
+	.A2(brqrv_top_brqrv_dec_tlu_take_nmi_r_d1),
+	.B1(n_30465),
+	.B2(n_24211),
+	.Y(n_25660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748841 (
+	.A1_N(n_2580),
+	.A2_N(brqrv_top_brqrv_dec_decode_cam_raw[31]),
+	.B1(n_2580),
+	.B2(brqrv_top_brqrv_dec_decode_cam_raw[31]),
+	.Y(n_25659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748842 (
+	.A(brqrv_top_brqrv_dec_dec_i0_waddr_r[4]),
+	.B(brqrv_top_brqrv_dec_decode_cam_raw[34]),
+	.Y(n_25658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748843 (
+	.A(brqrv_top_brqrv_dec_dec_i0_waddr_r[3]),
+	.B(brqrv_top_brqrv_dec_decode_cam_raw[33]),
+	.Y(n_25657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748844 (
+	.A(brqrv_top_brqrv_dec_dec_i0_waddr_r[3]),
+	.B(brqrv_top_brqrv_dec_decode_cam_raw[3]),
+	.Y(n_25656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g748845 (
+	.A(brqrv_top_brqrv_dec_dec_i0_waddr_r[4]),
+	.B(brqrv_top_brqrv_dec_decode_cam_raw[14]),
+	.X(n_25655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g748847 (
+	.A1_N(n_2580),
+	.A2_N(brqrv_top_brqrv_dec_decode_cam_raw[21]),
+	.B1(n_2580),
+	.B2(brqrv_top_brqrv_dec_decode_cam_raw[21]),
+	.Y(n_25654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748848 (
+	.A(brqrv_top_brqrv_dec_dec_i0_waddr_r[3]),
+	.B(brqrv_top_brqrv_dec_decode_cam_raw[23]),
+	.Y(n_25653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748849 (
+	.A(brqrv_top_brqrv_dec_dec_i0_waddr_r[4]),
+	.B(brqrv_top_brqrv_dec_decode_cam_raw[4]),
+	.Y(n_25652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748850 (
+	.A(brqrv_top_brqrv_dec_dec_i0_waddr_r[4]),
+	.B(brqrv_top_brqrv_dec_decode_cam_raw[24]),
+	.Y(n_25651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g748851 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r_d1[31]),
+	.B(brqrv_top_brqrv_dec_tlu_pc_r[31]),
+	.X(n_25650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g748852 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r_d1[29]),
+	.B(brqrv_top_brqrv_dec_tlu_pc_r[29]),
+	.X(n_25649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g748853 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r_d1[25]),
+	.B(brqrv_top_brqrv_dec_tlu_pc_r[25]),
+	.X(n_25648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748854 (
+	.A(brqrv_top_brqrv_dec_tlu_npc_r_d1[21]),
+	.B(brqrv_top_brqrv_dec_tlu_npc_r[21]),
+	.Y(n_25647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748855 (
+	.A(brqrv_top_brqrv_dec_tlu_npc_r_d1[16]),
+	.B(brqrv_top_brqrv_dec_tlu_npc_r[16]),
+	.Y(n_25646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748856 (
+	.A(brqrv_top_brqrv_dec_tlu_npc_r_d1[30]),
+	.B(brqrv_top_brqrv_dec_tlu_npc_r[30]),
+	.Y(n_25645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748857 (
+	.A(brqrv_top_brqrv_dec_tlu_npc_r_d1[31]),
+	.B(brqrv_top_brqrv_dec_tlu_npc_r[31]),
+	.Y(n_25644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748858 (
+	.A(brqrv_top_brqrv_dec_tlu_npc_r_d1[28]),
+	.B(brqrv_top_brqrv_dec_tlu_npc_r[28]),
+	.Y(n_25643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748859 (
+	.A(brqrv_top_brqrv_dec_tlu_npc_r_d1[27]),
+	.B(brqrv_top_brqrv_dec_tlu_npc_r[27]),
+	.Y(n_25642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748860 (
+	.A(brqrv_top_brqrv_dec_decode_r_t[14]),
+	.B(brqrv_top_brqrv_dec_decode_x_t[14]),
+	.Y(n_25641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748861 (
+	.A(brqrv_top_brqrv_dec_tlu_npc_r_d1[24]),
+	.B(brqrv_top_brqrv_dec_tlu_npc_r[24]),
+	.Y(n_25640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748862 (
+	.A(brqrv_top_brqrv_dec_decode_x_t[15]),
+	.B(n_39313),
+	.Y(n_25639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748863 (
+	.A(brqrv_top_brqrv_dec_decode_x_t[14]),
+	.B(brqrv_top_brqrv_ifu_i0_icaf_type[1]),
+	.Y(n_25638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748864 (
+	.A(brqrv_top_brqrv_dec_tlu_npc_r_d1[25]),
+	.B(brqrv_top_brqrv_dec_tlu_npc_r[25]),
+	.Y(n_25637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748865 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[26]),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[26]),
+	.Y(n_25636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g748866 (
+	.A(brqrv_top_brqrv_exu_i0_flush_path_x[15]),
+	.B(n_39245),
+	.X(n_25635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748867 (
+	.A(brqrv_top_brqrv_exu_i0_flush_path_x[17]),
+	.B(n_39236),
+	.Y(n_25634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748868 (
+	.A(brqrv_top_brqrv_exu_i0_flush_path_x[20]),
+	.B(n_39241),
+	.Y(n_25633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748869 (
+	.A(brqrv_top_brqrv_exu_i0_flush_path_x[28]),
+	.B(n_39240),
+	.Y(n_25632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748870 (
+	.A(brqrv_top_brqrv_exu_i0_flush_path_x[31]),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_d[31]),
+	.Y(n_25631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748871 (
+	.A(brqrv_top_brqrv_exu_i0_flush_path_x[25]),
+	.B(n_39305),
+	.Y(n_25630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g748872 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[15]),
+	.B(brqrv_top_brqrv_ifu_i0_pc[15]),
+	.X(n_25629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748873 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[17]),
+	.B(brqrv_top_brqrv_ifu_i0_pc[17]),
+	.Y(n_25628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748874 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[20]),
+	.B(brqrv_top_brqrv_ifu_i0_pc[20]),
+	.Y(n_25627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748875 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[16]),
+	.B(brqrv_top_brqrv_ifu_i0_pc[16]),
+	.Y(n_25626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748876 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[30]),
+	.B(brqrv_top_brqrv_ifu_i0_pc[30]),
+	.Y(n_25625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748877 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[28]),
+	.B(brqrv_top_brqrv_ifu_i0_pc[28]),
+	.Y(n_25624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748878 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[27]),
+	.B(brqrv_top_brqrv_ifu_i0_pc[27]),
+	.Y(n_25623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748879 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[24]),
+	.B(brqrv_top_brqrv_ifu_i0_pc[24]),
+	.Y(n_25622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g748880 (
+	.A(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[15]),
+	.B(brqrv_top_brqrv_dec_tlu_flush_path_r[15]),
+	.X(n_25621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748881 (
+	.A(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[16]),
+	.B(brqrv_top_brqrv_dec_tlu_flush_path_r[16]),
+	.Y(n_25620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g748882 (
+	.A(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[20]),
+	.B(brqrv_top_brqrv_dec_tlu_flush_path_r[20]),
+	.X(n_25619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g748883 (
+	.A(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[17]),
+	.B(brqrv_top_brqrv_dec_tlu_flush_path_r[17]),
+	.X(n_25618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g748884 (
+	.A(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[26]),
+	.B(brqrv_top_brqrv_dec_tlu_flush_path_r[26]),
+	.X(n_25617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g748885 (
+	.A(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[25]),
+	.B(brqrv_top_brqrv_dec_tlu_flush_path_r[25]),
+	.X(n_25616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g748886 (
+	.A(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[31]),
+	.B(brqrv_top_brqrv_dec_tlu_flush_path_r[31]),
+	.X(n_25615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g748887 (
+	.A(brqrv_top_brqrv_exu_pred_correct_npc_r[14]),
+	.B(brqrv_top_brqrv_pred_correct_npc_x[14]),
+	.X(n_25614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748888 (
+	.A(brqrv_top_brqrv_exu_pred_correct_npc_r[22]),
+	.B(brqrv_top_brqrv_pred_correct_npc_x[22]),
+	.Y(n_25613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g748889 (
+	.A(brqrv_top_brqrv_exu_pred_correct_npc_r[23]),
+	.B(brqrv_top_brqrv_pred_correct_npc_x[23]),
+	.X(n_25612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g748890 (
+	.A(brqrv_top_brqrv_exu_pred_correct_npc_r[17]),
+	.B(brqrv_top_brqrv_pred_correct_npc_x[17]),
+	.X(n_25611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g748891 (
+	.A(brqrv_top_brqrv_exu_pred_correct_npc_r[16]),
+	.B(brqrv_top_brqrv_pred_correct_npc_x[16]),
+	.X(n_25610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g748892 (
+	.A(brqrv_top_brqrv_exu_pred_correct_npc_r[30]),
+	.B(brqrv_top_brqrv_pred_correct_npc_x[30]),
+	.X(n_25609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g748893 (
+	.A(brqrv_top_brqrv_exu_pred_correct_npc_r[28]),
+	.B(brqrv_top_brqrv_pred_correct_npc_x[28]),
+	.X(n_25608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g748894 (
+	.A(brqrv_top_brqrv_exu_pred_correct_npc_r[29]),
+	.B(brqrv_top_brqrv_pred_correct_npc_x[29]),
+	.X(n_25607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g748895 (
+	.A(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_n_24),
+	.B(brqrv_top_brqrv_dec_tlu_exc_cause_r[4]),
+	.X(n_25606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748896 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel[1]),
+	.B(brqrv_top_brqrv_dec_tlu_mcyclel[0]),
+	.Y(n_25605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748897 (
+	.A(brqrv_top_brqrv_dec_tlu_npc_r_d1[20]),
+	.B(brqrv_top_brqrv_dec_tlu_npc_r[20]),
+	.Y(n_25604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748898 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[28]),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[28]),
+	.Y(n_25603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748899 (
+	.A(brqrv_top_brqrv_dec_tlu_npc_r_d1[29]),
+	.B(brqrv_top_brqrv_dec_tlu_npc_r[29]),
+	.Y(n_25602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748900 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r_d1[13]),
+	.B(brqrv_top_brqrv_dec_tlu_pc_r[13]),
+	.Y(n_25601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g748901 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r_d1[17]),
+	.B(brqrv_top_brqrv_dec_tlu_pc_r[17]),
+	.X(n_25600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g748902 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r_d1[21]),
+	.B(brqrv_top_brqrv_dec_tlu_pc_r[21]),
+	.X(n_25599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g748903 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r_d1[20]),
+	.B(brqrv_top_brqrv_dec_tlu_pc_r[20]),
+	.X(n_25598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748904 (
+	.A(brqrv_top_brqrv_dec_tlu_minstretl[1]),
+	.B(brqrv_top_brqrv_dec_tlu_minstretl[0]),
+	.Y(n_25597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748905 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r_d1[16]),
+	.B(brqrv_top_brqrv_dec_tlu_pc_r[16]),
+	.Y(n_25596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748906 (
+	.A(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[30]),
+	.B(n_30515),
+	.Y(n_25595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748907 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r_d1[15]),
+	.B(brqrv_top_brqrv_dec_tlu_pc_r[15]),
+	.Y(n_25594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748908 (
+	.A(brqrv_top_brqrv_dec_tlu_npc_r_d1[26]),
+	.B(brqrv_top_brqrv_dec_tlu_npc_r[26]),
+	.Y(n_25593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748909 (
+	.A(brqrv_top_brqrv_dec_tlu_npc_r_d1[13]),
+	.B(brqrv_top_brqrv_dec_tlu_npc_r[13]),
+	.Y(n_25592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748910 (
+	.A(brqrv_top_brqrv_exu_i0_flush_path_x[26]),
+	.B(n_39244),
+	.Y(n_25591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748911 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[24]),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[24]),
+	.Y(n_25590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g748912 (
+	.A(brqrv_top_brqrv_dec_decode_x_t[9]),
+	.B(brqrv_top_brqrv_dec_decode_d_t[9]),
+	.X(n_25589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748913 (
+	.A(brqrv_top_brqrv_dec_tlu_trigger_hit_r_d1),
+	.B(brqrv_top_brqrv_dec_tlu_i0_trigger_hit_raw_r),
+	.Y(n_25588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748914 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[21]),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[21]),
+	.Y(n_25587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748915 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[22]),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[22]),
+	.Y(n_25586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748916 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[19]),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[19]),
+	.Y(n_25585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g748917 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[18]),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[18]),
+	.X(n_25584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748918 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[16]),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[16]),
+	.Y(n_25583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748919 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[14]),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[14]),
+	.Y(n_25582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g748920 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[12]),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[12]),
+	.X(n_25581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g748921 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[31]),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[31]),
+	.X(n_25580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748922 (
+	.A(brqrv_top_brqrv_exu_i0_flush_path_x[16]),
+	.B(n_39232),
+	.Y(n_25579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g748924 (
+	.A(brqrv_top_brqrv_exu_pred_correct_npc_r[20]),
+	.B(brqrv_top_brqrv_pred_correct_npc_x[20]),
+	.X(n_25577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g748925 (
+	.A(brqrv_top_brqrv_ifu_ifc_fb_full_f),
+	.B(brqrv_top_brqrv_ifu_ifc_fb_write_ns[3]),
+	.X(n_25576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748926 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[1]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[0]),
+	.Y(n_25575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g748927 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_sel_mb_addr_ff),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_reset_tag_valid_for_miss),
+	.X(n_25574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g748928 (
+	.A(brqrv_top_brqrv_iccm_dma_rtag[1]),
+	.B(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_n_9 ),
+	.X(n_25573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g748929 (
+	.A(brqrv_top_brqrv_ifu_pmu_bus_error),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_ifu_pmu_bus_error_in),
+	.X(n_25572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g748931 (
+	.A(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_n_19 ),
+	.B(n_33553),
+	.X(n_25570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748934 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[1]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[0]),
+	.Y(n_25567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748936 (
+	.A(n_31249),
+	.B(n_31250),
+	.Y(n_25892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748937 (
+	.A(n_31262),
+	.B(n_31263),
+	.Y(n_25891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748938 (
+	.A(n_32438),
+	.B(n_32433),
+	.Y(n_25890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748939 (
+	.A(n_31265),
+	.B(n_31258),
+	.Y(n_25889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748940 (
+	.A(n_31256),
+	.B(n_31257),
+	.Y(n_25888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748941 (
+	.A(n_32437),
+	.B(n_32434),
+	.Y(n_25887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748942 (
+	.A(n_32435),
+	.B(n_32436),
+	.Y(n_25886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748943 (
+	.A(n_31275),
+	.B(n_31276),
+	.Y(n_25885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748944 (
+	.A(n_31266),
+	.B(n_31255),
+	.Y(n_25884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748945 (
+	.A(n_31277),
+	.B(n_31278),
+	.Y(n_25883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748946 (
+	.A(n_31282),
+	.B(n_31279),
+	.Y(n_25882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748947 (
+	.A(n_31280),
+	.B(n_31281),
+	.Y(n_25881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748948 (
+	.A(n_31283),
+	.B(n_31284),
+	.Y(n_25880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748949 (
+	.A(n_31253),
+	.B(n_31254),
+	.Y(n_25879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748950 (
+	.A(n_32427),
+	.B(n_32428),
+	.Y(n_25878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748951 (
+	.A(n_32429),
+	.B(n_32426),
+	.Y(n_25877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748952 (
+	.A(n_31264),
+	.B(n_31261),
+	.Y(n_25876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748953 (
+	.A(n_31251),
+	.B(n_31248),
+	.Y(n_25875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748954 (
+	.A(n_31246),
+	.B(n_31247),
+	.Y(n_25874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g748955 (
+	.A(n_33029),
+	.B(n_33041),
+	.X(n_25565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g748956 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[16]),
+	.B(brqrv_top_brqrv_ifu_i0_pc[22]),
+	.X(n_25564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748957 (
+	.A1_N(brqrv_top_brqrv_ifu_i0_pc[15]),
+	.A2_N(n_368),
+	.B1(brqrv_top_brqrv_ifu_i0_pc[15]),
+	.B2(n_368),
+	.Y(n_25563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g748958 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[20]),
+	.B(brqrv_top_brqrv_ifu_i0_pc[26]),
+	.X(n_25562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748959 (
+	.A1_N(brqrv_top_brqrv_ifu_i0_pc[17]),
+	.A2_N(n_522),
+	.B1(brqrv_top_brqrv_ifu_i0_pc[17]),
+	.B2(n_522),
+	.Y(n_25561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g748960 (
+	.A(n_33042),
+	.B(n_33039),
+	.X(n_25560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748961 (
+	.A1_N(n_372),
+	.A2_N(brqrv_top_brqrv_ifu_i0_pc[24]),
+	.B1(n_372),
+	.B2(brqrv_top_brqrv_ifu_i0_pc[24]),
+	.Y(n_25559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748962 (
+	.A1_N(n_527),
+	.A2_N(brqrv_top_brqrv_ifu_i0_pc[25]),
+	.B1(n_527),
+	.B2(brqrv_top_brqrv_ifu_i0_pc[25]),
+	.Y(n_25558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g748963 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_meicurpl[3]),
+	.A2_N(n_2568),
+	.B1(brqrv_top_brqrv_dec_tlu_meicurpl[3]),
+	.B2(n_2568),
+	.Y(n_25873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g748964 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_meicurpl[2]),
+	.A2_N(n_2568),
+	.B1(brqrv_top_brqrv_dec_tlu_meicurpl[2]),
+	.B2(n_2568),
+	.Y(n_25872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748965 (
+	.A(brqrv_top_brqrv_dec_tlu_meipt[3]),
+	.B(n_2568),
+	.Y(n_25871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g748966 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_meipt[2]),
+	.A2_N(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.B1(brqrv_top_brqrv_dec_tlu_meipt[2]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.Y(n_25870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g748967 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[31]),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[30]),
+	.C(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[28]),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[29]),
+	.Y(n_25557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g748968 (
+	.A(n_31259),
+	.B(n_31260),
+	.Y(n_25869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g748969 (
+	.A(n_34713),
+	.B(n_34724),
+	.X(brqrv_top_brqrv_picm_rdaddr[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g748970 (
+	.A1_N(brqrv_top_brqrv_dma_mem_write),
+	.A2_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.B1(n_31764),
+	.B2(n_30886),
+	.Y(brqrv_top_brqrv_lsu_lsu_pkt_d[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g748972 (
+	.A(n_25537),
+	.Y(n_25538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g748975 (
+	.A(n_25426),
+	.Y(n_25425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g748976 (
+	.A(n_25421),
+	.Y(n_25422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g748977 (
+	.A(n_25419),
+	.Y(n_25420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g748978 (
+	.A(n_25414),
+	.Y(brqrv_top_brqrv_lsu_addr_in_pic_d), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g748979 (
+	.A(n_25413),
+	.Y(n_25412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g748980 (
+	.A(n_25402),
+	.Y(n_25403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g748981 (
+	.A(n_25400),
+	.Y(n_25401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g748982 (
+	.A(n_25395),
+	.Y(n_25396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g748984 (
+	.A(n_25391),
+	.Y(n_25390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g748985 (
+	.A(n_25387),
+	.Y(brqrv_top_brqrv_dec_data_en[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g748986 (
+	.A(n_25383),
+	.Y(n_25384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g748987 (
+	.A(brqrv_top_brqrv_dec_data_en[1]),
+	.Y(n_25382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g748988 (
+	.A(n_25378),
+	.Y(n_25379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g748989 (
+	.A(n_25371),
+	.Y(n_25372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g748990 (
+	.A(n_25367),
+	.Y(n_25366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g748991 (
+	.A(n_25365),
+	.Y(n_25364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g748992 (
+	.A(n_25363),
+	.Y(n_25362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g748993 (
+	.A(brqrv_top_brqrv_dec_i0_predict_p_d[37]),
+	.Y(n_25361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g748994 (
+	.A(n_25360),
+	.Y(n_25359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g748995 (
+	.A(n_25358),
+	.Y(n_25357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g748996 (
+	.A(n_25356),
+	.Y(n_25355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g748997 (
+	.A(n_25354),
+	.Y(n_25353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g748998 (
+	.A(n_25352),
+	.Y(n_25351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g748999 (
+	.A(n_25350),
+	.Y(n_25349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g749000 (
+	.A(n_25347),
+	.Y(n_25346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749003 (
+	.A(n_39170),
+	.B(n_31487),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_enable ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g749004 (
+	.A_N(n_31569),
+	.B(brqrv_top_brqrv_ifu_aln_rdptr[1]),
+	.Y(n_25341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749005 (
+	.A(n_31217),
+	.B(brqrv_top_core_rst),
+	.Y(n_25340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749006 (
+	.A(n_32777),
+	.B_N(n_33116),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749007 (
+	.A(n_32777),
+	.B_N(n_33108),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749008 (
+	.A(n_32777),
+	.B_N(brqrv_top_brqrv_exu_i0_rs2_d[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749009 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f),
+	.B_N(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error[6]),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error_in[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749010 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f),
+	.B_N(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error[5]),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error_in[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749011 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f),
+	.B_N(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error[4]),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error_in[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749012 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f),
+	.B_N(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error[2]),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749013 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f),
+	.B_N(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error[0]),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error_in[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749014 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f),
+	.B_N(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[7]),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid_in[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g749015 (
+	.A(brqrv_top_brqrv_dbg_dbg_dm_rst_l),
+	.B(brqrv_top_brqrv_n_51),
+	.X(brqrv_top_brqrv_dbg_n_1043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749016 (
+	.A(n_31153),
+	.B(brqrv_top_core_rst),
+	.Y(n_25339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749017 (
+	.A(n_31156),
+	.B(brqrv_top_core_rst),
+	.Y(n_25338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749018 (
+	.A(n_31474),
+	.B(n_31044),
+	.Y(brqrv_top_brqrv_dec_tlu_wr_mtvec_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749019 (
+	.A(n_32336),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_error[3]),
+	.Y(n_25337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749020 (
+	.A(n_32340),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_error[9]),
+	.Y(n_25336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749021 (
+	.A(n_31565),
+	.B(brqrv_top_brqrv_ifu_ifc_fb_full_f),
+	.Y(n_25335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749022 (
+	.A(n_24475),
+	.B(brqrv_top_brqrv_dec_decode_x_t[10]),
+	.Y(n_25334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749023 (
+	.A(n_24244),
+	.B(brqrv_top_brqrv_dec_decode_x_t[8]),
+	.Y(n_25333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749024 (
+	.A(n_39243),
+	.B_N(brqrv_top_brqrv_exu_i0_flush_path_x[13]),
+	.Y(n_25332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749025 (
+	.A(n_39306),
+	.B_N(brqrv_top_brqrv_exu_i0_flush_path_x[23]),
+	.Y(n_25331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749026 (
+	.A(n_32401),
+	.B(brqrv_top_core_rst),
+	.Y(n_25330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749027 (
+	.A(n_31209),
+	.B(brqrv_top_core_rst),
+	.Y(n_25329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749028 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[13]),
+	.B_N(brqrv_top_brqrv_exu_i0_pc_x[13]),
+	.Y(n_25328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749029 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[23]),
+	.B_N(brqrv_top_brqrv_exu_i0_pc_x[23]),
+	.Y(n_25327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749030 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[7]),
+	.B(n_24460),
+	.Y(n_25326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749031 (
+	.A(n_24240),
+	.B(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[13]),
+	.Y(n_25325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749032 (
+	.A(n_32453),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_nomerge[2]),
+	.Y(n_25324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749033 (
+	.A(n_4751),
+	.B(n_33433),
+	.Y(n_25323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749034 (
+	.A(n_31523),
+	.B(n_24408),
+	.Y(brqrv_top_brqrv_dec_tlu_n_3392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749035 (
+	.A(n_32515),
+	.B(n_24408),
+	.Y(brqrv_top_brqrv_dec_tlu_n_3391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749036 (
+	.A(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_n_23),
+	.B(n_24220),
+	.Y(n_25322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749037 (
+	.A(n_32771),
+	.B_N(brqrv_top_brqrv_dbg_cmd_addr[11]),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749038 (
+	.A(n_32771),
+	.B_N(brqrv_top_brqrv_dbg_cmd_addr[10]),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749039 (
+	.A(n_32771),
+	.B_N(brqrv_top_brqrv_dbg_cmd_addr[9]),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749040 (
+	.A(n_30456),
+	.B(brqrv_top_brqrv_dec_tlu_pause_expired_wb),
+	.Y(n_25321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749041 (
+	.A(n_32771),
+	.B_N(brqrv_top_brqrv_dbg_cmd_addr[8]),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749042 (
+	.A(n_4752),
+	.B(n_33432),
+	.Y(n_25320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749043 (
+	.A(n_32771),
+	.B_N(brqrv_top_brqrv_dbg_cmd_addr[7]),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749044 (
+	.A(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_n_26),
+	.B(n_30458),
+	.Y(n_25319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749045 (
+	.A(n_32771),
+	.B_N(brqrv_top_brqrv_dbg_cmd_addr[5]),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749046 (
+	.A(n_24140),
+	.B(n_31168),
+	.Y(n_25318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749048 (
+	.A(n_32771),
+	.B_N(brqrv_top_brqrv_dbg_cmd_addr[4]),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749049 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[3]),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_n_2291),
+	.Y(n_25316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749050 (
+	.A(n_24154),
+	.B(n_31168),
+	.Y(n_25315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749051 (
+	.A(n_32338),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_error[7]),
+	.Y(n_25314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749052 (
+	.A(brqrv_top_brqrv_i0_ap[7]),
+	.B(n_33354),
+	.Y(n_25313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749053 (
+	.A(n_32342),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_error[1]),
+	.Y(n_25312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749054 (
+	.A(n_4742),
+	.B(n_33425),
+	.Y(n_25311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749055 (
+	.A(n_2559),
+	.B(n_33411),
+	.Y(n_25310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749056 (
+	.A(brqrv_top_brqrv_dec_tlu_mpc_debug_run_req_sync_f),
+	.B(brqrv_top_brqrv_dec_tlu_mpc_debug_run_ack_f),
+	.Y(n_25309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749057 (
+	.A(n_4754),
+	.B(n_33423),
+	.Y(n_25308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749058 (
+	.A(n_4746),
+	.B(n_33432),
+	.Y(n_25307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749059 (
+	.A(brqrv_top_brqrv_dec_tlu_debug_brkpt_status_f),
+	.B(brqrv_top_brqrv_dec_tlu_debug_brkpt_valid),
+	.Y(n_25306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g749060 (
+	.A_N(n_32503),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[1]),
+	.Y(n_25305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749061 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f),
+	.B_N(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[6]),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid_in[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749062 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f),
+	.B_N(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[2]),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749063 (
+	.A(n_4756),
+	.B(n_33421),
+	.Y(n_25304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749064 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f),
+	.B_N(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[4]),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid_in[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749065 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_n_1839),
+	.B(brqrv_top_brqrv_exu_flush_final),
+	.Y(n_25303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749066 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f),
+	.B_N(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[0]),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid_in[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749067 (
+	.A(n_4754),
+	.B(n_33421),
+	.Y(n_25302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749068 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f),
+	.B_N(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error[3]),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error_in[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749069 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f),
+	.B_N(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[5]),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid_in[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749070 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f),
+	.B_N(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[1]),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid_in[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749071 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f),
+	.B_N(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[3]),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid_in[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749072 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f),
+	.B_N(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error[1]),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error_in[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749073 (
+	.A(n_4754),
+	.B(n_33416),
+	.Y(n_25301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749074 (
+	.A(brqrv_top_brqrv_dbg_dmcontrol_reg[30]),
+	.B(brqrv_top_brqrv_dbg_dmcontrol_wren_Q),
+	.Y(n_25300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749075 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f),
+	.B_N(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error[7]),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error_in[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749076 (
+	.A(n_4744),
+	.B(n_33409),
+	.Y(n_25299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749077 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[12]),
+	.B(brqrv_top_brqrv_dec_decode_write_csr_data[15]),
+	.Y(n_25298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g749078 (
+	.A(brqrv_top_brqrv_dec_tlu_reset_delayed),
+	.B(brqrv_top_brqrv_dec_tlu_n_4856),
+	.X(brqrv_top_brqrv_dec_tlu_n_3336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749079 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[5]),
+	.B(brqrv_top_brqrv_dec_decode_write_csr_data[6]),
+	.Y(n_25297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749080 (
+	.A(brqrv_top_brqrv_ifu_ifc_state[1]),
+	.B(n_7752),
+	.Y(n_25296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749081 (
+	.A(brqrv_top_brqrv_ifu_aln_n_2316),
+	.B(n_31576),
+	.Y(n_25295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749082 (
+	.A(n_4730),
+	.B(n_33416),
+	.Y(n_25294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749083 (
+	.A(n_4752),
+	.B(n_33433),
+	.Y(n_25293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749084 (
+	.A(brqrv_top_brqrv_ifu_aln_n_2310),
+	.B(n_31576),
+	.Y(n_25292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749085 (
+	.A(n_32440),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualhi[0]),
+	.Y(n_25291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749087 (
+	.A(brqrv_top_brqrv_ifu_aln_first2B),
+	.B(brqrv_top_brqrv_ifu_aln_f0val[0]),
+	.Y(n_25290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749088 (
+	.A(n_4749),
+	.B(n_33417),
+	.Y(n_25289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749089 (
+	.A(brqrv_top_brqrv_ifu_aln_n_2319),
+	.B(n_31576),
+	.Y(n_25288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749090 (
+	.A(n_4735),
+	.B(n_33425),
+	.Y(n_25287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g749092 (
+	.A_N(n_31569),
+	.B(brqrv_top_brqrv_ifu_aln_rdptr[0]),
+	.Y(n_25285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g749093 (
+	.A_N(n_32503),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[0]),
+	.Y(n_25284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749094 (
+	.A(n_4751),
+	.B(n_33410),
+	.Y(n_25283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g749095 (
+	.A_N(n_32503),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[11]),
+	.Y(n_25282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749096 (
+	.A(n_32350),
+	.B_N(brqrv_top_brqrv_dec_dec_csr_wen_unq_d),
+	.Y(n_25281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749097 (
+	.A(n_32771),
+	.B_N(brqrv_top_brqrv_dbg_cmd_addr[3]),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g749098 (
+	.A_N(n_32443),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[0]),
+	.Y(n_25280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g749099 (
+	.A_N(n_32443),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[1]),
+	.Y(n_25279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749100 (
+	.A(n_31494),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualhi[3]),
+	.Y(n_25278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749101 (
+	.A(n_31567),
+	.B(brqrv_top_brqrv_ifu_ifc_fb_write_f[2]),
+	.Y(n_25277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749102 (
+	.A(n_4749),
+	.B(n_33425),
+	.Y(n_25276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749103 (
+	.A(n_4744),
+	.B(n_33408),
+	.Y(n_25275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749104 (
+	.A(n_69),
+	.B(n_34004),
+	.Y(n_25274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749105 (
+	.A(n_31181),
+	.B(brqrv_top_core_rst),
+	.Y(n_25273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749106 (
+	.A(n_4749),
+	.B(n_33431),
+	.Y(n_25272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749107 (
+	.A(n_4732),
+	.B(n_33433),
+	.Y(n_25271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749108 (
+	.A(n_31185),
+	.B(brqrv_top_core_rst),
+	.Y(n_25270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749109 (
+	.A(n_31203),
+	.B(brqrv_top_core_rst),
+	.Y(n_25269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749110 (
+	.A(n_4740),
+	.B(n_33410),
+	.Y(n_25268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g749111 (
+	.A_N(n_31496),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[11]),
+	.Y(n_25267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749112 (
+	.A(n_32404),
+	.B(brqrv_top_core_rst),
+	.Y(n_25266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g749113 (
+	.A_N(brqrv_top_brqrv_dec_tlu_pc_r_d1[23]),
+	.B(brqrv_top_brqrv_dec_tlu_pc_r[23]),
+	.Y(n_25265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749114 (
+	.A(n_4754),
+	.B(n_33409),
+	.Y(n_25264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749115 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[13]),
+	.B_N(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[13]),
+	.Y(n_25263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749116 (
+	.A(brqrv_top_brqrv_dec_decode_tlu_wr_pause_r2),
+	.B(brqrv_top_brqrv_dec_decode_tlu_wr_pause_r1),
+	.Y(n_25262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749117 (
+	.A(n_4733),
+	.B(n_33423),
+	.Y(n_25261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749118 (
+	.A(n_31301),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualhi[1]),
+	.Y(n_25260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749119 (
+	.A(n_32399),
+	.B(brqrv_top_core_rst),
+	.Y(n_25259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749120 (
+	.A(n_32453),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dual[2]),
+	.Y(n_25258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749121 (
+	.A(n_4747),
+	.B(n_33416),
+	.Y(n_25257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749122 (
+	.A(n_4747),
+	.B(n_33419),
+	.Y(n_25256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749123 (
+	.A(n_29891),
+	.B(brqrv_top_brqrv_dbg_sbdata0_reg[29]),
+	.Y(n_25255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749124 (
+	.A(n_32453),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualhi[2]),
+	.Y(n_25254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749125 (
+	.A(n_4740),
+	.B(n_33433),
+	.Y(n_25253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749126 (
+	.A(n_32440),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_nomerge[0]),
+	.Y(n_25252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749127 (
+	.A(n_31301),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dual[1]),
+	.Y(n_25251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749128 (
+	.A(n_31875),
+	.B(n_31485),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_pend_en[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749129 (
+	.A(n_4747),
+	.B(n_33423),
+	.Y(n_25250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749130 (
+	.A(n_31176),
+	.B(brqrv_top_core_rst),
+	.Y(n_25249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749131 (
+	.A(n_32674),
+	.B(n_31485),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_pend_en[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749132 (
+	.A(brqrv_top_brqrv_dec_tlu_meicurpl[0]),
+	.B(n_31097),
+	.Y(n_25248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749133 (
+	.A(n_30300),
+	.B(n_24141),
+	.Y(brqrv_top_brqrv_dec_tlu_n_3270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749135 (
+	.A(n_2559),
+	.B(n_33416),
+	.Y(n_25247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749136 (
+	.A(n_33289),
+	.B(n_470),
+	.Y(n_25246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g749137 (
+	.A_N(n_31225),
+	.B(brqrv_top_brqrv_i0_ap[3]),
+	.Y(n_25245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749138 (
+	.A(n_30478),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_miss_state[0]),
+	.Y(n_25244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749139 (
+	.A(n_29891),
+	.B(brqrv_top_brqrv_dbg_sbdata0_reg[18]),
+	.Y(n_25243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g749140 (
+	.A_N(n_31445),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[11]),
+	.Y(n_25242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g749141 (
+	.A(brqrv_top_brqrv_dec_tlu_n_3468),
+	.B(brqrv_top_brqrv_dec_tlu_ext_int_freeze_d1),
+	.X(brqrv_top_brqrv_dec_tlu_dbg_halt_req_held_ns), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749142 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_WrPtr1_r[1]),
+	.B(n_31582),
+	.Y(n_25241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749143 (
+	.A(n_29891),
+	.B(brqrv_top_brqrv_dbg_sbdata0_reg[3]),
+	.Y(n_25240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749144 (
+	.A(n_4754),
+	.B(n_33413),
+	.Y(n_25239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749145 (
+	.A(n_326),
+	.B(brqrv_top_brqrv_iccm_dma_rdata[25]),
+	.Y(n_25238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749146 (
+	.A(n_4744),
+	.B(n_33425),
+	.Y(n_25237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749147 (
+	.A(n_30452),
+	.B(brqrv_top_brqrv_n_578),
+	.Y(n_25236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749148 (
+	.A(n_326),
+	.B(brqrv_top_brqrv_iccm_dma_rdata[31]),
+	.Y(n_25235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749149 (
+	.A(n_33308),
+	.B(n_470),
+	.Y(n_25234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749150 (
+	.A(n_326),
+	.B(brqrv_top_brqrv_iccm_dma_rdata[30]),
+	.Y(n_25233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749151 (
+	.A(n_326),
+	.B(brqrv_top_brqrv_iccm_dma_rdata[29]),
+	.Y(n_25232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749152 (
+	.A(n_33305),
+	.B(n_470),
+	.Y(n_25231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749153 (
+	.A(n_326),
+	.B(brqrv_top_brqrv_iccm_dma_rdata[28]),
+	.Y(n_25230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749154 (
+	.A(n_33304),
+	.B(n_470),
+	.Y(n_25229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749155 (
+	.A(n_326),
+	.B(brqrv_top_brqrv_iccm_dma_rdata[27]),
+	.Y(n_25228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749156 (
+	.A(n_326),
+	.B(brqrv_top_brqrv_iccm_dma_rdata[26]),
+	.Y(n_25227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749157 (
+	.A(n_326),
+	.B(brqrv_top_brqrv_iccm_dma_rdata[24]),
+	.Y(n_25226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749158 (
+	.A(n_33291),
+	.B(n_470),
+	.Y(n_25225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749159 (
+	.A(n_33290),
+	.B(n_470),
+	.Y(n_25224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749160 (
+	.A(n_22518),
+	.B(brqrv_top_brqrv_dec_decode_leak1_mode),
+	.Y(n_25223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749161 (
+	.A(n_33288),
+	.B(n_470),
+	.Y(n_25222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749162 (
+	.A(n_33286),
+	.B(n_470),
+	.Y(n_25221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749163 (
+	.A(n_32528),
+	.B(n_30519),
+	.Y(brqrv_top_brqrv_dec_i0_predict_p_d[36]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749164 (
+	.A(n_4751),
+	.B(n_33408),
+	.Y(n_25220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g749166 (
+	.A_N(brqrv_top_brqrv_dec_dec_i0_instr_d[22]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[20]),
+	.Y(n_25218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749167 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[27]),
+	.B(brqrv_top_brqrv_dec_decode_write_csr_data[28]),
+	.Y(n_25217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749168 (
+	.A(n_4747),
+	.B(n_33432),
+	.Y(n_25216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749169 (
+	.A(brqrv_top_brqrv_dec_tlu_mtdata1_t0[8]),
+	.B_N(n_32755),
+	.Y(n_25215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749170 (
+	.A(brqrv_top_brqrv_ifu_ifc_n_451),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_ifu_pmu_sigs_ff_n_21),
+	.Y(n_25214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749171 (
+	.A(brqrv_top_brqrv_dec_tlu_mtsel[1]),
+	.B(brqrv_top_brqrv_dec_tlu_mtdata1_t2[5]),
+	.Y(n_25213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749172 (
+	.A(brqrv_top_brqrv_exu_i0_rs2_d[0]),
+	.B(n_31487),
+	.Y(n_25212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749173 (
+	.A(brqrv_top_brqrv_dec_tlu_mtdata1_t2[8]),
+	.B_N(n_32752),
+	.Y(n_25211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749174 (
+	.A(n_24229),
+	.B(brqrv_top_brqrv_exu_pred_correct_npc_r[15]),
+	.Y(n_25210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749175 (
+	.A(brqrv_top_brqrv_dec_tlu_mtdata1_t3[8]),
+	.B_N(n_32750),
+	.Y(n_25209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749176 (
+	.A(n_4743),
+	.B(n_33432),
+	.Y(n_25208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749177 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitctl1[2]),
+	.B(n_24061),
+	.Y(n_25207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749178 (
+	.A(n_24142),
+	.B(n_32225),
+	.Y(n_25206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g749179 (
+	.A_N(n_31496),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[0]),
+	.Y(n_25205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749180 (
+	.A(n_4738),
+	.B(n_33432),
+	.Y(n_25204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749181 (
+	.A(n_4752),
+	.B(n_33422),
+	.Y(n_25203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749182 (
+	.A(n_4732),
+	.B(n_33426),
+	.Y(n_25202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g749183 (
+	.A_N(brqrv_top_brqrv_ifu_mem_ctl_reset_ic_ff),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_reset_all_tags),
+	.Y(n_25201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749184 (
+	.A(n_4732),
+	.B(n_33432),
+	.Y(n_25200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749185 (
+	.A(n_31301),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[7]),
+	.Y(n_25199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749186 (
+	.A(n_4744),
+	.B(n_33434),
+	.Y(n_25198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749187 (
+	.A(n_4730),
+	.B(n_33432),
+	.Y(n_25197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749188 (
+	.A(n_32675),
+	.B(n_31485),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_pend_en[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749189 (
+	.A(n_2551),
+	.B(n_33433),
+	.Y(n_25196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749190 (
+	.A(n_31876),
+	.B(n_31485),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_pend_en[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749191 (
+	.A(n_31526),
+	.B(n_24408),
+	.Y(brqrv_top_brqrv_dec_tlu_n_3390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749192 (
+	.A(n_4734),
+	.B(n_33408),
+	.Y(n_25195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749193 (
+	.A(n_4752),
+	.B(n_33425),
+	.Y(n_25194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749194 (
+	.A(n_31301),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_nomerge[1]),
+	.Y(n_25193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749195 (
+	.A(n_4755),
+	.B(n_33420),
+	.Y(n_25192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g749196 (
+	.A(brqrv_top_brqrv_lsu_dccm_ctl_n_1137),
+	.B(brqrv_top_brqrv_lsu_addr_external_m),
+	.X(brqrv_top_brqrv_lsu_pmu_load_external_m), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749197 (
+	.A(n_32440),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[3]),
+	.Y(n_25191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749198 (
+	.A(n_4755),
+	.B(n_33413),
+	.Y(n_25190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749199 (
+	.A(brqrv_top_brqrv_dbg_sb_state[1]),
+	.B(brqrv_top_brqrv_dbg_sb_state[0]),
+	.Y(n_25189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749200 (
+	.A(n_4745),
+	.B(n_33409),
+	.Y(n_25188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749201 (
+	.A(n_24461),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[8]),
+	.Y(n_25187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749202 (
+	.A(n_32826),
+	.B(n_32613),
+	.Y(n_25186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749203 (
+	.A(n_4735),
+	.B(n_33421),
+	.Y(n_25185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749204 (
+	.A(n_32453),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[11]),
+	.Y(n_25184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749205 (
+	.A(n_4736),
+	.B(n_33411),
+	.Y(n_25183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749206 (
+	.A(n_4737),
+	.B(n_33419),
+	.Y(n_25182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749207 (
+	.A(n_32827),
+	.B(n_30527),
+	.Y(n_25181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749208 (
+	.A(n_29900),
+	.B(n_29901),
+	.Y(n_25180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749210 (
+	.A(n_4743),
+	.B(n_33408),
+	.Y(n_25178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749211 (
+	.A(n_31494),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dual[3]),
+	.Y(n_25177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749212 (
+	.A(n_4739),
+	.B(n_33420),
+	.Y(n_25176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749213 (
+	.A(n_4738),
+	.B(n_33423),
+	.Y(n_25175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749214 (
+	.A(n_4749),
+	.B(n_33430),
+	.Y(n_25174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749215 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[3]),
+	.B(brqrv_top_brqrv_dec_decode_write_csr_data[19]),
+	.Y(n_25173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749216 (
+	.A(n_4738),
+	.B(n_33424),
+	.Y(n_25172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749217 (
+	.A(brqrv_top_brqrv_dec_csr_rddata_d[0]),
+	.B(n_24298),
+	.Y(n_25171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749218 (
+	.A(n_4734),
+	.B(n_33421),
+	.Y(n_25170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749219 (
+	.A(n_4750),
+	.B(n_33424),
+	.Y(n_25169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749220 (
+	.A(n_31207),
+	.B(brqrv_top_core_rst),
+	.Y(n_25168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749221 (
+	.A(n_31198),
+	.B(brqrv_top_core_rst),
+	.Y(n_25167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749222 (
+	.A(n_31499),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteen[2]),
+	.Y(n_25166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749223 (
+	.A(n_31499),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteen[1]),
+	.Y(n_25165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749224 (
+	.A(brqrv_top_brqrv_lsu_fir_error[0]),
+	.B_N(brqrv_top_brqrv_lsu_fir_error[1]),
+	.Y(n_25164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749225 (
+	.A(n_31499),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteen[0]),
+	.Y(n_25163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749226 (
+	.A(n_4741),
+	.B(n_33408),
+	.Y(n_25162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749227 (
+	.A(n_2550),
+	.B(n_33420),
+	.Y(n_25161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749228 (
+	.A(n_30886),
+	.B_N(brqrv_top_brqrv_dec_decode_i0_dp_raw[29]),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749229 (
+	.A(n_31216),
+	.B(brqrv_top_core_rst),
+	.Y(n_25160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749230 (
+	.A(n_4745),
+	.B(n_33410),
+	.Y(n_25159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749231 (
+	.A(n_2551),
+	.B(n_33423),
+	.Y(n_25158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749232 (
+	.A(n_31748),
+	.B(n_31759),
+	.Y(n_25157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749233 (
+	.A(n_4737),
+	.B(n_33420),
+	.Y(n_25156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749234 (
+	.A(n_31184),
+	.B(brqrv_top_core_rst),
+	.Y(n_25155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749235 (
+	.A(n_31221),
+	.B(brqrv_top_core_rst),
+	.Y(n_25154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749236 (
+	.A(n_32413),
+	.B(brqrv_top_core_rst),
+	.Y(n_25153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749238 (
+	.A(n_4730),
+	.B(n_33411),
+	.Y(n_25151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749239 (
+	.A(n_32395),
+	.B(brqrv_top_core_rst),
+	.Y(n_25150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749240 (
+	.A(n_31194),
+	.B(brqrv_top_core_rst),
+	.Y(n_25149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749241 (
+	.A(n_4750),
+	.B(n_33417),
+	.Y(n_25148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749242 (
+	.A(n_31177),
+	.B(brqrv_top_core_rst),
+	.Y(n_25147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749243 (
+	.A(n_31219),
+	.B(brqrv_top_core_rst),
+	.Y(n_25146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749244 (
+	.A(n_32411),
+	.B(brqrv_top_core_rst),
+	.Y(n_25145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749245 (
+	.A(n_32402),
+	.B(brqrv_top_core_rst),
+	.Y(n_25144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749246 (
+	.A(n_31201),
+	.B(brqrv_top_core_rst),
+	.Y(n_25143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749247 (
+	.A(n_31175),
+	.B(brqrv_top_core_rst),
+	.Y(n_25142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749248 (
+	.A(n_31218),
+	.B(brqrv_top_core_rst),
+	.Y(n_25141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749249 (
+	.A(n_32410),
+	.B(brqrv_top_core_rst),
+	.Y(n_25140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749250 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_r[0]),
+	.B(brqrv_top_brqrv_lsu_addr_in_pic_r),
+	.Y(n_25139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749251 (
+	.A(n_31200),
+	.B(brqrv_top_core_rst),
+	.Y(n_25138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749252 (
+	.A(n_31191),
+	.B(brqrv_top_core_rst),
+	.Y(n_25137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749253 (
+	.A(n_31183),
+	.B(brqrv_top_core_rst),
+	.Y(n_25136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749254 (
+	.A(n_31174),
+	.B(brqrv_top_core_rst),
+	.Y(n_25135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749255 (
+	.A(n_4753),
+	.B(n_33422),
+	.Y(n_25134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749256 (
+	.A(n_31150),
+	.B(brqrv_top_core_rst),
+	.Y(n_25133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749257 (
+	.A(n_31147),
+	.B(brqrv_top_core_rst),
+	.Y(n_25132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749258 (
+	.A(n_4736),
+	.B(n_33434),
+	.Y(n_25131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749259 (
+	.A(n_31144),
+	.B(brqrv_top_core_rst),
+	.Y(n_25130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749260 (
+	.A(n_4740),
+	.B(n_33423),
+	.Y(n_25129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749261 (
+	.A(n_32408),
+	.B(brqrv_top_core_rst),
+	.Y(n_25128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749262 (
+	.A(n_4734),
+	.B(n_33410),
+	.Y(n_25127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749263 (
+	.A(n_31189),
+	.B(brqrv_top_core_rst),
+	.Y(n_25126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749264 (
+	.A(n_31172),
+	.B(brqrv_top_core_rst),
+	.Y(n_25125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749265 (
+	.A(n_32409),
+	.B(brqrv_top_core_rst),
+	.Y(n_25124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749266 (
+	.A(n_31220),
+	.B(brqrv_top_core_rst),
+	.Y(n_25123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749267 (
+	.A(n_32403),
+	.B(brqrv_top_core_rst),
+	.Y(n_25122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749268 (
+	.A(n_32394),
+	.B(brqrv_top_core_rst),
+	.Y(n_25121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749269 (
+	.A(n_31202),
+	.B(brqrv_top_core_rst),
+	.Y(n_25120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749270 (
+	.A(n_31193),
+	.B(brqrv_top_core_rst),
+	.Y(n_25119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g749271 (
+	.A_N(brqrv_top_brqrv_dec_decode_x_t[15]),
+	.B(brqrv_top_brqrv_dec_decode_r_t[15]),
+	.Y(n_25118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749272 (
+	.A(n_31494),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_nomerge[3]),
+	.Y(n_25117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749273 (
+	.A(n_32440),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dual[0]),
+	.Y(n_25116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749274 (
+	.A(n_30690),
+	.B(n_30519),
+	.Y(brqrv_top_brqrv_dec_decode_i0_d_c[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749275 (
+	.A(n_4737),
+	.B(n_33425),
+	.Y(n_25115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749276 (
+	.A(n_2550),
+	.B(n_33417),
+	.Y(n_25114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749277 (
+	.A(n_31073),
+	.B_N(brqrv_top_brqrv_dec_tlu_dcsr[2]),
+	.Y(brqrv_top_brqrv_dec_tlu_dcsr_single_step_done), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g749278 (
+	.A_N(brqrv_top_brqrv_lsu_addr_external_m),
+	.B(n_24370),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_n_468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749279 (
+	.A(n_4749),
+	.B(n_33434),
+	.Y(n_25113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749280 (
+	.A(n_32771),
+	.B_N(brqrv_top_brqrv_dbg_cmd_addr[6]),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749281 (
+	.A(n_4737),
+	.B(n_33433),
+	.Y(n_25112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g749282 (
+	.A_N(n_32443),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[11]),
+	.Y(n_25111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749283 (
+	.A(n_32400),
+	.B(brqrv_top_core_rst),
+	.Y(n_25110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749284 (
+	.A(n_32334),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_error[5]),
+	.Y(n_25109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g749285 (
+	.A_N(n_31445),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[1]),
+	.Y(n_25108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g749286 (
+	.A_N(n_31445),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[0]),
+	.Y(n_25107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749287 (
+	.A(n_31208),
+	.B(brqrv_top_core_rst),
+	.Y(n_25106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749288 (
+	.A(n_4754),
+	.B(n_33411),
+	.Y(n_25105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749289 (
+	.A(n_33316),
+	.B(n_470),
+	.Y(n_25104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749290 (
+	.A(n_31199),
+	.B(brqrv_top_core_rst),
+	.Y(n_25103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749291 (
+	.A(n_32412),
+	.B(brqrv_top_core_rst),
+	.Y(n_25102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749292 (
+	.A(n_31494),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[15]),
+	.Y(n_25101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749293 (
+	.A(n_4749),
+	.B(n_33424),
+	.Y(n_25100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749294 (
+	.A(n_4734),
+	.B(n_33420),
+	.Y(n_25099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749295 (
+	.A(n_31563),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_req_f),
+	.Y(n_25098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749296 (
+	.A(n_4749),
+	.B(n_33411),
+	.Y(n_25097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g749297 (
+	.A_N(brqrv_top_brqrv_dbg_n_4412),
+	.B(brqrv_top_brqrv_dbg_sbaddress0_reg[0]),
+	.Y(n_25096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749298 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[1]),
+	.B(brqrv_top_brqrv_dbg_sbaddress0_reg[0]),
+	.Y(n_25095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749299 (
+	.A(n_4752),
+	.B(n_33419),
+	.Y(n_25094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749300 (
+	.A(n_4749),
+	.B(n_33409),
+	.Y(n_25093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749301 (
+	.A(n_31190),
+	.B(brqrv_top_core_rst),
+	.Y(n_25092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749302 (
+	.A(n_31052),
+	.B_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[11]),
+	.Y(n_25091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749303 (
+	.A(n_31173),
+	.B(brqrv_top_core_rst),
+	.Y(n_25090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749304 (
+	.A(n_31182),
+	.B(brqrv_top_core_rst),
+	.Y(n_25089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749305 (
+	.A(n_4744),
+	.B(n_33410),
+	.Y(n_25088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749306 (
+	.A(n_4735),
+	.B(n_33426),
+	.Y(n_25087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749307 (
+	.A(n_4750),
+	.B(n_33416),
+	.Y(n_25086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749308 (
+	.A(n_4746),
+	.B(n_33426),
+	.Y(n_25085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749309 (
+	.A(n_4746),
+	.B(n_33431),
+	.Y(n_25084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749310 (
+	.A(n_4734),
+	.B(n_33417),
+	.Y(n_25083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749311 (
+	.A(n_24113),
+	.B(brqrv_top_brqrv_dec_decode_cam_raw[15]),
+	.Y(n_25082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749312 (
+	.A(n_24392),
+	.B(n_32777),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749313 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[32]),
+	.B(n_24111),
+	.Y(n_25081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749314 (
+	.A(n_4752),
+	.B(n_33421),
+	.Y(n_25080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749315 (
+	.A(n_24113),
+	.B(brqrv_top_brqrv_dec_decode_cam_raw[35]),
+	.Y(n_25079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749316 (
+	.A(n_4754),
+	.B(n_33422),
+	.Y(n_25078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749317 (
+	.A(n_24113),
+	.B(brqrv_top_brqrv_dec_decode_cam_raw[5]),
+	.Y(n_25077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749318 (
+	.A(n_24113),
+	.B(brqrv_top_brqrv_dec_decode_cam_raw[25]),
+	.Y(n_25076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749319 (
+	.A(n_4740),
+	.B(n_33416),
+	.Y(n_25075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749320 (
+	.A(n_2559),
+	.B(n_33413),
+	.Y(n_25074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749321 (
+	.A(n_4757),
+	.B(n_33433),
+	.Y(n_25073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749322 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[18]),
+	.B(n_24103),
+	.Y(n_25072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749323 (
+	.A(n_4747),
+	.B(n_33420),
+	.Y(n_25071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g749324 (
+	.A_N(n_31496),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[1]),
+	.Y(n_25070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749325 (
+	.A(n_30623),
+	.B(n_32832),
+	.Y(n_25556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749326 (
+	.A(n_11336),
+	.B(brqrv_top_iccm_instr_wdata[28]),
+	.Y(n_25555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749327 (
+	.A(n_30526),
+	.B(n_32836),
+	.Y(n_25554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749328 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[29]),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[30]),
+	.Y(n_25553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g749329 (
+	.A_N(n_31586),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[2]),
+	.Y(n_25552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749330 (
+	.A(n_11336),
+	.B(brqrv_top_iccm_instr_wdata[14]),
+	.Y(n_25551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749331 (
+	.A(n_11336),
+	.B(brqrv_top_iccm_instr_wdata[15]),
+	.Y(n_25550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749332 (
+	.A(n_11336),
+	.B(brqrv_top_iccm_instr_wdata[19]),
+	.Y(n_25549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749333 (
+	.A(n_24359),
+	.B(brqrv_top_brqrv_dec_tlu_mtdata1_t0[9]),
+	.Y(n_25548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749334 (
+	.A(n_31612),
+	.B(n_31613),
+	.Y(n_25547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749335 (
+	.A(n_11336),
+	.B(brqrv_top_iccm_instr_wdata[30]),
+	.Y(n_25546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749336 (
+	.A(n_11336),
+	.B(brqrv_top_iccm_instr_wdata[31]),
+	.Y(n_25545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749337 (
+	.A(n_11336),
+	.B(brqrv_top_iccm_instr_wdata[5]),
+	.Y(n_25544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749338 (
+	.A(n_30444),
+	.B_N(n_30445),
+	.Y(n_25543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749339 (
+	.A(n_11336),
+	.B(brqrv_top_iccm_instr_wdata[21]),
+	.Y(n_25542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749340 (
+	.A(brqrv_top_brqrv_exu_n_889),
+	.B_N(n_31640),
+	.Y(n_25541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749341 (
+	.A(brqrv_top_brqrv_exu_n_902),
+	.B_N(n_31628),
+	.Y(n_25540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749342 (
+	.A(brqrv_top_brqrv_exu_n_895),
+	.B_N(n_31635),
+	.Y(n_25539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749344 (
+	.A(n_2568),
+	.B(brqrv_top_brqrv_dec_tlu_meicurpl[0]),
+	.Y(n_25537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749345 (
+	.A(n_11336),
+	.B(brqrv_top_iccm_instr_wdata[13]),
+	.Y(n_25536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g749346 (
+	.A_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_in_dccm_d),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_in_dccm_region_d),
+	.Y(n_25535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749347 (
+	.A(n_11336),
+	.B(brqrv_top_iccm_instr_wdata[11]),
+	.Y(n_25534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749348 (
+	.A(brqrv_top_brqrv_exu_n_897),
+	.B_N(n_31633),
+	.Y(n_25533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749349 (
+	.A(brqrv_top_brqrv_exu_n_896),
+	.B_N(n_31634),
+	.Y(n_25532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749350 (
+	.A(n_11336),
+	.B(brqrv_top_iccm_instr_wdata[9]),
+	.Y(n_25531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749351 (
+	.A(n_23109),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dual),
+	.Y(n_25530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g749352 (
+	.A_N(n_32822),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[4]),
+	.Y(n_25529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g749353 (
+	.A_N(n_31585),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[2]),
+	.Y(n_25528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749354 (
+	.A(n_11336),
+	.B(brqrv_top_iccm_instr_wdata[6]),
+	.Y(n_25527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749355 (
+	.A(n_11336),
+	.B(brqrv_top_iccm_instr_wdata[3]),
+	.Y(n_25526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749356 (
+	.A(n_11336),
+	.B(brqrv_top_iccm_instr_wdata[16]),
+	.Y(n_25525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g749357 (
+	.A_N(n_32820),
+	.B(brqrv_top_brqrv_dec_tlu_minstretl[4]),
+	.Y(n_25524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749358 (
+	.A(n_11336),
+	.B(brqrv_top_iccm_instr_wdata[1]),
+	.Y(n_25523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749359 (
+	.A(n_30621),
+	.B(n_32833),
+	.Y(n_25522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g749360 (
+	.A_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_in_dccm_d),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_in_dccm_region_d),
+	.Y(n_25521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749361 (
+	.A(brqrv_top_brqrv_exu_n_888),
+	.B_N(n_31641),
+	.Y(n_25520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749362 (
+	.A(n_30528),
+	.B(n_32841),
+	.Y(n_25069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749363 (
+	.A(n_11336),
+	.B(brqrv_top_iccm_instr_wdata[7]),
+	.Y(n_25519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749364 (
+	.A(brqrv_top_brqrv_exu_n_887),
+	.B_N(n_31644),
+	.Y(n_25518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749365 (
+	.A(brqrv_top_brqrv_lsu_stbuf_n_1475),
+	.B(n_31869),
+	.Y(n_25517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749366 (
+	.A(n_2568),
+	.B(brqrv_top_brqrv_dec_tlu_meipt[0]),
+	.Y(n_25516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749367 (
+	.A(n_11336),
+	.B(brqrv_top_iccm_instr_wdata[20]),
+	.Y(n_25515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749368 (
+	.A(n_30524),
+	.B(n_32831),
+	.Y(n_25514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749369 (
+	.A(brqrv_top_brqrv_exu_n_901),
+	.B_N(n_31629),
+	.Y(n_25513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749370 (
+	.A(n_33206),
+	.B_N(n_31681),
+	.Y(n_25512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749371 (
+	.A(brqrv_top_brqrv_exu_n_914),
+	.B_N(n_31618),
+	.Y(n_25511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749372 (
+	.A(brqrv_top_brqrv_dma_ctrl_n_823),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_error[1]),
+	.Y(n_25510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g749373 (
+	.A_N(n_32818),
+	.B(brqrv_top_brqrv_dec_tlu_mcyclel[4]),
+	.Y(n_25509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749374 (
+	.A(n_24162),
+	.B(brqrv_top_brqrv_lsu_n_1518),
+	.Y(n_25508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749375 (
+	.A(n_11336),
+	.B(brqrv_top_iccm_instr_wdata[17]),
+	.Y(n_25507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749376 (
+	.A(brqrv_top_brqrv_exu_n_893),
+	.B_N(n_31637),
+	.Y(n_25506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749377 (
+	.A(n_24130),
+	.B(brqrv_top_brqrv_dec_decode_r_t[15]),
+	.Y(n_25505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749378 (
+	.A(brqrv_top_brqrv_exu_n_900),
+	.B_N(n_31630),
+	.Y(n_25504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749379 (
+	.A(brqrv_top_brqrv_exu_n_905),
+	.B_N(n_31627),
+	.Y(n_25503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749380 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[5]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[2]),
+	.Y(n_25502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749381 (
+	.A(n_11336),
+	.B(brqrv_top_iccm_instr_wdata[0]),
+	.Y(n_25501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749382 (
+	.A(n_32613),
+	.B(n_32834),
+	.Y(n_25500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749383 (
+	.A(n_30610),
+	.B(n_32835),
+	.Y(n_25499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749384 (
+	.A(n_11336),
+	.B(brqrv_top_iccm_instr_wdata[8]),
+	.Y(n_25498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749387 (
+	.A(brqrv_top_brqrv_exu_n_899),
+	.B_N(n_31631),
+	.Y(n_25495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749388 (
+	.A(n_32365),
+	.B(n_24297),
+	.Y(n_25494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749389 (
+	.A(n_29907),
+	.B_N(brqrv_top_brqrv_dec_tlu_mcyclel[2]),
+	.Y(n_25068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749390 (
+	.A(brqrv_top_brqrv_exu_n_903),
+	.B_N(n_31607),
+	.Y(n_25493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g749391 (
+	.A(brqrv_top_brqrv_dec_tlu_dcsr_single_step_done_f),
+	.B(brqrv_top_brqrv_dec_tlu_trigger_hit_r_d1),
+	.X(n_25492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749392 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_leak_one_f_d1 ),
+	.B(n_32603),
+	.Y(n_25491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749393 (
+	.A(brqrv_top_brqrv_exu_n_913),
+	.B_N(n_31619),
+	.Y(n_25490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749394 (
+	.A(n_11336),
+	.B(brqrv_top_iccm_instr_wdata[2]),
+	.Y(n_25489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749395 (
+	.A(n_30599),
+	.B(n_32837),
+	.Y(n_25488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749396 (
+	.A(n_29906),
+	.B_N(brqrv_top_brqrv_dec_tlu_minstretl[2]),
+	.Y(n_25067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749397 (
+	.A(brqrv_top_brqrv_exu_n_912),
+	.B_N(n_31620),
+	.Y(n_25487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749398 (
+	.A(brqrv_top_brqrv_exu_n_898),
+	.B_N(n_31632),
+	.Y(n_25486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749399 (
+	.A(brqrv_top_brqrv_exu_n_892),
+	.B_N(n_31638),
+	.Y(n_25485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749400 (
+	.A(n_11336),
+	.B(brqrv_top_iccm_instr_wdata[18]),
+	.Y(n_25484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749401 (
+	.A(n_30527),
+	.B(n_32838),
+	.Y(n_25483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749402 (
+	.A(brqrv_top_brqrv_exu_n_910),
+	.B_N(n_31622),
+	.Y(n_25482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749403 (
+	.A(n_30529),
+	.B(n_32839),
+	.Y(n_25066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749404 (
+	.A(brqrv_top_brqrv_exu_n_894),
+	.B_N(n_31636),
+	.Y(n_25481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749405 (
+	.A(n_4913),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_addr[159]),
+	.Y(n_25480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749406 (
+	.A(brqrv_top_brqrv_dbg_sb_state[0]),
+	.B(brqrv_top_brqrv_dbg_sb_state[2]),
+	.Y(n_25479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749407 (
+	.A(brqrv_top_brqrv_dma_ctrl_RspPtr[1]),
+	.B(brqrv_top_brqrv_dma_ctrl_RspPtr[2]),
+	.Y(n_25478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749408 (
+	.A(brqrv_top_brqrv_exu_n_909),
+	.B_N(n_31623),
+	.Y(n_25477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749409 (
+	.A(brqrv_top_brqrv_dec_tlu_ebreak_to_debug_mode_r_d1),
+	.B(brqrv_top_brqrv_dec_tlu_debug_halt_req),
+	.Y(n_25476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749410 (
+	.A(n_30688),
+	.B(n_30519),
+	.Y(brqrv_top_brqrv_dec_decode_d_d[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749411 (
+	.A(brqrv_top_brqrv_exu_n_908),
+	.B_N(n_31624),
+	.Y(n_25475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749412 (
+	.A(n_11336),
+	.B(brqrv_top_iccm_instr_wdata[23]),
+	.Y(n_25474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749413 (
+	.A(brqrv_top_brqrv_exu_n_907),
+	.B_N(n_31625),
+	.Y(n_25473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749414 (
+	.A(n_4913),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_addr[158]),
+	.Y(n_25472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749415 (
+	.A(brqrv_top_brqrv_exu_n_906),
+	.B_N(n_31626),
+	.Y(n_25471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749416 (
+	.A(brqrv_top_brqrv_exu_n_891),
+	.B_N(n_31639),
+	.Y(n_25470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749417 (
+	.A(brqrv_top_brqrv_exu_n_904),
+	.B_N(n_31606),
+	.Y(n_25469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749418 (
+	.A(n_23310),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dual),
+	.Y(n_25468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749419 (
+	.A(n_11336),
+	.B(brqrv_top_iccm_instr_wdata[10]),
+	.Y(n_25467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749420 (
+	.A(n_24339),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dual),
+	.Y(n_25466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749421 (
+	.A(n_11336),
+	.B(brqrv_top_iccm_instr_wdata[29]),
+	.Y(n_25465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749422 (
+	.A(n_11336),
+	.B(brqrv_top_iccm_instr_wdata[24]),
+	.Y(n_25464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749423 (
+	.A(n_11336),
+	.B(brqrv_top_iccm_instr_wdata[25]),
+	.Y(n_25463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749424 (
+	.A(n_23309),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dual),
+	.Y(n_25462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749425 (
+	.A(n_11336),
+	.B(brqrv_top_iccm_instr_wdata[4]),
+	.Y(n_25461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749426 (
+	.A(brqrv_top_brqrv_exu_n_911),
+	.B_N(n_31621),
+	.Y(n_25460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749427 (
+	.A(n_11336),
+	.B(brqrv_top_iccm_instr_wdata[12]),
+	.Y(n_25459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749428 (
+	.A(n_11336),
+	.B(brqrv_top_iccm_instr_wdata[22]),
+	.Y(n_25458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749429 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_valid[1]),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_valid[2]),
+	.Y(n_25457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749430 (
+	.A(brqrv_top_brqrv_exu_n_890),
+	.B_N(n_31605),
+	.Y(n_25456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749431 (
+	.A(n_11336),
+	.B(brqrv_top_iccm_instr_wdata[26]),
+	.Y(n_25455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749432 (
+	.A(brqrv_top_brqrv_dma_ctrl_RspPtr[2]),
+	.B(n_24158),
+	.Y(n_25454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749433 (
+	.A(n_31118),
+	.B(n_31096),
+	.Y(n_25453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749434 (
+	.A(brqrv_top_brqrv_dma_ctrl_WrPtr[2]),
+	.B(n_24372),
+	.Y(n_25452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749435 (
+	.A(brqrv_top_dccm_rd_addr_hi[2]),
+	.B(brqrv_top_dccm_rd_addr_hi[3]),
+	.Y(n_25451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749436 (
+	.A(n_11336),
+	.B(brqrv_top_iccm_instr_wdata[27]),
+	.Y(n_25450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g749437 (
+	.A_N(n_31510),
+	.B(n_29915),
+	.Y(n_25449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749438 (
+	.A(brqrv_top_brqrv_ifu_ic_fetch_val_f[0]),
+	.B(n_24452),
+	.Y(n_25448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749440 (
+	.A(n_39170),
+	.B(n_24297),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_enable ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749442 (
+	.A(brqrv_top_dccm_rd_addr_hi[2]),
+	.B(n_24124),
+	.Y(n_25447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g749443 (
+	.A_N(n_32824),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[4]),
+	.Y(n_25446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749444 (
+	.A(brqrv_top_brqrv_lsu_stbuf_WrPtr[1]),
+	.B(n_24356),
+	.Y(n_25445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749445 (
+	.A(n_34949),
+	.B(n_24131),
+	.Y(n_25444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749446 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[29]),
+	.B(n_24134),
+	.Y(n_25443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749447 (
+	.A(n_33354),
+	.B(n_30519),
+	.Y(n_25442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749448 (
+	.A(n_31533),
+	.B(n_31290),
+	.Y(n_25441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749450 (
+	.A(n_32557),
+	.B_N(n_33406),
+	.Y(n_25439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749451 (
+	.A(n_32770),
+	.B(n_32773),
+	.Y(n_25438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749452 (
+	.A(n_24379),
+	.B(brqrv_top_brqrv_dbg_sb_state[0]),
+	.Y(n_25437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g749453 (
+	.A_N(n_31647),
+	.B(n_31646),
+	.Y(n_25436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749454 (
+	.A(n_32821),
+	.B(n_32820),
+	.Y(n_25064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749455 (
+	.A(n_32819),
+	.B(n_32818),
+	.Y(n_25063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g749456 (
+	.A_N(n_32847),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[13]),
+	.Y(n_25435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749457 (
+	.A(n_24368),
+	.B(n_24134),
+	.Y(n_25434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749458 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt),
+	.B(brqrv_top_brqrv_exu_flush_final),
+	.Y(n_25433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749459 (
+	.A(brqrv_top_brqrv_dec_tlu_flush_lower_wb),
+	.B(brqrv_top_brqrv_dec_tlu_flush_lower_r),
+	.Y(n_25432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749460 (
+	.A(brqrv_top_brqrv_dec_tlu_debug_mode),
+	.B(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.Y(n_25431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g749461 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_in_dccm_d),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_in_dccm_d),
+	.X(brqrv_top_brqrv_lsu_addr_in_dccm_d), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749462 (
+	.A(n_33351),
+	.B(n_31486),
+	.Y(n_25430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749463 (
+	.A(n_32825),
+	.B(n_32824),
+	.Y(n_25062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749464 (
+	.A(n_32823),
+	.B(n_32822),
+	.Y(n_25061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g749465 (
+	.A(n_24369),
+	.B(brqrv_top_brqrv_dbg_dbg_state[3]),
+	.X(n_25429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749466 (
+	.A(brqrv_top_brqrv_dbg_sb_state[3]),
+	.B(brqrv_top_brqrv_dbg_sb_state[2]),
+	.Y(n_25428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749468 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[1]),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[0]),
+	.Y(n_25426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749469 (
+	.A(n_32221),
+	.B(n_32828),
+	.Y(n_25424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749470 (
+	.A(n_32222),
+	.B(n_32829),
+	.Y(n_25423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749471 (
+	.A(n_29918),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_7547),
+	.Y(n_25421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749472 (
+	.A(brqrv_top_brqrv_dbg_dbg_state[3]),
+	.B(n_24369),
+	.Y(n_25419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749473 (
+	.A(brqrv_top_brqrv_dec_tlu_flush_lower_wb),
+	.B_N(brqrv_top_brqrv_dec_decode_x_t[8]),
+	.Y(brqrv_top_brqrv_dec_decode_x_t_in[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749474 (
+	.A(brqrv_top_brqrv_dec_tlu_flush_lower_wb),
+	.B_N(brqrv_top_brqrv_dec_decode_x_t[10]),
+	.Y(brqrv_top_brqrv_dec_decode_x_t_in[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749475 (
+	.A(brqrv_top_brqrv_dec_tlu_flush_lower_wb),
+	.B_N(brqrv_top_brqrv_dec_decode_x_t[9]),
+	.Y(brqrv_top_brqrv_dec_decode_x_t_in[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749476 (
+	.A(brqrv_top_brqrv_dec_tlu_flush_lower_wb),
+	.B_N(brqrv_top_brqrv_dec_decode_x_t[11]),
+	.Y(brqrv_top_brqrv_dec_decode_x_t_in[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749477 (
+	.A(brqrv_top_brqrv_lsu_stbuf_n_1476),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_r[6]),
+	.Y(n_25418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749478 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_r[9]),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_r[6]),
+	.Y(n_25417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749479 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_in_pic_region_d),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_in_dccm_region_d),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addr_external_d), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749480 (
+	.A(n_24368),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[30]),
+	.Y(n_25416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749481 (
+	.A(n_31532),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_lsu_nonblock_load_valid_r),
+	.Y(n_25415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749482 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_in_pic_d),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_in_pic_d),
+	.Y(n_25414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749483 (
+	.A(n_24150),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_m[6]),
+	.Y(n_25413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749484 (
+	.A(n_22518),
+	.B(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.Y(n_25411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g749485 (
+	.A(n_32216),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_timer[0]),
+	.X(n_25410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g749486 (
+	.A_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteen[1]),
+	.B(n_31449),
+	.Y(n_25409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749487 (
+	.A(n_30447),
+	.B(n_30465),
+	.Y(n_25408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749488 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteen[3]),
+	.B_N(n_32500),
+	.Y(n_25407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749489 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_nomerge),
+	.B_N(n_31498),
+	.Y(n_25406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749490 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[1]),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_m[6]),
+	.Y(n_25405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749491 (
+	.A(brqrv_top_brqrv_exu_flush_final),
+	.B_N(n_32813),
+	.Y(n_25404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749492 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[0]),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[1]),
+	.Y(n_25402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749493 (
+	.A(brqrv_top_brqrv_exu_i0_rs2_d[1]),
+	.B(n_7218),
+	.Y(n_25400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749494 (
+	.A(n_31500),
+	.B(n_32816),
+	.Y(n_25399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749495 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_end_addr_r[0]),
+	.Y(n_25398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749496 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_end_addr_r[1]),
+	.Y(n_25397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749497 (
+	.A(n_24359),
+	.B(brqrv_top_brqrv_dec_tlu_n_6062),
+	.Y(n_25395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749498 (
+	.A(n_33406),
+	.B(n_32557),
+	.Y(n_25394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g749499 (
+	.A_N(n_33351),
+	.B(n_31075),
+	.Y(n_25393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749500 (
+	.A(n_24363),
+	.B(brqrv_top_brqrv_dbg_execute_command),
+	.Y(n_25392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749501 (
+	.A(brqrv_top_brqrv_exu_i0_rs2_d[2]),
+	.B(n_7218),
+	.Y(n_25391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749502 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_wr_en),
+	.B(brqrv_top_brqrv_lsu_ldst_dual_r),
+	.Y(n_25389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749503 (
+	.A(brqrv_top_brqrv_dbg_cmd_valid),
+	.B(brqrv_top_brqrv_dbg_cmd_size[0]),
+	.Y(n_25388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749504 (
+	.A(brqrv_top_brqrv_dec_decode_i0_pipe_en[2]),
+	.B(brqrv_top_brqrv_dec_dec_tlu_dec_clk_override),
+	.Y(n_25387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749505 (
+	.A(brqrv_top_brqrv_dbg_cmd_valid),
+	.B(brqrv_top_brqrv_dbg_cmd_size[1]),
+	.Y(n_25386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g749506 (
+	.A(n_31289),
+	.B(n_24061),
+	.X(n_25385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749507 (
+	.A(brqrv_top_brqrv_dec_tlu_exc_or_int_valid_r),
+	.B(n_30465),
+	.Y(n_25383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749508 (
+	.A(n_30518),
+	.B(n_24141),
+	.Y(brqrv_top_brqrv_dec_data_en[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749509 (
+	.A(n_31452),
+	.B(n_31500),
+	.Y(n_25381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749510 (
+	.A(n_33349),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_r[6]),
+	.Y(n_25380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749511 (
+	.A(n_31521),
+	.B(n_31467),
+	.Y(n_25378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749512 (
+	.A(n_33310),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_r[6]),
+	.Y(n_25377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g749513 (
+	.A_N(n_30773),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_r[6]),
+	.Y(n_25376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749514 (
+	.A(n_33315),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_r[6]),
+	.Y(n_25375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g749515 (
+	.A_N(n_30765),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_r[6]),
+	.Y(n_25374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749516 (
+	.A(n_33311),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_r[6]),
+	.Y(n_25373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749517 (
+	.A(n_31530),
+	.B(n_31467),
+	.Y(n_25371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749518 (
+	.A(n_33313),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_r[6]),
+	.Y(n_25370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749519 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_r[6]),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_r[8]),
+	.Y(n_25369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749520 (
+	.A(n_24123),
+	.B(n_31500),
+	.Y(n_25368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749521 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3311),
+	.B(n_31445),
+	.Y(n_25367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749522 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3227),
+	.B(n_32503),
+	.Y(n_25365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749523 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3215),
+	.B(n_32443),
+	.Y(n_25363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749524 (
+	.A(n_31732),
+	.B(n_30519),
+	.Y(brqrv_top_brqrv_dec_i0_predict_p_d[37]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749525 (
+	.A(brqrv_top_brqrv_exu_i0_rs2_d[3]),
+	.B(n_7218),
+	.Y(n_25360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749526 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_en[3]),
+	.B(n_31496),
+	.Y(n_25358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749527 (
+	.A(n_39314),
+	.B(n_31528),
+	.Y(n_25356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g749528 (
+	.A(brqrv_top_brqrv_dec_decode_d_t[5]),
+	.B(brqrv_top_brqrv_dec_dec_csr_wen_unq_d),
+	.X(brqrv_top_brqrv_dec_decode_d_d[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749529 (
+	.A(n_7218),
+	.B(n_32210),
+	.Y(n_25354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749530 (
+	.A(n_31520),
+	.B(n_31518),
+	.Y(n_25352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g749531 (
+	.A(n_32606),
+	.B_N(\brqrv_top_mem_iccm.iccm_n_1379 ),
+	.Y(n_25350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749532 (
+	.A(\brqrv_top_mem_iccm.iccm_n_1379 ),
+	.B(n_32606),
+	.Y(n_25348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g749533 (
+	.A(brqrv_top_brqrv_dec_dec_pause_state),
+	.B(n_31289),
+	.Y(n_25347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g749534 (
+	.A(brqrv_top_brqrv_lsu_stbuf_RdPtr[0]),
+	.B(brqrv_top_brqrv_lsu_stbuf_RdPtr[1]),
+	.Y(n_25345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g749535 (
+	.A(brqrv_top_brqrv_lsu_stbuf_RdPtr[0]),
+	.B(brqrv_top_brqrv_lsu_stbuf_RdPtr[1]),
+	.X(n_25344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g749536 (
+	.A(brqrv_top_brqrv_lsu_stbuf_RdPtr[1]),
+	.B(n_24132),
+	.Y(n_25343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g749537 (
+	.A(n_24132),
+	.B(brqrv_top_brqrv_lsu_stbuf_RdPtr[1]),
+	.X(n_25342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749538 (
+	.A(n_4746),
+	.B(n_33419),
+	.Y(n_25058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749539 (
+	.A(n_4754),
+	.B(n_33424),
+	.Y(n_25057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749540 (
+	.A(n_4754),
+	.B(n_33425),
+	.Y(n_25056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749541 (
+	.A(n_4741),
+	.B(n_33432),
+	.Y(n_25055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749542 (
+	.A(n_4734),
+	.B(n_33432),
+	.Y(n_25054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749543 (
+	.A(n_4755),
+	.B(n_33434),
+	.Y(n_25053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749544 (
+	.A(n_4754),
+	.B(n_33433),
+	.Y(n_25052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749545 (
+	.A(n_4754),
+	.B(n_33434),
+	.Y(n_25051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749546 (
+	.A(n_4732),
+	.B(n_33430),
+	.Y(n_25050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749547 (
+	.A(n_4757),
+	.B(n_33432),
+	.Y(n_25049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749548 (
+	.A(n_4745),
+	.B(n_33430),
+	.Y(n_25048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749549 (
+	.A(n_4745),
+	.B(n_33408),
+	.Y(n_25047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749550 (
+	.A(n_4738),
+	.B(n_33430),
+	.Y(n_25046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749551 (
+	.A(n_4738),
+	.B(n_33410),
+	.Y(n_25045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749552 (
+	.A(n_4755),
+	.B(n_33416),
+	.Y(n_25044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749553 (
+	.A(n_4754),
+	.B(n_33432),
+	.Y(n_25043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749554 (
+	.A(n_4742),
+	.B(n_33411),
+	.Y(n_25042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749555 (
+	.A(n_4733),
+	.B(n_33420),
+	.Y(n_25041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749556 (
+	.A(n_4753),
+	.B(n_33430),
+	.Y(n_25040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749557 (
+	.A(n_4745),
+	.B(n_33413),
+	.Y(n_25039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749558 (
+	.A(n_4745),
+	.B(n_33411),
+	.Y(n_25038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749559 (
+	.A(n_4745),
+	.B(n_33416),
+	.Y(n_25037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749560 (
+	.A(n_4753),
+	.B(n_33434),
+	.Y(n_25036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749561 (
+	.A(n_4739),
+	.B(n_33423),
+	.Y(n_25035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749562 (
+	.A(n_4745),
+	.B(n_33417),
+	.Y(n_25034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749563 (
+	.A(n_4741),
+	.B(n_33426),
+	.Y(n_25033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749564 (
+	.A(n_4741),
+	.B(n_33409),
+	.Y(n_25032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749565 (
+	.A(n_4757),
+	.B(n_33430),
+	.Y(n_25031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749566 (
+	.A(n_4745),
+	.B(n_33421),
+	.Y(n_25030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749567 (
+	.A(n_4745),
+	.B(n_33419),
+	.Y(n_25029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749568 (
+	.A(n_4745),
+	.B(n_33420),
+	.Y(n_25028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749569 (
+	.A(n_4740),
+	.B(n_33434),
+	.Y(n_25027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749570 (
+	.A(n_4745),
+	.B(n_33422),
+	.Y(n_25026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749571 (
+	.A(n_4730),
+	.B(n_33413),
+	.Y(n_25025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749572 (
+	.A(n_4733),
+	.B(n_33421),
+	.Y(n_25024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749573 (
+	.A(n_4732),
+	.B(n_33431),
+	.Y(n_25023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749574 (
+	.A(n_4754),
+	.B(n_33431),
+	.Y(n_25022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749575 (
+	.A(n_4735),
+	.B(n_33408),
+	.Y(n_25021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749576 (
+	.A(n_4745),
+	.B(n_33423),
+	.Y(n_25020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749577 (
+	.A(n_4745),
+	.B(n_33424),
+	.Y(n_25019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749578 (
+	.A(n_4738),
+	.B(n_33433),
+	.Y(n_25018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749579 (
+	.A(n_4745),
+	.B(n_33425),
+	.Y(n_25017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749580 (
+	.A(n_4745),
+	.B(n_33426),
+	.Y(n_25016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749581 (
+	.A(n_4753),
+	.B(n_33433),
+	.Y(n_25015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749582 (
+	.A(n_4732),
+	.B(n_33434),
+	.Y(n_25014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749583 (
+	.A(n_2559),
+	.B(n_33419),
+	.Y(n_25013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749584 (
+	.A(n_4745),
+	.B(n_33432),
+	.Y(n_25012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749585 (
+	.A(n_4744),
+	.B(n_33411),
+	.Y(n_25011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749586 (
+	.A(n_4744),
+	.B(n_33421),
+	.Y(n_25010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749587 (
+	.A(n_4757),
+	.B(n_33423),
+	.Y(n_25009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749588 (
+	.A(n_4738),
+	.B(n_33409),
+	.Y(n_25008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749589 (
+	.A(n_4745),
+	.B(n_33433),
+	.Y(n_25007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749590 (
+	.A(n_4737),
+	.B(n_33409),
+	.Y(n_25006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749591 (
+	.A(n_4733),
+	.B(n_33409),
+	.Y(n_25005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749592 (
+	.A(n_4744),
+	.B(n_33422),
+	.Y(n_25004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749593 (
+	.A(n_2550),
+	.B(n_33426),
+	.Y(n_25003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749594 (
+	.A(n_4733),
+	.B(n_33431),
+	.Y(n_25002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749595 (
+	.A(n_2550),
+	.B(n_33424),
+	.Y(n_25001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749596 (
+	.A(n_4738),
+	.B(n_33411),
+	.Y(n_25000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749597 (
+	.A(n_4746),
+	.B(n_33410),
+	.Y(n_24999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749598 (
+	.A(n_4757),
+	.B(n_33408),
+	.Y(n_24998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749599 (
+	.A(n_4732),
+	.B(n_33420),
+	.Y(n_24997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749600 (
+	.A(n_2559),
+	.B(n_33408),
+	.Y(n_24996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749601 (
+	.A(n_4746),
+	.B(n_33408),
+	.Y(n_24995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749602 (
+	.A(n_4750),
+	.B(n_33423),
+	.Y(n_24994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749603 (
+	.A(n_4748),
+	.B(n_33416),
+	.Y(n_24993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749604 (
+	.A(n_2559),
+	.B(n_33426),
+	.Y(n_24992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749605 (
+	.A(n_4746),
+	.B(n_33409),
+	.Y(n_24991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749606 (
+	.A(n_4744),
+	.B(n_33433),
+	.Y(n_24990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749607 (
+	.A(n_4731),
+	.B(n_33422),
+	.Y(n_24989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749608 (
+	.A(n_4732),
+	.B(n_33413),
+	.Y(n_24988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749609 (
+	.A(n_4746),
+	.B(n_33411),
+	.Y(n_24987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749610 (
+	.A(n_4746),
+	.B(n_33413),
+	.Y(n_24986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749611 (
+	.A(n_4731),
+	.B(n_33413),
+	.Y(n_24985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749612 (
+	.A(n_4740),
+	.B(n_33422),
+	.Y(n_24984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749613 (
+	.A(n_4746),
+	.B(n_33417),
+	.Y(n_24983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749614 (
+	.A(n_2551),
+	.B(n_33411),
+	.Y(n_24982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749615 (
+	.A(n_4746),
+	.B(n_33420),
+	.Y(n_24981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749616 (
+	.A(n_4754),
+	.B(n_33426),
+	.Y(n_24980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749617 (
+	.A(n_4738),
+	.B(n_33408),
+	.Y(n_24979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749618 (
+	.A(n_4750),
+	.B(n_33419),
+	.Y(n_24978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749619 (
+	.A(n_4748),
+	.B(n_33410),
+	.Y(n_24977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749620 (
+	.A(n_4746),
+	.B(n_33422),
+	.Y(n_24976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749621 (
+	.A(n_4739),
+	.B(n_33426),
+	.Y(n_24975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749622 (
+	.A(n_4746),
+	.B(n_33423),
+	.Y(n_24974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749623 (
+	.A(n_4746),
+	.B(n_33424),
+	.Y(n_24973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749624 (
+	.A(n_4741),
+	.B(n_33431),
+	.Y(n_24972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749625 (
+	.A(n_4739),
+	.B(n_33430),
+	.Y(n_24971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749626 (
+	.A(n_4746),
+	.B(n_33430),
+	.Y(n_24970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749627 (
+	.A(n_4746),
+	.B(n_33425),
+	.Y(n_24969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749628 (
+	.A(n_4742),
+	.B(n_33413),
+	.Y(n_24968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749629 (
+	.A(n_4739),
+	.B(n_33425),
+	.Y(n_24967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749630 (
+	.A(n_4741),
+	.B(n_33420),
+	.Y(n_24966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749631 (
+	.A(n_2559),
+	.B(n_33420),
+	.Y(n_24965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749632 (
+	.A(n_4739),
+	.B(n_33422),
+	.Y(n_24964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749633 (
+	.A(n_4751),
+	.B(n_33419),
+	.Y(n_24963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749634 (
+	.A(n_2551),
+	.B(n_33425),
+	.Y(n_24962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749635 (
+	.A(n_4756),
+	.B(n_33408),
+	.Y(n_24961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749636 (
+	.A(n_4746),
+	.B(n_33433),
+	.Y(n_24960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749637 (
+	.A(n_4746),
+	.B(n_33434),
+	.Y(n_24959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749638 (
+	.A(n_4756),
+	.B(n_33416),
+	.Y(n_24958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749639 (
+	.A(n_4756),
+	.B(n_33409),
+	.Y(n_24957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749640 (
+	.A(n_4755),
+	.B(n_33411),
+	.Y(n_24956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749641 (
+	.A(n_4756),
+	.B(n_33410),
+	.Y(n_24955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749642 (
+	.A(n_4733),
+	.B(n_33410),
+	.Y(n_24954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749643 (
+	.A(n_4738),
+	.B(n_33422),
+	.Y(n_24953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749644 (
+	.A(n_4756),
+	.B(n_33411),
+	.Y(n_24952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749645 (
+	.A(n_4756),
+	.B(n_33413),
+	.Y(n_24951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749646 (
+	.A(n_4754),
+	.B(n_33420),
+	.Y(n_24950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749647 (
+	.A(n_4756),
+	.B(n_33417),
+	.Y(n_24949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749648 (
+	.A(n_4754),
+	.B(n_33410),
+	.Y(n_24948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749649 (
+	.A(n_4744),
+	.B(n_33426),
+	.Y(n_24947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749650 (
+	.A(n_4756),
+	.B(n_33420),
+	.Y(n_24946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749651 (
+	.A(n_4741),
+	.B(n_33430),
+	.Y(n_24945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749652 (
+	.A(n_4756),
+	.B(n_33422),
+	.Y(n_24944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749653 (
+	.A(n_2551),
+	.B(n_33421),
+	.Y(n_24943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749654 (
+	.A(n_4757),
+	.B(n_33424),
+	.Y(n_24942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749655 (
+	.A(n_4756),
+	.B(n_33423),
+	.Y(n_24941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749656 (
+	.A(n_4754),
+	.B(n_33419),
+	.Y(n_24940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749657 (
+	.A(n_4740),
+	.B(n_33424),
+	.Y(n_24939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749658 (
+	.A(n_4735),
+	.B(n_33423),
+	.Y(n_24938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749659 (
+	.A(n_4756),
+	.B(n_33430),
+	.Y(n_24937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749660 (
+	.A(n_4757),
+	.B(n_33416),
+	.Y(n_24936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749661 (
+	.A(n_4735),
+	.B(n_33430),
+	.Y(n_24935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749662 (
+	.A(n_4735),
+	.B(n_33410),
+	.Y(n_24934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749663 (
+	.A(n_4748),
+	.B(n_33411),
+	.Y(n_24933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749664 (
+	.A(n_4756),
+	.B(n_33425),
+	.Y(n_24932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749665 (
+	.A(n_4743),
+	.B(n_33426),
+	.Y(n_24931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749666 (
+	.A(n_4756),
+	.B(n_33431),
+	.Y(n_24930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749667 (
+	.A(n_4739),
+	.B(n_33433),
+	.Y(n_24929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749668 (
+	.A(n_2551),
+	.B(n_33424),
+	.Y(n_24928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749669 (
+	.A(n_4756),
+	.B(n_33432),
+	.Y(n_24927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749670 (
+	.A(n_4756),
+	.B(n_33434),
+	.Y(n_24926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749671 (
+	.A(n_4756),
+	.B(n_33433),
+	.Y(n_24925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749672 (
+	.A(n_4740),
+	.B(n_33425),
+	.Y(n_24924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749673 (
+	.A(n_4733),
+	.B(n_33413),
+	.Y(n_24923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749674 (
+	.A(n_4749),
+	.B(n_33408),
+	.Y(n_24922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749675 (
+	.A(n_4738),
+	.B(n_33416),
+	.Y(n_24921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749676 (
+	.A(n_4739),
+	.B(n_33431),
+	.Y(n_24920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749677 (
+	.A(n_4749),
+	.B(n_33410),
+	.Y(n_24919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749678 (
+	.A(n_4730),
+	.B(n_33408),
+	.Y(n_24918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749679 (
+	.A(n_4754),
+	.B(n_33417),
+	.Y(n_24917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749680 (
+	.A(n_4733),
+	.B(n_33411),
+	.Y(n_24916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749681 (
+	.A(n_2559),
+	.B(n_33409),
+	.Y(n_24915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749682 (
+	.A(n_4739),
+	.B(n_33408),
+	.Y(n_24914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749683 (
+	.A(n_4737),
+	.B(n_33421),
+	.Y(n_24913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749684 (
+	.A(n_4742),
+	.B(n_33419),
+	.Y(n_24912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749685 (
+	.A(n_4749),
+	.B(n_33413),
+	.Y(n_24911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749686 (
+	.A(n_2559),
+	.B(n_33410),
+	.Y(n_24910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749687 (
+	.A(n_2559),
+	.B(n_33424),
+	.Y(n_24909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749688 (
+	.A(n_4748),
+	.B(n_33413),
+	.Y(n_24908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749689 (
+	.A(n_4733),
+	.B(n_33426),
+	.Y(n_24907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749690 (
+	.A(n_4757),
+	.B(n_33411),
+	.Y(n_24906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749691 (
+	.A(n_4749),
+	.B(n_33419),
+	.Y(n_24905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749692 (
+	.A(n_4732),
+	.B(n_33417),
+	.Y(n_24904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749693 (
+	.A(n_4749),
+	.B(n_33423),
+	.Y(n_24903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749694 (
+	.A(n_4730),
+	.B(n_33425),
+	.Y(n_24902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749695 (
+	.A(n_4749),
+	.B(n_33420),
+	.Y(n_24901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749696 (
+	.A(n_4749),
+	.B(n_33421),
+	.Y(n_24900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749697 (
+	.A(n_4734),
+	.B(n_33411),
+	.Y(n_24899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749698 (
+	.A(n_4749),
+	.B(n_33422),
+	.Y(n_24898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749699 (
+	.A(n_4743),
+	.B(n_33417),
+	.Y(n_24897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749700 (
+	.A(n_4741),
+	.B(n_33417),
+	.Y(n_24896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749701 (
+	.A(n_4753),
+	.B(n_33431),
+	.Y(n_24895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749702 (
+	.A(n_4741),
+	.B(n_33419),
+	.Y(n_24894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749703 (
+	.A(n_4738),
+	.B(n_33426),
+	.Y(n_24893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749704 (
+	.A(n_4749),
+	.B(n_33426),
+	.Y(n_24892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749705 (
+	.A(n_4736),
+	.B(n_33421),
+	.Y(n_24891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749706 (
+	.A(n_4737),
+	.B(n_33434),
+	.Y(n_24890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749707 (
+	.A(n_4753),
+	.B(n_33425),
+	.Y(n_24889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749708 (
+	.A(n_4753),
+	.B(n_33432),
+	.Y(n_24888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749709 (
+	.A(n_4749),
+	.B(n_33433),
+	.Y(n_24887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749710 (
+	.A(n_4741),
+	.B(n_33424),
+	.Y(n_24886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749711 (
+	.A(n_4737),
+	.B(n_33410),
+	.Y(n_24885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749712 (
+	.A(n_4736),
+	.B(n_33416),
+	.Y(n_24884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749713 (
+	.A(n_4742),
+	.B(n_33426),
+	.Y(n_24883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749714 (
+	.A(n_4747),
+	.B(n_33408),
+	.Y(n_24882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749715 (
+	.A(n_4748),
+	.B(n_33424),
+	.Y(n_24881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749716 (
+	.A(n_4753),
+	.B(n_33417),
+	.Y(n_24880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749717 (
+	.A(n_4747),
+	.B(n_33409),
+	.Y(n_24879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749718 (
+	.A(n_4735),
+	.B(n_33411),
+	.Y(n_24878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749719 (
+	.A(n_2551),
+	.B(n_33426),
+	.Y(n_24877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749720 (
+	.A(n_4751),
+	.B(n_33421),
+	.Y(n_24876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749721 (
+	.A(n_2559),
+	.B(n_33423),
+	.Y(n_24875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749722 (
+	.A(n_4732),
+	.B(n_33411),
+	.Y(n_24874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749723 (
+	.A(n_4753),
+	.B(n_33420),
+	.Y(n_24873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749724 (
+	.A(n_4757),
+	.B(n_33420),
+	.Y(n_24872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749725 (
+	.A(n_4748),
+	.B(n_33409),
+	.Y(n_24871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749726 (
+	.A(n_4747),
+	.B(n_33410),
+	.Y(n_24870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749727 (
+	.A(n_4733),
+	.B(n_33416),
+	.Y(n_24869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749728 (
+	.A(n_4735),
+	.B(n_33432),
+	.Y(n_24868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749729 (
+	.A(n_4732),
+	.B(n_33424),
+	.Y(n_24867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749730 (
+	.A(n_4741),
+	.B(n_33425),
+	.Y(n_24866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749731 (
+	.A(n_4747),
+	.B(n_33411),
+	.Y(n_24865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749732 (
+	.A(n_4739),
+	.B(n_33411),
+	.Y(n_24864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749733 (
+	.A(n_4750),
+	.B(n_33430),
+	.Y(n_24863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749734 (
+	.A(n_4747),
+	.B(n_33413),
+	.Y(n_24862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749735 (
+	.A(n_4737),
+	.B(n_33432),
+	.Y(n_24861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749736 (
+	.A(n_2550),
+	.B(n_33432),
+	.Y(n_24860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749737 (
+	.A(n_4736),
+	.B(n_33419),
+	.Y(n_24859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749738 (
+	.A(n_2559),
+	.B(n_33422),
+	.Y(n_24858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749739 (
+	.A(n_4741),
+	.B(n_33433),
+	.Y(n_24857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749740 (
+	.A(n_4736),
+	.B(n_33422),
+	.Y(n_24856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749741 (
+	.A(n_4751),
+	.B(n_33420),
+	.Y(n_24855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749742 (
+	.A(n_4740),
+	.B(n_33430),
+	.Y(n_24854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749743 (
+	.A(n_4747),
+	.B(n_33417),
+	.Y(n_24853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749744 (
+	.A(n_4748),
+	.B(n_33419),
+	.Y(n_24852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749745 (
+	.A(n_4736),
+	.B(n_33410),
+	.Y(n_24851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749746 (
+	.A(n_4752),
+	.B(n_33408),
+	.Y(n_24850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749747 (
+	.A(n_4755),
+	.B(n_33419),
+	.Y(n_24849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749748 (
+	.A(n_4733),
+	.B(n_33417),
+	.Y(n_24848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749749 (
+	.A(n_4751),
+	.B(n_33409),
+	.Y(n_24847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749750 (
+	.A(n_4748),
+	.B(n_33431),
+	.Y(n_24846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749751 (
+	.A(n_4734),
+	.B(n_33413),
+	.Y(n_24845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749752 (
+	.A(n_4731),
+	.B(n_33430),
+	.Y(n_24844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749753 (
+	.A(n_4754),
+	.B(n_33408),
+	.Y(n_24843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749754 (
+	.A(n_4743),
+	.B(n_33420),
+	.Y(n_24842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749755 (
+	.A(n_4755),
+	.B(n_33421),
+	.Y(n_24841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749756 (
+	.A(n_4750),
+	.B(n_33432),
+	.Y(n_24840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749757 (
+	.A(n_4751),
+	.B(n_33422),
+	.Y(n_24839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749758 (
+	.A(n_4748),
+	.B(n_33417),
+	.Y(n_24838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749759 (
+	.A(n_4755),
+	.B(n_33432),
+	.Y(n_24837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749760 (
+	.A(n_4735),
+	.B(n_33416),
+	.Y(n_24836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749761 (
+	.A(n_4734),
+	.B(n_33425),
+	.Y(n_24835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749762 (
+	.A(n_4755),
+	.B(n_33423),
+	.Y(n_24834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749763 (
+	.A(n_4748),
+	.B(n_33434),
+	.Y(n_24833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749764 (
+	.A(n_4734),
+	.B(n_33431),
+	.Y(n_24832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749765 (
+	.A(n_4739),
+	.B(n_33409),
+	.Y(n_24831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749766 (
+	.A(n_2559),
+	.B(n_33421),
+	.Y(n_24830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749767 (
+	.A(n_4750),
+	.B(n_33426),
+	.Y(n_24829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749768 (
+	.A(n_4734),
+	.B(n_33426),
+	.Y(n_24828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749769 (
+	.A(n_4734),
+	.B(n_33430),
+	.Y(n_24827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749770 (
+	.A(n_4755),
+	.B(n_33425),
+	.Y(n_24826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749771 (
+	.A(n_4752),
+	.B(n_33424),
+	.Y(n_24825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749772 (
+	.A(n_4751),
+	.B(n_33426),
+	.Y(n_24824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749773 (
+	.A(n_4738),
+	.B(n_33420),
+	.Y(n_24823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749774 (
+	.A(n_4744),
+	.B(n_33423),
+	.Y(n_24822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749775 (
+	.A(n_4730),
+	.B(n_33410),
+	.Y(n_24821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749776 (
+	.A(n_4741),
+	.B(n_33422),
+	.Y(n_24820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749777 (
+	.A(n_4739),
+	.B(n_33434),
+	.Y(n_24819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749778 (
+	.A(n_4752),
+	.B(n_33420),
+	.Y(n_24818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749779 (
+	.A(n_4753),
+	.B(n_33421),
+	.Y(n_24817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749780 (
+	.A(n_4736),
+	.B(n_33430),
+	.Y(n_24816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749781 (
+	.A(n_4742),
+	.B(n_33409),
+	.Y(n_24815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749782 (
+	.A(n_4757),
+	.B(n_33417),
+	.Y(n_24814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749783 (
+	.A(n_4730),
+	.B(n_33430),
+	.Y(n_24813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749784 (
+	.A(n_4730),
+	.B(n_33409),
+	.Y(n_24812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749785 (
+	.A(n_4737),
+	.B(n_33411),
+	.Y(n_24811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749786 (
+	.A(n_4737),
+	.B(n_33424),
+	.Y(n_24810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749787 (
+	.A(n_4730),
+	.B(n_33419),
+	.Y(n_24809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749788 (
+	.A(n_4757),
+	.B(n_33425),
+	.Y(n_24808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749789 (
+	.A(n_4751),
+	.B(n_33423),
+	.Y(n_24807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749790 (
+	.A(n_4750),
+	.B(n_33421),
+	.Y(n_24806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749791 (
+	.A(n_4757),
+	.B(n_33419),
+	.Y(n_24805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749792 (
+	.A(n_4748),
+	.B(n_33432),
+	.Y(n_24804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749793 (
+	.A(n_4750),
+	.B(n_33425),
+	.Y(n_24803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749794 (
+	.A(n_4734),
+	.B(n_33424),
+	.Y(n_24802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749795 (
+	.A(n_2550),
+	.B(n_33434),
+	.Y(n_24801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749796 (
+	.A(n_4746),
+	.B(n_33421),
+	.Y(n_24800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749797 (
+	.A(n_2550),
+	.B(n_33433),
+	.Y(n_24799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749798 (
+	.A(n_4743),
+	.B(n_33411),
+	.Y(n_24798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749799 (
+	.A(n_4750),
+	.B(n_33433),
+	.Y(n_24797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749800 (
+	.A(n_4737),
+	.B(n_33430),
+	.Y(n_24796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749801 (
+	.A(n_4739),
+	.B(n_33410),
+	.Y(n_24795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749802 (
+	.A(n_4730),
+	.B(n_33431),
+	.Y(n_24794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749803 (
+	.A(n_2551),
+	.B(n_33416),
+	.Y(n_24793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749804 (
+	.A(n_4750),
+	.B(n_33413),
+	.Y(n_24792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749805 (
+	.A(n_4736),
+	.B(n_33420),
+	.Y(n_24791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749806 (
+	.A(n_4750),
+	.B(n_33409),
+	.Y(n_24790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749807 (
+	.A(n_4742),
+	.B(n_33410),
+	.Y(n_24789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749808 (
+	.A(n_4757),
+	.B(n_33431),
+	.Y(n_24788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749809 (
+	.A(n_4743),
+	.B(n_33430),
+	.Y(n_24787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749810 (
+	.A(n_2551),
+	.B(n_33430),
+	.Y(n_24786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749811 (
+	.A(n_4738),
+	.B(n_33419),
+	.Y(n_24785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749812 (
+	.A(n_4751),
+	.B(n_33416),
+	.Y(n_24784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749813 (
+	.A(n_4740),
+	.B(n_33413),
+	.Y(n_24783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749814 (
+	.A(n_4742),
+	.B(n_33421),
+	.Y(n_24782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749815 (
+	.A(n_4744),
+	.B(n_33431),
+	.Y(n_24781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749816 (
+	.A(n_4751),
+	.B(n_33434),
+	.Y(n_24780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749817 (
+	.A(n_4737),
+	.B(n_33422),
+	.Y(n_24779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749818 (
+	.A(n_4753),
+	.B(n_33423),
+	.Y(n_24778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749819 (
+	.A(n_4750),
+	.B(n_33434),
+	.Y(n_24777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749820 (
+	.A(n_2551),
+	.B(n_33431),
+	.Y(n_24776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749821 (
+	.A(n_4741),
+	.B(n_33413),
+	.Y(n_24775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749822 (
+	.A(n_4740),
+	.B(n_33426),
+	.Y(n_24774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749823 (
+	.A(n_4751),
+	.B(n_33413),
+	.Y(n_24773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749824 (
+	.A(n_4733),
+	.B(n_33425),
+	.Y(n_24772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749825 (
+	.A(n_4753),
+	.B(n_33413),
+	.Y(n_24771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749826 (
+	.A(n_2551),
+	.B(n_33419),
+	.Y(n_24770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749827 (
+	.A(n_4748),
+	.B(n_33433),
+	.Y(n_24769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749828 (
+	.A(n_4742),
+	.B(n_33408),
+	.Y(n_24768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749829 (
+	.A(n_4741),
+	.B(n_33410),
+	.Y(n_24767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749830 (
+	.A(n_4743),
+	.B(n_33409),
+	.Y(n_24766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749831 (
+	.A(n_4737),
+	.B(n_33417),
+	.Y(n_24765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749832 (
+	.A(n_4742),
+	.B(n_33420),
+	.Y(n_24764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749833 (
+	.A(n_4753),
+	.B(n_33426),
+	.Y(n_24763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749834 (
+	.A(n_4743),
+	.B(n_33424),
+	.Y(n_24762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749835 (
+	.A(n_4740),
+	.B(n_33411),
+	.Y(n_24761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749836 (
+	.A(n_4738),
+	.B(n_33425),
+	.Y(n_24760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749837 (
+	.A(n_4732),
+	.B(n_33425),
+	.Y(n_24759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749838 (
+	.A(n_4752),
+	.B(n_33430),
+	.Y(n_24758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749839 (
+	.A(n_2551),
+	.B(n_33434),
+	.Y(n_24757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749840 (
+	.A(n_2551),
+	.B(n_33420),
+	.Y(n_24756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749841 (
+	.A(n_4733),
+	.B(n_33432),
+	.Y(n_24755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749842 (
+	.A(n_4747),
+	.B(n_33424),
+	.Y(n_24754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749843 (
+	.A(n_4740),
+	.B(n_33432),
+	.Y(n_24753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749844 (
+	.A(n_4741),
+	.B(n_33423),
+	.Y(n_24752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749845 (
+	.A(n_4740),
+	.B(n_33409),
+	.Y(n_24751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749846 (
+	.A(n_4750),
+	.B(n_33411),
+	.Y(n_24750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749847 (
+	.A(n_4742),
+	.B(n_33430),
+	.Y(n_24749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749848 (
+	.A(n_4742),
+	.B(n_33433),
+	.Y(n_24748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749849 (
+	.A(n_4757),
+	.B(n_33426),
+	.Y(n_24747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749850 (
+	.A(n_4749),
+	.B(n_33432),
+	.Y(n_24746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749851 (
+	.A(n_4741),
+	.B(n_33421),
+	.Y(n_24745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749852 (
+	.A(n_4757),
+	.B(n_33413),
+	.Y(n_24744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749853 (
+	.A(n_4735),
+	.B(n_33419),
+	.Y(n_24743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749854 (
+	.A(n_4731),
+	.B(n_33409),
+	.Y(n_24742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749855 (
+	.A(n_4740),
+	.B(n_33417),
+	.Y(n_24741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749856 (
+	.A(n_4757),
+	.B(n_33410),
+	.Y(n_24740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749857 (
+	.A(n_4746),
+	.B(n_33416),
+	.Y(n_24739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749858 (
+	.A(n_4743),
+	.B(n_33416),
+	.Y(n_24738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749859 (
+	.A(n_4752),
+	.B(n_33423),
+	.Y(n_24737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749860 (
+	.A(n_4757),
+	.B(n_33421),
+	.Y(n_24736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749861 (
+	.A(n_4734),
+	.B(n_33423),
+	.Y(n_24735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749862 (
+	.A(n_4738),
+	.B(n_33421),
+	.Y(n_24734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749863 (
+	.A(n_4730),
+	.B(n_33434),
+	.Y(n_24733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749864 (
+	.A(n_4731),
+	.B(n_33410),
+	.Y(n_24732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749865 (
+	.A(n_4731),
+	.B(n_33433),
+	.Y(n_24731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749866 (
+	.A(n_4752),
+	.B(n_33434),
+	.Y(n_24730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749867 (
+	.A(n_4732),
+	.B(n_33409),
+	.Y(n_24729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749868 (
+	.A(n_4732),
+	.B(n_33422),
+	.Y(n_24728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749869 (
+	.A(n_4742),
+	.B(n_33432),
+	.Y(n_24727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749870 (
+	.A(n_4730),
+	.B(n_33420),
+	.Y(n_24726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749871 (
+	.A(n_4753),
+	.B(n_33409),
+	.Y(n_24725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749872 (
+	.A(n_4731),
+	.B(n_33411),
+	.Y(n_24724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749873 (
+	.A(n_4744),
+	.B(n_33430),
+	.Y(n_24723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749874 (
+	.A(n_4751),
+	.B(n_33430),
+	.Y(n_24722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749875 (
+	.A(n_4742),
+	.B(n_33423),
+	.Y(n_24721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749876 (
+	.A(n_4752),
+	.B(n_33409),
+	.Y(n_24720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749877 (
+	.A(n_4736),
+	.B(n_33413),
+	.Y(n_24719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749878 (
+	.A(n_4731),
+	.B(n_33416),
+	.Y(n_24718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749879 (
+	.A(n_4743),
+	.B(n_33434),
+	.Y(n_24717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749880 (
+	.A(n_2559),
+	.B(n_33432),
+	.Y(n_24716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749881 (
+	.A(n_4751),
+	.B(n_33417),
+	.Y(n_24715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749882 (
+	.A(n_4737),
+	.B(n_33426),
+	.Y(n_24714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749883 (
+	.A(n_4731),
+	.B(n_33417),
+	.Y(n_24713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749884 (
+	.A(n_2559),
+	.B(n_33431),
+	.Y(n_24712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749885 (
+	.A(n_4751),
+	.B(n_33432),
+	.Y(n_24711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749886 (
+	.A(n_4753),
+	.B(n_33408),
+	.Y(n_24710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749887 (
+	.A(n_4732),
+	.B(n_33408),
+	.Y(n_24709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749888 (
+	.A(n_4731),
+	.B(n_33420),
+	.Y(n_24708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749889 (
+	.A(n_4734),
+	.B(n_33416),
+	.Y(n_24707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749890 (
+	.A(n_4737),
+	.B(n_33408),
+	.Y(n_24706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749891 (
+	.A(n_4743),
+	.B(n_33419),
+	.Y(n_24705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749892 (
+	.A(n_4742),
+	.B(n_33431),
+	.Y(n_24704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749893 (
+	.A(n_4731),
+	.B(n_33421),
+	.Y(n_24703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749894 (
+	.A(n_4732),
+	.B(n_33423),
+	.Y(n_24702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749895 (
+	.A(n_4735),
+	.B(n_33434),
+	.Y(n_24701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749896 (
+	.A(n_4735),
+	.B(n_33431),
+	.Y(n_24700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749897 (
+	.A(n_4743),
+	.B(n_33410),
+	.Y(n_24699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749898 (
+	.A(n_2550),
+	.B(n_33430),
+	.Y(n_24698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749899 (
+	.A(n_4747),
+	.B(n_33426),
+	.Y(n_24697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749900 (
+	.A(n_4731),
+	.B(n_33408),
+	.Y(n_24696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749901 (
+	.A(n_4731),
+	.B(n_33424),
+	.Y(n_24695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749902 (
+	.A(n_4735),
+	.B(n_33422),
+	.Y(n_24694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749903 (
+	.A(n_4750),
+	.B(n_33408),
+	.Y(n_24693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749904 (
+	.A(n_4733),
+	.B(n_33408),
+	.Y(n_24692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749905 (
+	.A(n_4745),
+	.B(n_33431),
+	.Y(n_24691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749906 (
+	.A(n_4756),
+	.B(n_33424),
+	.Y(n_24690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749907 (
+	.A(n_4740),
+	.B(n_33408),
+	.Y(n_24689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749908 (
+	.A(n_4755),
+	.B(n_33430),
+	.Y(n_24688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749909 (
+	.A(n_4731),
+	.B(n_33425),
+	.Y(n_24687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749910 (
+	.A(n_4747),
+	.B(n_33425),
+	.Y(n_24686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749911 (
+	.A(n_4730),
+	.B(n_33426),
+	.Y(n_24685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749912 (
+	.A(n_4731),
+	.B(n_33426),
+	.Y(n_24684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749913 (
+	.A(n_4742),
+	.B(n_33424),
+	.Y(n_24683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749914 (
+	.A(n_4736),
+	.B(n_33425),
+	.Y(n_24682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749915 (
+	.A(n_4730),
+	.B(n_33424),
+	.Y(n_24681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749916 (
+	.A(n_4744),
+	.B(n_33413),
+	.Y(n_24680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749917 (
+	.A(n_4743),
+	.B(n_33425),
+	.Y(n_24679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749918 (
+	.A(n_4757),
+	.B(n_33409),
+	.Y(n_24678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749919 (
+	.A(n_4747),
+	.B(n_33431),
+	.Y(n_24677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749920 (
+	.A(n_4742),
+	.B(n_33434),
+	.Y(n_24676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749921 (
+	.A(n_4734),
+	.B(n_33419),
+	.Y(n_24675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749922 (
+	.A(n_4755),
+	.B(n_33409),
+	.Y(n_24674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749923 (
+	.A(n_2559),
+	.B(n_33433),
+	.Y(n_24673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749924 (
+	.A(n_4748),
+	.B(n_33422),
+	.Y(n_24672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749925 (
+	.A(n_4731),
+	.B(n_33419),
+	.Y(n_24671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749926 (
+	.A(n_4739),
+	.B(n_33424),
+	.Y(n_24670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749927 (
+	.A(n_4744),
+	.B(n_33419),
+	.Y(n_24669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749928 (
+	.A(n_4748),
+	.B(n_33420),
+	.Y(n_24668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749929 (
+	.A(n_4739),
+	.B(n_33413),
+	.Y(n_24667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749930 (
+	.A(n_4733),
+	.B(n_33433),
+	.Y(n_24666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749931 (
+	.A(n_4735),
+	.B(n_33420),
+	.Y(n_24665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749932 (
+	.A(n_4732),
+	.B(n_33410),
+	.Y(n_24664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749933 (
+	.A(n_4739),
+	.B(n_33416),
+	.Y(n_24663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749934 (
+	.A(n_4752),
+	.B(n_33410),
+	.Y(n_24662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749935 (
+	.A(n_4752),
+	.B(n_33411),
+	.Y(n_24661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749936 (
+	.A(n_4740),
+	.B(n_33419),
+	.Y(n_24660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749937 (
+	.A(n_4752),
+	.B(n_33413),
+	.Y(n_24659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749938 (
+	.A(n_4739),
+	.B(n_33417),
+	.Y(n_24658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749939 (
+	.A(n_4753),
+	.B(n_33419),
+	.Y(n_24657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749940 (
+	.A(n_4741),
+	.B(n_33411),
+	.Y(n_24656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749941 (
+	.A(n_4752),
+	.B(n_33417),
+	.Y(n_24655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749942 (
+	.A(n_4744),
+	.B(n_33416),
+	.Y(n_24654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749943 (
+	.A(n_4740),
+	.B(n_33421),
+	.Y(n_24653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749944 (
+	.A(n_4739),
+	.B(n_33421),
+	.Y(n_24652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749945 (
+	.A(n_4730),
+	.B(n_33421),
+	.Y(n_24651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749946 (
+	.A(n_4752),
+	.B(n_33416),
+	.Y(n_24650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749947 (
+	.A(n_4748),
+	.B(n_33408),
+	.Y(n_24649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749948 (
+	.A(n_4737),
+	.B(n_33413),
+	.Y(n_24648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749949 (
+	.A(n_4734),
+	.B(n_33422),
+	.Y(n_24647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749950 (
+	.A(n_4737),
+	.B(n_33416),
+	.Y(n_24646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749951 (
+	.A(n_4731),
+	.B(n_33434),
+	.Y(n_24645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749952 (
+	.A(n_4743),
+	.B(n_33431),
+	.Y(n_24644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749953 (
+	.A(n_2550),
+	.B(n_33411),
+	.Y(n_24643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749954 (
+	.A(n_2550),
+	.B(n_33408),
+	.Y(n_24642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749955 (
+	.A(n_4733),
+	.B(n_33419),
+	.Y(n_24641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749956 (
+	.A(n_2551),
+	.B(n_33408),
+	.Y(n_24640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749957 (
+	.A(n_2550),
+	.B(n_33409),
+	.Y(n_24639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749958 (
+	.A(n_4743),
+	.B(n_33421),
+	.Y(n_24638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749959 (
+	.A(n_4752),
+	.B(n_33431),
+	.Y(n_24637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749960 (
+	.A(n_2551),
+	.B(n_33410),
+	.Y(n_24636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749961 (
+	.A(n_2559),
+	.B(n_33425),
+	.Y(n_24635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749962 (
+	.A(n_2550),
+	.B(n_33410),
+	.Y(n_24634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749963 (
+	.A(n_4747),
+	.B(n_33434),
+	.Y(n_24633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749964 (
+	.A(n_4757),
+	.B(n_33422),
+	.Y(n_24632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749965 (
+	.A(n_4740),
+	.B(n_33431),
+	.Y(n_24631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749966 (
+	.A(n_4733),
+	.B(n_33422),
+	.Y(n_24630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749967 (
+	.A(n_4730),
+	.B(n_33417),
+	.Y(n_24629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749968 (
+	.A(n_4738),
+	.B(n_33431),
+	.Y(n_24628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749969 (
+	.A(n_4740),
+	.B(n_33420),
+	.Y(n_24627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749970 (
+	.A(n_4747),
+	.B(n_33433),
+	.Y(n_24626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749971 (
+	.A(n_2550),
+	.B(n_33413),
+	.Y(n_24625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749972 (
+	.A(n_4734),
+	.B(n_33434),
+	.Y(n_24624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749973 (
+	.A(n_4755),
+	.B(n_33424),
+	.Y(n_24623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749974 (
+	.A(n_4755),
+	.B(n_33422),
+	.Y(n_24622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749975 (
+	.A(n_4755),
+	.B(n_33426),
+	.Y(n_24621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749976 (
+	.A(n_4744),
+	.B(n_33432),
+	.Y(n_24620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749977 (
+	.A(n_2550),
+	.B(n_33416),
+	.Y(n_24619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749978 (
+	.A(n_4732),
+	.B(n_33421),
+	.Y(n_24618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749979 (
+	.A(n_4737),
+	.B(n_33423),
+	.Y(n_24617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749980 (
+	.A(n_4755),
+	.B(n_33417),
+	.Y(n_24616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749981 (
+	.A(n_4739),
+	.B(n_33419),
+	.Y(n_24615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749982 (
+	.A(n_4736),
+	.B(n_33431),
+	.Y(n_24614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749983 (
+	.A(n_4736),
+	.B(n_33408),
+	.Y(n_24613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749984 (
+	.A(n_4753),
+	.B(n_33410),
+	.Y(n_24612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749985 (
+	.A(n_4751),
+	.B(n_33411),
+	.Y(n_24611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749986 (
+	.A(n_4747),
+	.B(n_33421),
+	.Y(n_24610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749987 (
+	.A(n_4730),
+	.B(n_33422),
+	.Y(n_24609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749988 (
+	.A(n_4736),
+	.B(n_33432),
+	.Y(n_24608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749989 (
+	.A(n_4733),
+	.B(n_33424),
+	.Y(n_24607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749990 (
+	.A(n_4743),
+	.B(n_33413),
+	.Y(n_24606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749991 (
+	.A(n_2551),
+	.B(n_33422),
+	.Y(n_24605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749992 (
+	.A(n_2551),
+	.B(n_33413),
+	.Y(n_24604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749993 (
+	.A(n_2550),
+	.B(n_33422),
+	.Y(n_24603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749994 (
+	.A(n_4736),
+	.B(n_33426),
+	.Y(n_24602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749995 (
+	.A(n_2550),
+	.B(n_33421),
+	.Y(n_24601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749996 (
+	.A(n_4741),
+	.B(n_33434),
+	.Y(n_24600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749997 (
+	.A(n_4736),
+	.B(n_33409),
+	.Y(n_24599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749998 (
+	.A(n_4755),
+	.B(n_33433),
+	.Y(n_24598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g749999 (
+	.A(n_4755),
+	.B(n_33431),
+	.Y(n_24597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750000 (
+	.A(n_4742),
+	.B(n_33417),
+	.Y(n_24596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750001 (
+	.A(n_4735),
+	.B(n_33409),
+	.Y(n_24595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750002 (
+	.A(n_4743),
+	.B(n_33423),
+	.Y(n_24594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750003 (
+	.A(n_4747),
+	.B(n_33422),
+	.Y(n_24593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750004 (
+	.A(n_4736),
+	.B(n_33433),
+	.Y(n_24592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750005 (
+	.A(n_2551),
+	.B(n_33417),
+	.Y(n_24591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750006 (
+	.A(n_4743),
+	.B(n_33433),
+	.Y(n_24590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750007 (
+	.A(n_4732),
+	.B(n_33416),
+	.Y(n_24589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750008 (
+	.A(n_4755),
+	.B(n_33410),
+	.Y(n_24588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750009 (
+	.A(n_4743),
+	.B(n_33422),
+	.Y(n_24587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750010 (
+	.A(n_4753),
+	.B(n_33416),
+	.Y(n_24586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750011 (
+	.A(n_2551),
+	.B(n_33409),
+	.Y(n_24585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750012 (
+	.A(n_2550),
+	.B(n_33431),
+	.Y(n_24584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750013 (
+	.A(n_4738),
+	.B(n_33417),
+	.Y(n_24583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750014 (
+	.A(n_4735),
+	.B(n_33413),
+	.Y(n_24582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750015 (
+	.A(n_4747),
+	.B(n_33430),
+	.Y(n_24581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750016 (
+	.A(n_4748),
+	.B(n_33426),
+	.Y(n_24580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750017 (
+	.A(n_4736),
+	.B(n_33423),
+	.Y(n_24579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750018 (
+	.A(n_2550),
+	.B(n_33425),
+	.Y(n_24578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750019 (
+	.A(n_4736),
+	.B(n_33424),
+	.Y(n_24577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750020 (
+	.A(n_4748),
+	.B(n_33423),
+	.Y(n_24576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750021 (
+	.A(n_4731),
+	.B(n_33423),
+	.Y(n_24575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750022 (
+	.A(n_4751),
+	.B(n_33431),
+	.Y(n_24574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750023 (
+	.A(n_4744),
+	.B(n_33420),
+	.Y(n_24573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750024 (
+	.A(n_4754),
+	.B(n_33430),
+	.Y(n_24572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750025 (
+	.A(n_4744),
+	.B(n_33417),
+	.Y(n_24571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750026 (
+	.A(n_4748),
+	.B(n_33425),
+	.Y(n_24570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750027 (
+	.A(n_4757),
+	.B(n_33434),
+	.Y(n_24569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750028 (
+	.A(n_4753),
+	.B(n_33424),
+	.Y(n_24568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750029 (
+	.A(n_4730),
+	.B(n_33423),
+	.Y(n_24567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750030 (
+	.A(n_4734),
+	.B(n_33409),
+	.Y(n_24566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750031 (
+	.A(n_4751),
+	.B(n_33424),
+	.Y(n_24565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750032 (
+	.A(n_4735),
+	.B(n_33424),
+	.Y(n_24564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750033 (
+	.A(n_4730),
+	.B(n_33433),
+	.Y(n_24563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750034 (
+	.A(n_4731),
+	.B(n_33431),
+	.Y(n_24562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750035 (
+	.A(n_4742),
+	.B(n_33422),
+	.Y(n_24561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750036 (
+	.A(n_4741),
+	.B(n_33416),
+	.Y(n_24560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750037 (
+	.A(n_4750),
+	.B(n_33422),
+	.Y(n_24559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750038 (
+	.A(n_4744),
+	.B(n_33424),
+	.Y(n_24558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750039 (
+	.A(n_4742),
+	.B(n_33416),
+	.Y(n_24557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750040 (
+	.A(n_2550),
+	.B(n_33423),
+	.Y(n_24556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750041 (
+	.A(n_4751),
+	.B(n_33425),
+	.Y(n_24555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750042 (
+	.A(n_2559),
+	.B(n_33434),
+	.Y(n_24554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750043 (
+	.A(n_4735),
+	.B(n_33433),
+	.Y(n_24553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750044 (
+	.A(n_4745),
+	.B(n_33434),
+	.Y(n_24552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750045 (
+	.A(n_4733),
+	.B(n_33434),
+	.Y(n_24551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750046 (
+	.A(n_4739),
+	.B(n_33432),
+	.Y(n_24550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750047 (
+	.A(n_4737),
+	.B(n_33431),
+	.Y(n_24549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750048 (
+	.A(n_4732),
+	.B(n_33419),
+	.Y(n_24548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750049 (
+	.A(n_4752),
+	.B(n_33426),
+	.Y(n_24547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750050 (
+	.A(n_4750),
+	.B(n_33420),
+	.Y(n_24546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750051 (
+	.A(n_4756),
+	.B(n_33426),
+	.Y(n_24545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750052 (
+	.A(n_4738),
+	.B(n_33413),
+	.Y(n_24544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750053 (
+	.A(n_4755),
+	.B(n_33408),
+	.Y(n_24543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750054 (
+	.A(n_4733),
+	.B(n_33430),
+	.Y(n_24542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750055 (
+	.A(n_4756),
+	.B(n_33419),
+	.Y(n_24541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750056 (
+	.A(n_4736),
+	.B(n_33417),
+	.Y(n_24540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750057 (
+	.A(n_4731),
+	.B(n_33432),
+	.Y(n_24539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750058 (
+	.A(n_2550),
+	.B(n_33419),
+	.Y(n_24538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750059 (
+	.A(n_4748),
+	.B(n_33421),
+	.Y(n_24537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750060 (
+	.A(n_4750),
+	.B(n_33431),
+	.Y(n_24536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750061 (
+	.A(n_4753),
+	.B(n_33411),
+	.Y(n_24535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750062 (
+	.A(n_4735),
+	.B(n_33417),
+	.Y(n_24534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750063 (
+	.A(n_2559),
+	.B(n_33417),
+	.Y(n_24533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750064 (
+	.A(n_4750),
+	.B(n_33410),
+	.Y(n_24532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750065 (
+	.A(n_2559),
+	.B(n_33430),
+	.Y(n_24531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750066 (
+	.A(n_2551),
+	.B(n_33432),
+	.Y(n_24530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750067 (
+	.A(n_4748),
+	.B(n_33430),
+	.Y(n_24529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750068 (
+	.A(n_4749),
+	.B(n_33416),
+	.Y(n_24528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750069 (
+	.A(n_4738),
+	.B(n_33434),
+	.Y(n_24527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750070 (
+	.A(n_4734),
+	.B(n_33433),
+	.Y(n_24526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750071 (
+	.A(n_2025),
+	.B(brqrv_top_brqrv_dec_dec_csr_wrdata_r[0]),
+	.Y(n_25060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750072 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[2]),
+	.B(n_2025),
+	.Y(n_25059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750073 (
+	.A(brqrv_top_brqrv_dbg_dmcontrol_reg[1]),
+	.Y(n_24525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750074 (
+	.A(n_32445),
+	.Y(n_24524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750075 (
+	.A(brqrv_top_brqrv_ifu_aln_q1pc[26]),
+	.Y(n_24523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750076 (
+	.A(n_32344),
+	.Y(brqrv_top_brqrv_dec_tlu_icache_wr_valid), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750078 (
+	.A(n_31847),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750079 (
+	.A(brqrv_top_brqrv_ifu_aln_q1pc[24]),
+	.Y(n_24521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750080 (
+	.A(brqrv_top_brqrv_dec_decode_csr_rddata_x[2]),
+	.Y(n_24520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750081 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [6]),
+	.Y(n_24519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750082 (
+	.A(n_31133),
+	.Y(n_24518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750083 (
+	.A(n_33868),
+	.Y(n_24517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750084 (
+	.A(n_31845),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750085 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [18]),
+	.Y(n_23814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750086 (
+	.A(n_31961),
+	.Y(n_24515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750087 (
+	.A(n_34038),
+	.Y(n_24514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750088 (
+	.A(brqrv_top_brqrv_ifu_aln_q1pc[23]),
+	.Y(n_24513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750089 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [4]),
+	.Y(n_24512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750090 (
+	.A(n_32446),
+	.Y(n_24511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750091 (
+	.A(n_32669),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750092 (
+	.A(n_33867),
+	.Y(n_24510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750093 (
+	.A(n_31964),
+	.Y(n_24509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750096 (
+	.A(n_31846),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750097 (
+	.A(n_32671),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750098 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [19]),
+	.Y(n_23812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750099 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[21]),
+	.Y(n_24505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750100 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[19]),
+	.Y(n_24504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750101 (
+	.A(la_oenb[64]),
+	.Y(n_24503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750102 (
+	.A(brqrv_top_brqrv_dec_decode_r_t[12]),
+	.Y(n_24502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750103 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[13]),
+	.Y(n_24501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750104 (
+	.A(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[14]),
+	.Y(n_24500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750105 (
+	.A(brqrv_top_brqrv_dec_tlu_ebreak_to_debug_mode_r_d1),
+	.Y(n_24499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750106 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[11]),
+	.Y(n_24498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750107 (
+	.A(brqrv_top_brqrv_dec_tlu_n_12947),
+	.Y(n_24497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750108 (
+	.A(n_32457),
+	.Y(n_24496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750109 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r_d1[19]),
+	.Y(n_24495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750110 (
+	.A(n_39242),
+	.Y(n_24494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750111 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[29]),
+	.Y(n_24493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750112 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[17]),
+	.Y(n_24492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750113 (
+	.A(brqrv_top_brqrv_pred_correct_npc_x[25]),
+	.Y(n_24491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750114 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[23]),
+	.Y(n_24490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750115 (
+	.A(n_30441),
+	.Y(brqrv_top_brqrv_lsu_lsu_bus_buffer_empty_any), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750116 (
+	.A(brqrv_top_brqrv_dec_tlu_npc_r_d1[23]),
+	.Y(n_24489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750117 (
+	.A(brqrv_top_brqrv_dec_decode_d_t[12]),
+	.Y(n_24488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750118 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[25]),
+	.Y(n_24487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750119 (
+	.A(brqrv_top_brqrv_dec_tlu_mtdata1_t0[5]),
+	.Y(n_24486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750120 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[18]),
+	.Y(n_24485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750121 (
+	.A(brqrv_top_brqrv_exu_i0_flush_path_x[21]),
+	.Y(n_24484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750122 (
+	.A(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_n_20),
+	.Y(n_24483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750123 (
+	.A(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_n_21),
+	.Y(n_24482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750125 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_leak_one_f ),
+	.Y(n_24480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750127 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [28]),
+	.Y(n_24478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750129 (
+	.A(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[21]),
+	.Y(n_24476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750130 (
+	.A(brqrv_top_brqrv_dec_decode_d_t[10]),
+	.Y(n_24475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750131 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[29]),
+	.Y(n_24474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750132 (
+	.A(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[18]),
+	.Y(n_24473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750133 (
+	.A(brqrv_top_brqrv_dec_tlu_npc_r_d1[22]),
+	.Y(n_24472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750134 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[17]),
+	.Y(n_24471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750135 (
+	.A(brqrv_top_brqrv_exu_pred_correct_npc_r[27]),
+	.Y(n_24470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750136 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[9]),
+	.Y(n_24469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750137 (
+	.A(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [79]),
+	.Y(n_24468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750138 (
+	.A(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_n_22),
+	.Y(n_24467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750139 (
+	.A(brqrv_top_brqrv_exu_i0_flush_path_x[18]),
+	.Y(n_24466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750140 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r_d1[18]),
+	.Y(n_24465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750141 (
+	.A(brqrv_top_brqrv_dec_tlu_npc_r_d1[19]),
+	.Y(n_24464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750142 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_n_3474),
+	.Y(n_24463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750143 (
+	.A(brqrv_top_brqrv_pred_correct_npc_x[24]),
+	.Y(n_24462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750144 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[8]),
+	.Y(n_24461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750145 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[7]),
+	.Y(n_24460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750146 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[10]),
+	.Y(n_24459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750147 (
+	.A(n_31192),
+	.Y(n_24458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750148 (
+	.A(n_30515),
+	.Y(brqrv_top_brqrv_dec_tlu_flush_path_r[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750151 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_valid),
+	.Y(n_5330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750152 (
+	.A(brqrv_top_brqrv_dec_tlu_dec_tlu_pmu_fw_halted),
+	.Y(n_24454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750153 (
+	.A(n_30461),
+	.Y(brqrv_top_brqrv_dec_dec_tlu_flush_extint), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750154 (
+	.A(n_29904),
+	.Y(brqrv_top_brqrv_dec_tlu_flush_path_r[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750155 (
+	.A(n_32393),
+	.Y(n_24453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750156 (
+	.A(brqrv_top_brqrv_ifu_aln_wrptr[1]),
+	.Y(n_24452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750157 (
+	.A(brqrv_top_brqrv_dbg_command_reg[19]),
+	.Y(n_24451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750158 (
+	.A(brqrv_top_brqrv_trigger_pkt_any[113]),
+	.Y(n_24450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750159 (
+	.A(brqrv_top_brqrv_dec_decode_r_t[15]),
+	.Y(n_24449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750160 (
+	.A(brqrv_top_brqrv_trigger_pkt_any[151]),
+	.Y(n_24448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750161 (
+	.A(brqrv_top_brqrv_dbg_cmd_write),
+	.Y(n_24447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750162 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_uncacheable_miss_ff),
+	.Y(n_24446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750165 (
+	.A(n_32761),
+	.Y(brqrv_top_brqrv_dec_dec_tlu_i0_valid_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750166 (
+	.A(n_31563),
+	.Y(brqrv_top_brqrv_ifu_ifc_fetch_req_bf), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750168 (
+	.A(brqrv_top_brqrv_dec_decode_x_t[16]),
+	.Y(n_24443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750172 (
+	.A(brqrv_top_brqrv_dma_mem_tag[2]),
+	.Y(n_24439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750175 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[12]),
+	.Y(n_539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750176 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[28]),
+	.Y(n_24435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750177 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[33]),
+	.Y(n_24434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750178 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[10]),
+	.Y(n_24433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750179 (
+	.A(n_33269),
+	.Y(n_19524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750180 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[15]),
+	.Y(n_24431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750181 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[30]),
+	.Y(n_24430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750182 (
+	.A(brqrv_top_brqrv_dma_ctrl_WrPtr[2]),
+	.Y(n_24429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750183 (
+	.A(n_33260),
+	.Y(n_24428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750184 (
+	.A(n_33241),
+	.Y(n_24427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750185 (
+	.A(n_33270),
+	.Y(n_24426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750186 (
+	.A(n_31508),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_no_dword_merge_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750187 (
+	.A(n_32407),
+	.Y(n_24425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750188 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[35]),
+	.Y(n_24424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750189 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[5]),
+	.Y(n_24423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750190 (
+	.A(brqrv_top_brqrv_dec_tlu_misc_clk_override),
+	.Y(n_24422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750191 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[44]),
+	.Y(n_24421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750192 (
+	.A(n_32398),
+	.Y(n_24420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750193 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[16]),
+	.Y(n_24419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750194 (
+	.A(brqrv_top_brqrv_dec_tlu_meipt[1]),
+	.Y(n_24418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750196 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[40]),
+	.Y(n_24416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750198 (
+	.A(brqrv_top_brqrv_lsu_stbuf_n_588),
+	.Y(n_24415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750199 (
+	.A(n_31534),
+	.Y(n_24414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750201 (
+	.A(n_32447),
+	.Y(n_24413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750202 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[26]),
+	.Y(n_24412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750203 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[32]),
+	.Y(n_24411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750204 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[39]),
+	.Y(n_24410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750205 (
+	.A(brqrv_top_brqrv_exu_ghr_d[4]),
+	.Y(n_24409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750206 (
+	.A(brqrv_top_brqrv_ifu_ic_debug_rd_data_valid),
+	.Y(n_24408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750207 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[3]),
+	.Y(n_24407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750208 (
+	.A(brqrv_top_brqrv_iccm_dma_rdata[4]),
+	.Y(n_24406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750209 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[14]),
+	.Y(n_24405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750210 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[0]),
+	.Y(n_24404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750211 (
+	.A(brqrv_top_brqrv_iccm_dma_rdata[1]),
+	.Y(n_24403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750212 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.Y(n_24402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750213 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[4]),
+	.Y(n_24401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750214 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[31]),
+	.Y(n_24400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750216 (
+	.A(brqrv_top_brqrv_ifu_ifc_fb_write_f[1]),
+	.Y(n_24398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750217 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[15]),
+	.Y(n_24397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750220 (
+	.A(n_31180),
+	.Y(n_24395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750221 (
+	.A(n_31171),
+	.Y(n_24394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750222 (
+	.A(n_33233),
+	.Y(n_24393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750223 (
+	.A(brqrv_top_brqrv_exu_i0_rs2_d[1]),
+	.Y(n_24392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750224 (
+	.A(n_33272),
+	.Y(n_24391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750227 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[14]),
+	.Y(n_24388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750228 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[29]),
+	.Y(n_24387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750229 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[36]),
+	.Y(n_24386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750230 (
+	.A(n_31222),
+	.Y(n_2384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750231 (
+	.A(n_33235),
+	.Y(n_24384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750233 (
+	.A(n_30796),
+	.Y(n_24382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750234 (
+	.A(brqrv_top_dmi_reg_addr[0]),
+	.Y(n_24381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750235 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[5]),
+	.Y(n_24380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750236 (
+	.A(n_32773),
+	.Y(brqrv_top_brqrv_dma_ctrl_bus_cmd_sent), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750237 (
+	.A(brqrv_top_brqrv_dbg_sb_state[1]),
+	.Y(n_24379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750238 (
+	.A(brqrv_top_dccm_clk_override),
+	.Y(n_24378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750242 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[9]),
+	.Y(n_24374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750246 (
+	.A(brqrv_top_brqrv_dma_ctrl_WrPtr[0]),
+	.Y(n_24372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750247 (
+	.A(brqrv_top_brqrv_dma_mem_tag[0]),
+	.Y(n_24371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750249 (
+	.A(brqrv_top_brqrv_dec_tlu_lsu_clk_override),
+	.Y(n_24370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750250 (
+	.A(brqrv_top_brqrv_dbg_dbg_state[2]),
+	.Y(n_24369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750251 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[29]),
+	.Y(n_24368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750252 (
+	.A(n_29903),
+	.Y(n_24367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750254 (
+	.A(brqrv_top_brqrv_dec_dec_i0_waddr_r[1]),
+	.Y(n_2580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750255 (
+	.A(brqrv_top_brqrv_dbg_sb_state[3]),
+	.Y(n_24365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750256 (
+	.A(brqrv_top_brqrv_dec_dec_i0_waddr_r[0]),
+	.Y(n_2581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750257 (
+	.A(n_32776),
+	.Y(n_24363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750258 (
+	.A(brqrv_top_brqrv_dec_dec_i0_waddr_r[2]),
+	.Y(n_2596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750261 (
+	.A(brqrv_top_brqrv_dec_tlu_dbg_halted),
+	.Y(n_24359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750263 (
+	.A(brqrv_top_brqrv_dma_ctrl_RspPtr[0]),
+	.Y(n_24357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750265 (
+	.A(n_34949),
+	.Y(n_24356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750266 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[5]),
+	.Y(n_24355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750267 (
+	.A(brqrv_top_brqrv_dbg_dbg_state[0]),
+	.Y(n_24354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750268 (
+	.A(brqrv_top_brqrv_dbg_dmstatus_halted),
+	.Y(n_24353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750269 (
+	.A(n_30519),
+	.Y(brqrv_top_brqrv_dec_decode_d_t[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750270 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[28]),
+	.Y(n_24351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750274 (
+	.A(brqrv_top_brqrv_exu_n_1728),
+	.Y(n_24347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750275 (
+	.A(brqrv_top_brqrv_lsu_end_addr_r[2]),
+	.Y(n_24346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750276 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[5]),
+	.Y(n_24345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750277 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[3]),
+	.Y(n_24344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750278 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[10]),
+	.Y(n_24343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750280 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_r[6]),
+	.Y(n_24341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750282 (
+	.A(n_32442),
+	.Y(n_24339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750285 (
+	.A(n_31129),
+	.Y(n_470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750286 (
+	.A(n_32330),
+	.Y(n_326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750287 (
+	.A(n_31462),
+	.Y(n_22330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750289 (
+	.A(n_32453),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750290 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[29]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_n_327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750291 (
+	.A(n_32490),
+	.Y(n_4740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750292 (
+	.A(n_31334),
+	.Y(n_4748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750293 (
+	.A(n_31346),
+	.Y(n_4735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750295 (
+	.A(n_31323),
+	.Y(n_4756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750296 (
+	.A(n_31343),
+	.Y(n_4741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750297 (
+	.A(n_31331),
+	.Y(n_4732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750298 (
+	.A(n_31328),
+	.Y(n_4753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750299 (
+	.A(n_31352),
+	.Y(n_4751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750300 (
+	.A(n_31355),
+	.Y(n_4755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750301 (
+	.A(n_32466),
+	.Y(n_4747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750302 (
+	.A(n_31364),
+	.Y(n_4743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750303 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[25]),
+	.Y(n_1823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750304 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[23]),
+	.Y(n_1319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750305 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[30]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_n_328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750306 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[27]),
+	.Y(brqrv_top_brqrv_dec_tlu_n_6062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750307 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[31]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_n_329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750308 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[10]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_n_308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750309 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[4]),
+	.Y(n_1701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750310 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[5]),
+	.Y(n_1295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750311 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[11]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_n_309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750312 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f),
+	.Y(n_24312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750313 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[20]),
+	.Y(n_1819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750314 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[6]),
+	.Y(n_1705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750315 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[7]),
+	.Y(n_1304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750316 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[3]),
+	.Y(n_1702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750317 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[0]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_n_298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750318 (
+	.A(n_33459),
+	.Y(n_24306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750319 (
+	.A(n_33467),
+	.Y(n_24305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750320 (
+	.A(n_33456),
+	.Y(n_24304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750321 (
+	.A(n_33455),
+	.Y(n_24303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750322 (
+	.A(n_33450),
+	.Y(n_24302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750323 (
+	.A(brqrv_top_brqrv_lsu_addr_in_pic_m),
+	.Y(n_24301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750324 (
+	.A(n_33453),
+	.Y(n_24300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750325 (
+	.A(n_33465),
+	.Y(n_24299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750326 (
+	.A(n_32792),
+	.Y(n_24298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750327 (
+	.A(n_31488),
+	.Y(n_24297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750328 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[1]),
+	.Y(n_1704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 g750329 (
+	.A(n_31642),
+	.Y(n_467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750331 (
+	.A(n_31473),
+	.Y(n_24293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 g750332 (
+	.A(brqrv_top_brqrv_dec_tlu_wr_minstreth_r),
+	.Y(n_24292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750333 (
+	.A(n_32510),
+	.Y(n_24291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750334 (
+	.A(n_31470),
+	.Y(n_24290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750335 (
+	.A(n_31511),
+	.Y(n_24289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750336 (
+	.A(n_31832),
+	.Y(n_24288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750337 (
+	.A(n_32375),
+	.Y(n_24287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750338 (
+	.A(n_32451),
+	.Y(n_24286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750339 (
+	.A(brqrv_top_brqrv_ifu_aln_q1pc[25]),
+	.Y(n_24285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750340 (
+	.A(brqrv_top_brqrv_dma_ctrl_axi_mstr_priority),
+	.Y(n_24284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750341 (
+	.A(n_31965),
+	.Y(n_24283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750343 (
+	.A(brqrv_top_brqrv_dec_decode_i0_dec_n_186),
+	.Y(n_24282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750344 (
+	.A(n_31853),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750346 (
+	.A(n_31562),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_ifc_dma_access_ok_d), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750347 (
+	.A(brqrv_top_brqrv_dec_decode_csr_rddata_x[4]),
+	.Y(n_24280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750348 (
+	.A(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [106]),
+	.Y(n_24279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750350 (
+	.A(n_31852),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750351 (
+	.A(n_32444),
+	.Y(n_24277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750352 (
+	.A(brqrv_top_brqrv_dbg_n_4265),
+	.Y(n_24276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750353 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [17]),
+	.Y(n_23813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750354 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [29]),
+	.Y(n_24274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750355 (
+	.A(n_31967),
+	.Y(n_24273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750356 (
+	.A(n_31385),
+	.Y(brqrv_top_brqrv_dec_tlu_request_debug_mode_done), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750357 (
+	.A(brqrv_top_brqrv_dec_decode_csr_rddata_x[1]),
+	.Y(n_24272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750358 (
+	.A(n_31966),
+	.Y(n_24271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750359 (
+	.A(n_31962),
+	.Y(n_24270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750360 (
+	.A(brqrv_top_brqrv_dec_decode_csr_rddata_x[3]),
+	.Y(n_24269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750361 (
+	.A(n_33938),
+	.Y(n_24268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750362 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclela_cout),
+	.Y(n_24267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750363 (
+	.A(brqrv_top_brqrv_dec_tlu_npc_r_d1[14]),
+	.Y(n_24266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750364 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[25]),
+	.Y(n_24265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750366 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[29]),
+	.Y(n_24263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750368 (
+	.A(n_39239),
+	.Y(n_24261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750369 (
+	.A(brqrv_top_brqrv_pred_correct_npc_x[13]),
+	.Y(n_24260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750370 (
+	.A(n_30466),
+	.Y(brqrv_top_brqrv_dec_dec_tlu_flush_pause_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750374 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[27]),
+	.Y(n_24256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750375 (
+	.A(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[22]),
+	.Y(n_24255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750376 (
+	.A(n_31594),
+	.Y(brqrv_top_brqrv_dec_i0_alu_decode_d), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750377 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitctl1[3]),
+	.Y(n_24254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750378 (
+	.A(n_39243),
+	.Y(n_24253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750380 (
+	.A(n_39237),
+	.Y(n_24251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750382 (
+	.A(n_39235),
+	.Y(n_24249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750383 (
+	.A(brqrv_top_brqrv_exu_i0_flush_path_x[19]),
+	.Y(n_24248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750384 (
+	.A(brqrv_top_brqrv_exu_i0_flush_path_x[22]),
+	.Y(n_24247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750385 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[30]),
+	.Y(n_24246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750386 (
+	.A(brqrv_top_brqrv_pred_correct_npc_x[26]),
+	.Y(n_24245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750387 (
+	.A(brqrv_top_brqrv_dec_decode_d_t[8]),
+	.Y(n_24244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750389 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[22]),
+	.Y(n_24242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750390 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[15]),
+	.Y(n_24241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750391 (
+	.A(brqrv_top_brqrv_dec_tlu_flush_path_r[13]),
+	.Y(n_24240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750394 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r_d1[14]),
+	.Y(n_24237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750395 (
+	.A(brqrv_top_brqrv_dec_tlu_flush_path_r[19]),
+	.Y(n_24236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750396 (
+	.A(brqrv_top_brqrv_pred_correct_npc_x[21]),
+	.Y(n_24235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750397 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[20]),
+	.Y(n_24234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750399 (
+	.A(brqrv_top_brqrv_i0_ap[5]),
+	.Y(n_24232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750401 (
+	.A(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[23]),
+	.Y(n_24230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750402 (
+	.A(brqrv_top_brqrv_pred_correct_npc_x[15]),
+	.Y(n_24229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750403 (
+	.A(n_39306),
+	.Y(n_24228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750404 (
+	.A(brqrv_top_brqrv_dec_tlu_npc_r_d1[18]),
+	.Y(n_24227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750405 (
+	.A(n_31210),
+	.Y(n_24226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750406 (
+	.A(brqrv_top_brqrv_trigger_pkt_any[75]),
+	.Y(n_24225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750407 (
+	.A(brqrv_top_brqrv_dec_tlu_npc_r[17]),
+	.Y(n_24224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750408 (
+	.A(n_31545),
+	.Y(brqrv_top_brqrv_dma_iccm_stall_any), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750409 (
+	.A(n_31131),
+	.Y(brqrv_top_brqrv_dec_decode_d_d[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750410 (
+	.A(brqrv_top_brqrv_dma_ctrl_dma_nack_count[2]),
+	.Y(n_24223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750411 (
+	.A(brqrv_top_brqrv_trigger_pkt_any[37]),
+	.Y(n_24222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750412 (
+	.A(n_32557),
+	.Y(brqrv_top_brqrv_lsu_nonblock_load_valid_m), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750413 (
+	.A(brqrv_top_brqrv_dbg_data1_reg[0]),
+	.Y(n_24221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750414 (
+	.A(brqrv_top_brqrv_dec_tlu_exc_cause_r[3]),
+	.Y(n_24220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750415 (
+	.A(n_31824),
+	.Y(n_24219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750416 (
+	.A(n_31486),
+	.Y(n_24218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750417 (
+	.A(brqrv_top_brqrv_dec_tlu_npc_r[19]),
+	.Y(n_24217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750420 (
+	.A(n_31130),
+	.Y(brqrv_top_brqrv_ifu_ifc_miss_f), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750421 (
+	.A(brqrv_top_brqrv_ifu_ifc_fb_write_f[0]),
+	.Y(n_24213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750422 (
+	.A(brqrv_top_brqrv_dec_extint_stall),
+	.Y(n_24212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750423 (
+	.A(brqrv_top_brqrv_dec_tlu_take_nmi_r_d1),
+	.Y(n_24211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750425 (
+	.A(n_32604),
+	.Y(n_24209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750426 (
+	.A(brqrv_top_brqrv_ifu_aln_wrptr[0]),
+	.Y(n_24208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750429 (
+	.A(n_31514),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_reg_wren), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750430 (
+	.A(brqrv_top_brqrv_dma_ctrl_dma_nack_count[1]),
+	.Y(n_24205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750432 (
+	.A(brqrv_top_brqrv_dec_decode_x_t[12]),
+	.Y(n_24203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750434 (
+	.A(n_31197),
+	.Y(n_24201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750436 (
+	.A(n_33265),
+	.Y(n_24199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750437 (
+	.A(n_31224),
+	.Y(n_24198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750438 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[24]),
+	.Y(n_24197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750439 (
+	.A(brqrv_top_brqrv_ifu_ifc_fb_write_f[2]),
+	.Y(n_24196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750440 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[26]),
+	.Y(n_24195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750441 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[23]),
+	.Y(n_24194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750442 (
+	.A(brqrv_top_brqrv_lsu_stbuf_n_580),
+	.Y(n_24193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750443 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r[22]),
+	.Y(n_24192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750444 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[11]),
+	.Y(n_24191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750445 (
+	.A(n_33243),
+	.Y(n_24190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750446 (
+	.A(brqrv_top_dmi_reg_wdata[18]),
+	.Y(brqrv_top_brqrv_dbg_n_1024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750449 (
+	.A(n_33264),
+	.Y(n_24187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750450 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[31]),
+	.Y(n_24186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750451 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[38]),
+	.Y(n_24185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750452 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[20]),
+	.Y(n_24184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750453 (
+	.A(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[3]),
+	.Y(n_24183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750454 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[43]),
+	.Y(n_24182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750456 (
+	.A(n_31223),
+	.Y(n_2387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750457 (
+	.A(n_33266),
+	.Y(n_24179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750458 (
+	.A(n_33267),
+	.Y(n_24178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750459 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_miss_pending),
+	.Y(brqrv_top_brqrv_ifu_miss_state_idle), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750460 (
+	.A(brqrv_top_brqrv_iccm_dma_rdata[2]),
+	.Y(n_24177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750461 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[12]),
+	.Y(n_24176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750462 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[28]),
+	.Y(n_24175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750463 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[27]),
+	.Y(n_24174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750464 (
+	.A(n_33262),
+	.Y(n_24173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750465 (
+	.A(n_33231),
+	.Y(n_24172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750466 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[26]),
+	.Y(n_24171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750467 (
+	.A(brqrv_top_brqrv_dec_tlu_meicurpl[1]),
+	.Y(n_24170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750468 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[9]),
+	.Y(n_24169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750469 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[11]),
+	.Y(n_2621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750470 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[22]),
+	.Y(n_24167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750471 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[6]),
+	.Y(n_24166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750472 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[12]),
+	.Y(n_24165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750473 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[13]),
+	.Y(n_24164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750474 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[30]),
+	.Y(n_24163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750475 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_m[0]),
+	.Y(n_24162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750477 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[2]),
+	.Y(n_24160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750478 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[24]),
+	.Y(n_24159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750479 (
+	.A(brqrv_top_brqrv_dma_ctrl_RspPtr[1]),
+	.Y(n_24158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750480 (
+	.A(n_33237),
+	.Y(n_24157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750481 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[30]),
+	.Y(n_24156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750482 (
+	.A(n_31215),
+	.Y(n_24155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750483 (
+	.A(n_31188),
+	.Y(n_24154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750484 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[0]),
+	.Y(n_23068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750486 (
+	.A(brqrv_top_brqrv_dma_mem_tag[1]),
+	.Y(n_24151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750487 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[1]),
+	.Y(n_24150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750496 (
+	.A(brqrv_top_dmi_reg_en),
+	.Y(n_24142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750497 (
+	.A(brqrv_top_brqrv_dec_dec_tlu_dec_clk_override),
+	.Y(n_24141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750498 (
+	.A(n_31206),
+	.Y(n_24140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750499 (
+	.A(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.Y(n_24139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750501 (
+	.A(n_29925),
+	.Y(n_24137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750505 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[4]),
+	.Y(n_24136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750506 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_WrPtr1_r[0]),
+	.Y(n_24135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750507 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[30]),
+	.Y(n_24134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750508 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_WrPtr1_r[1]),
+	.Y(n_24133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750509 (
+	.A(brqrv_top_brqrv_lsu_stbuf_RdPtr[0]),
+	.Y(n_24132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750510 (
+	.A(brqrv_top_brqrv_lsu_stbuf_WrPtr[1]),
+	.Y(n_24131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750511 (
+	.A(brqrv_top_brqrv_dec_tlu_flush_lower_wb),
+	.Y(n_24130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750512 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[1]),
+	.Y(n_23067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750515 (
+	.A(n_30465),
+	.Y(brqrv_top_brqrv_dec_tlu_take_nmi), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750517 (
+	.A(n_31452),
+	.Y(n_24126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750518 (
+	.A(brqrv_top_brqrv_dma_ctrl_WrPtr[1]),
+	.Y(n_24125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750519 (
+	.A(brqrv_top_dccm_rd_addr_hi[3]),
+	.Y(n_24124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750520 (
+	.A(n_31454),
+	.Y(n_24123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750521 (
+	.A(brqrv_top_brqrv_dbg_dbg_state[1]),
+	.Y(n_24122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750523 (
+	.A(n_30797),
+	.Y(brqrv_top_brqrv_lsu_ldst_stbuf_reqvld_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750524 (
+	.A(brqrv_top_brqrv_exu_flush_final),
+	.Y(n_7752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750525 (
+	.A(brqrv_top_brqrv_dma_mem_addr[2]),
+	.Y(n_540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750527 (
+	.A(brqrv_top_brqrv_dec_decode_n_1234),
+	.Y(n_24118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750530 (
+	.A(n_33354),
+	.Y(n_23065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750531 (
+	.A(brqrv_top_brqrv_lsu_nonblock_load_inv_tag_r[1]),
+	.Y(n_24114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750532 (
+	.A(brqrv_top_brqrv_lsu_nonblock_load_inv_tag_r[0]),
+	.Y(n_24113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750533 (
+	.A(n_33378),
+	.Y(n_24112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750534 (
+	.A(n_33382),
+	.Y(n_24111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750535 (
+	.A(n_33380),
+	.Y(n_24110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750536 (
+	.A(n_33384),
+	.Y(n_24109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750537 (
+	.A(n_33379),
+	.Y(n_24108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750538 (
+	.A(n_33383),
+	.Y(n_24107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750539 (
+	.A(n_33385),
+	.Y(n_24106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750540 (
+	.A(n_33381),
+	.Y(n_24105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750541 (
+	.A(n_33377),
+	.Y(n_24104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750542 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[6]),
+	.Y(n_24103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750543 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[7]),
+	.Y(n_24102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750544 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[8]),
+	.Y(n_24101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750545 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[9]),
+	.Y(n_24100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750546 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[11]),
+	.Y(n_24099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750547 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[4]),
+	.Y(n_24098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750548 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[2]),
+	.Y(n_24097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750551 (
+	.A(n_32771),
+	.Y(brqrv_top_brqrv_dbg_cmd_valid), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750553 (
+	.A(n_31301),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750555 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[28]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_n_326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750556 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_m[0]),
+	.Y(n_24092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750557 (
+	.A(n_31349),
+	.Y(n_4734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750559 (
+	.A(n_31325),
+	.Y(n_4749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750560 (
+	.A(n_32493),
+	.Y(n_4739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750561 (
+	.A(n_31317),
+	.Y(n_4750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750563 (
+	.A(n_31337),
+	.Y(n_4744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750564 (
+	.A(n_31358),
+	.Y(n_4730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750565 (
+	.A(n_32478),
+	.Y(n_4738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750566 (
+	.A(n_31371),
+	.Y(n_4757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750567 (
+	.A(n_32486),
+	.Y(n_4752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750568 (
+	.A(n_31320),
+	.Y(n_4745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750570 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[18]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_n_316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750571 (
+	.A(n_31361),
+	.Y(n_4736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750572 (
+	.A(n_32474),
+	.Y(n_4754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750573 (
+	.A(n_31380),
+	.Y(n_4731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750574 (
+	.A(n_31340),
+	.Y(n_4742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750575 (
+	.A(n_32481),
+	.Y(n_4733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750576 (
+	.A(n_32483),
+	.Y(n_4746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750577 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[22]),
+	.Y(n_1308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750579 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[15]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_n_313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750580 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[12]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_n_310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750581 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[19]),
+	.Y(n_2025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750582 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[2]),
+	.Y(n_1703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750583 (
+	.A(n_33464),
+	.Y(n_24065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750584 (
+	.A(brqrv_top_core_rst),
+	.Y(n_11336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750585 (
+	.A(n_33451),
+	.Y(n_24063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750586 (
+	.A(n_33454),
+	.Y(n_24062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 g750588 (
+	.A(n_32676),
+	.Y(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750589 (
+	.A(brqrv_top_brqrv_dec_dec_pause_state),
+	.Y(n_24061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750590 (
+	.A(n_31484),
+	.Y(n_2695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750591 (
+	.A(n_31475),
+	.Y(n_24059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750593 (
+	.A(n_31483),
+	.Y(n_24057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750594 (
+	.A(n_31476),
+	.Y(n_24056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750595 (
+	.A(n_31469),
+	.Y(n_24055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750596 (
+	.A(n_31472),
+	.Y(n_24054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 g750597 (
+	.A(brqrv_top_brqrv_dec_tlu_wr_mcycleh_r),
+	.Y(n_24053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750598 (
+	.A(n_32511),
+	.Y(n_24052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 drc_bufs750605 (
+	.A(n_27035),
+	.Y(n_24051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g636447 (
+	.A_N(n_28145),
+	.B(n_28176),
+	.Y(n_24038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g750644 (
+	.A_N(n_28038),
+	.B(n_27305),
+	.Y(n_24037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g750645 (
+	.A1(n_27485),
+	.A2(n_24392),
+	.B1_N(n_27808),
+	.Y(n_24036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g750646 (
+	.A_N(n_27497),
+	.B(n_27532),
+	.C(n_32777),
+	.D(n_32350),
+	.Y(brqrv_top_brqrv_dec_decode_d_t[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g750647 (
+	.A0(n_1819),
+	.A1(n_25209),
+	.S(n_27291),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ns[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g750648 (
+	.A0(n_1819),
+	.A1(n_25215),
+	.S(n_27290),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ns[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g750649 (
+	.A_N(n_27081),
+	.B(n_27594),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_n_297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g750650 (
+	.A_N(n_27079),
+	.B(brqrv_top_brqrv_lsu_addr_in_pic_d),
+	.C(n_27073),
+	.D(n_28238),
+	.Y(n_24035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g750651 (
+	.A0(n_1819),
+	.A1(n_25211),
+	.S(n_27038),
+	.Y(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ns[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g750652 (
+	.A(n_26578),
+	.B_N(n_27034),
+	.Y(n_24034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g750653 (
+	.A(n_26933),
+	.B(n_24029),
+	.X(brqrv_top_brqrv_dbg_sbaddress0_reg_wren), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g750654 (
+	.A_N(n_24031),
+	.B(n_28120),
+	.Y(n_24033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g750655 (
+	.A_N(n_26673),
+	.B(n_27286),
+	.Y(brqrv_top_brqrv_dbg_data1_reg_wren), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g750656 (
+	.A_N(n_26576),
+	.B(n_27482),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_n_287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g750657 (
+	.A(brqrv_top_brqrv_dec_tlu_exc_or_int_valid_r),
+	.B_N(n_26414),
+	.Y(n_24032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g750658 (
+	.A_N(n_26395),
+	.B(n_26360),
+	.Y(n_24031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g750659 (
+	.A(n_31509),
+	.B_N(n_26391),
+	.Y(n_24030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g750660 (
+	.A(n_31509),
+	.B_N(n_26385),
+	.Y(brqrv_top_brqrv_dec_tlu_wr_mfdc_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g750661 (
+	.A(n_31517),
+	.B_N(n_26341),
+	.Y(brqrv_top_brqrv_dbg_command_wren), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_1 g750662 (
+	.A_N(n_31517),
+	.B(n_26005),
+	.X(n_24029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g750663 (
+	.A_N(n_25871),
+	.B(n_31535),
+	.Y(n_24028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g750664 (
+	.A(n_30490),
+	.B(n_26791),
+	.C_N(n_25548),
+	.Y(brqrv_top_brqrv_dec_tlu_wr_mtdata2_t0_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g750665 (
+	.A_N(n_25505),
+	.B(n_25384),
+	.C(brqrv_top_brqrv_dec_tlu_inst_acc_r),
+	.X(n_24027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g750666 (
+	.A_N(n_25448),
+	.B(brqrv_top_brqrv_ifu_aln_wrptr[0]),
+	.Y(n_24026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g750667 (
+	.A_N(n_25393),
+	.B(n_25510),
+	.Y(n_24025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g750668 (
+	.A(n_27966),
+	.B_N(n_25344),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_reset[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g750669 (
+	.A(n_27966),
+	.B_N(n_25342),
+	.Y(brqrv_top_brqrv_lsu_stbuf_stbuf_reset[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g750670 (
+	.A_N(brqrv_top_brqrv_dbg_sb_state[0]),
+	.B(n_25428),
+	.C(brqrv_top_brqrv_dbg_sb_state[1]),
+	.Y(n_24024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 g750671 (
+	.A0(brqrv_top_brqrv_exu_ghr_d[1]),
+	.A1(brqrv_top_brqrv_exu_ghr_x[1]),
+	.S(n_25411),
+	.X(n_24023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g750672 (
+	.A_N(brqrv_top_brqrv_dec_tlu_pc_r[23]),
+	.B(brqrv_top_brqrv_dec_tlu_pc_r_d1[23]),
+	.Y(n_24022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g750673 (
+	.A(brqrv_top_brqrv_dec_tlu_dcsr_single_step_running),
+	.B_N(brqrv_top_brqrv_dec_tlu_debug_mode),
+	.Y(n_24021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 g750674 (
+	.A0(brqrv_top_brqrv_dbg_abstractcs_reg[12]),
+	.A1(n_26068),
+	.S(n_27319),
+	.X(brqrv_top_brqrv_dbg_dmabstractcs_busy_reg_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g750675 (
+	.A(brqrv_top_dccm_rd_addr_hi[3]),
+	.B_N(brqrv_top_dccm_rd_addr_hi[2]),
+	.Y(n_24020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g750676 (
+	.A(n_39188),
+	.B_N(n_31500),
+	.Y(n_24019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g750677 (
+	.A0(n_540),
+	.A1(brqrv_top_brqrv_dma_mem_addr[2]),
+	.S(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.Y(n_24018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g750678 (
+	.A0(n_7752),
+	.A1(brqrv_top_brqrv_exu_flush_final),
+	.S(\brqrv_top_brqrv_ifu_bpred.bp_n_10401 ),
+	.Y(n_24017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g750679 (
+	.A0(n_24164),
+	.A1(brqrv_top_brqrv_dec_decode_cam_raw[13]),
+	.S(brqrv_top_brqrv_dec_dec_i0_waddr_r[3]),
+	.Y(n_24016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g750680 (
+	.A_N(brqrv_top_brqrv_dec_tlu_request_debug_mode_r),
+	.B(n_31072),
+	.C(n_31314),
+	.Y(brqrv_top_brqrv_dec_tlu_n_3389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4b_2 g608514 (
+	.A(n_23935),
+	.B(n_24005),
+	.C(n_24015),
+	.D_N(n_32702),
+	.X(brqrv_top_brqrv_dec_dec_i0_instr_d[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4b_2 g608515 (
+	.A(n_24003),
+	.B(n_24005),
+	.C(n_24014),
+	.D_N(n_32686),
+	.X(brqrv_top_brqrv_dec_dec_i0_instr_d[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4b_2 g608516 (
+	.A(n_23935),
+	.B(n_5331),
+	.C(n_24005),
+	.D_N(n_24012),
+	.X(brqrv_top_brqrv_dec_dec_i0_instr_d[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g608517 (
+	.A(n_24005),
+	.B(n_24007),
+	.C(n_24013),
+	.X(brqrv_top_brqrv_dec_dec_i0_instr_d[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g608518 (
+	.A1(n_23936),
+	.A2(n_32718),
+	.B1(n_32687),
+	.C1(n_24009),
+	.Y(n_24015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g608519 (
+	.A(n_23968),
+	.B(n_24005),
+	.C(n_24008),
+	.X(brqrv_top_brqrv_dec_dec_i0_instr_d[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g608520 (
+	.A(n_23965),
+	.B(n_23998),
+	.C(n_32685),
+	.D(n_24011),
+	.X(brqrv_top_brqrv_dec_dec_i0_instr_d[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111o_1 g608521 (
+	.A1(n_23935),
+	.A2(brqrv_top_brqrv_dbg_cmd_addr[2]),
+	.B1(n_23957),
+	.C1(n_32685),
+	.D1(n_24010),
+	.X(brqrv_top_brqrv_dec_dec_i0_instr_d[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g608522 (
+	.A(n_23976),
+	.B(n_32685),
+	.C(n_24006),
+	.X(brqrv_top_brqrv_dec_dec_i0_instr_d[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g608523 (
+	.A1(n_23987),
+	.A2(n_32668),
+	.B1(n_23938),
+	.B2(n_32718),
+	.C1(n_23997),
+	.Y(n_24014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g608524 (
+	.A1(n_23935),
+	.A2(brqrv_top_brqrv_dbg_cmd_addr[1]),
+	.B1(n_23958),
+	.C1(n_24002),
+	.X(n_24013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g608525 (
+	.A1(n_32734),
+	.A2(n_23999),
+	.B1(n_23942),
+	.B2(n_32718),
+	.C1(n_24001),
+	.X(n_24012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g608526 (
+	.A1(n_23992),
+	.A2(n_32666),
+	.B1(n_23878),
+	.B2(n_32696),
+	.Y(n_24011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g608527 (
+	.A1(n_23994),
+	.A2(n_32699),
+	.B1(n_23888),
+	.B2(n_32696),
+	.Y(n_24010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608528 (
+	.A(n_32609),
+	.B(n_24004),
+	.Y(n_32685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g608529 (
+	.A1_N(n_32762),
+	.A2_N(n_23999),
+	.B1(n_23984),
+	.B2(n_5307),
+	.Y(n_24009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g608530 (
+	.A1(n_32725),
+	.A2(n_23994),
+	.A3(n_32743),
+	.B1(n_32766),
+	.B2(n_23999),
+	.Y(n_24008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g608531 (
+	.A1(n_32767),
+	.A2(n_23999),
+	.B1(n_23887),
+	.B2(n_32696),
+	.Y(n_24007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g608532 (
+	.A1_N(brqrv_top_brqrv_dbg_cmd_addr[4]),
+	.A2_N(n_23935),
+	.B1(n_23992),
+	.B2(n_32664),
+	.Y(n_24006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g608533 (
+	.A(n_24005),
+	.Y(n_24004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g608534 (
+	.A(n_23853),
+	.B(n_32700),
+	.Y(n_24003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g608535 (
+	.A1(brqrv_top_brqrv_ifu_aln_uncompress0[1]),
+	.A2(n_23990),
+	.B1(brqrv_top_brqrv_dec_dec_debug_valid_d),
+	.C1(n_23952),
+	.X(brqrv_top_brqrv_dec_dec_i0_instr_d[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g608536 (
+	.A(n_32744),
+	.B(n_32665),
+	.Y(n_24005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g608537 (
+	.A1(n_23995),
+	.A2(n_32688),
+	.A3(n_32707),
+	.B1(n_32743),
+	.Y(n_24002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g608538 (
+	.A1(n_32714),
+	.A2(n_32742),
+	.B1(n_24000),
+	.Y(n_24001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g608539 (
+	.A1(n_23939),
+	.A2(n_32718),
+	.B1(n_32665),
+	.Y(brqrv_top_brqrv_dec_dec_i0_instr_d[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g608540 (
+	.A(n_24000),
+	.Y(n_32700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608541 (
+	.A(n_5307),
+	.B(n_23951),
+	.Y(n_32699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608542 (
+	.A(n_5307),
+	.B(n_33357),
+	.Y(n_32668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608543 (
+	.A(n_5307),
+	.B(n_23950),
+	.Y(n_32666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g608544 (
+	.A(n_32701),
+	.B(n_32743),
+	.Y(n_24000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g608545 (
+	.A(n_23987),
+	.B(n_32743),
+	.X(n_23999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g608546 (
+	.A(n_23983),
+	.B(n_32743),
+	.Y(n_23998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g608547 (
+	.A1(n_23970),
+	.A2(brqrv_top_brqrv_ifu_aln_compress0_uimm5_0),
+	.B1(n_5307),
+	.Y(n_23997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608548 (
+	.A(n_5307),
+	.B(n_23949),
+	.Y(n_32664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g608549 (
+	.A(n_32720),
+	.B(n_32743),
+	.X(n_32609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g608550 (
+	.A(n_32746),
+	.B(n_32743),
+	.X(n_32665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608552 (
+	.A(brqrv_top_brqrv_ifu_aln_uncompress0[1]),
+	.B(n_32729),
+	.Y(n_32743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g608553 (
+	.A1(n_23963),
+	.A2(n_23969),
+	.B1(n_23972),
+	.B2(n_23964),
+	.C1(n_23993),
+	.X(brqrv_top_brqrv_ifu_aln_uncompress0[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g608554 (
+	.A1(n_32726),
+	.A2(n_23994),
+	.B1(n_32768),
+	.B2(n_32749),
+	.X(n_23995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g608555 (
+	.A(n_23992),
+	.B(n_23983),
+	.X(n_23994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g608556 (
+	.A1(n_23855),
+	.A2(n_23969),
+	.B1(n_32747),
+	.B2(n_23947),
+	.C1(n_23991),
+	.X(n_23993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32a_1 g608557 (
+	.A1(n_32717),
+	.A2(n_23946),
+	.A3(n_32740),
+	.B1(n_32768),
+	.B2(n_23989),
+	.X(n_23992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g608558 (
+	.A1(n_23852),
+	.A2(n_23971),
+	.B1(n_32717),
+	.B2(n_23975),
+	.C1(n_23988),
+	.Y(n_23991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g608559 (
+	.A1(n_32717),
+	.A2(n_32721),
+	.B1(n_32710),
+	.C1(n_23854),
+	.D1(n_23986),
+	.Y(n_23990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211a_1 g608560 (
+	.A1(n_23947),
+	.A2(n_32711),
+	.B1(n_32740),
+	.C1(n_32741),
+	.X(n_23989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g608561 (
+	.A(n_23981),
+	.B(n_23985),
+	.C(n_23979),
+	.D(n_23978),
+	.Y(n_23988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g608562 (
+	.A(n_32744),
+	.B(n_23987),
+	.X(n_32720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g608563 (
+	.A1(n_32711),
+	.A2(n_23980),
+	.B1_N(n_32712),
+	.Y(n_23986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g608564 (
+	.A(n_32721),
+	.B(n_32722),
+	.X(n_23987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g608565 (
+	.A(n_32747),
+	.B(n_32721),
+	.C(n_23982),
+	.X(n_32688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g608566 (
+	.A(n_32662),
+	.B(n_32749),
+	.C(n_23954),
+	.Y(n_32741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g608567 (
+	.A1(n_23954),
+	.A2(n_32715),
+	.B1(n_23946),
+	.B2(n_23966),
+	.C1(n_23977),
+	.Y(n_23985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608568 (
+	.A(n_23982),
+	.B(n_23946),
+	.Y(n_32722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g608569 (
+	.A1(n_23967),
+	.A2(n_32701),
+	.B1(n_23940),
+	.B2(n_32749),
+	.Y(n_23984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g608570 (
+	.A1(n_23949),
+	.A2(n_32701),
+	.B1(n_32768),
+	.B2(n_32740),
+	.Y(brqrv_top_brqrv_ifu_aln_compress0_uimm5_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g608571 (
+	.A1(n_23961),
+	.A2(n_32764),
+	.B1(n_32717),
+	.C1(n_23954),
+	.Y(n_23981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o311a_1 g608572 (
+	.A1(n_32764),
+	.A2(n_32717),
+	.A3(n_23946),
+	.B1(n_23960),
+	.C1(n_32703),
+	.X(n_23983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g608573 (
+	.A(n_23959),
+	.B(n_32736),
+	.C(n_32725),
+	.D(n_32769),
+	.Y(n_23982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g608574 (
+	.A(n_23980),
+	.Y(n_32662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g608575 (
+	.A1(n_32717),
+	.A2(n_32747),
+	.A3(n_23962),
+	.B1(n_23851),
+	.B2(n_23971),
+	.Y(n_23979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g608576 (
+	.A1(n_23973),
+	.A2(n_23969),
+	.B1(n_23972),
+	.B2(n_23963),
+	.X(n_23978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g608577 (
+	.A(n_23949),
+	.B(n_23950),
+	.C(n_23973),
+	.Y(n_23980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608579 (
+	.A(n_23974),
+	.B(n_23961),
+	.Y(n_32711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g608580 (
+	.A(n_32764),
+	.B(n_32710),
+	.X(n_32701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608581 (
+	.A(n_23969),
+	.B(n_32764),
+	.Y(n_23977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g608582 (
+	.A1(n_23945),
+	.A2(n_32718),
+	.B1(n_23877),
+	.B2(n_32696),
+	.Y(n_23976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g608583 (
+	.A1(n_32673),
+	.A2(n_32747),
+	.B1(n_32734),
+	.B2(n_32744),
+	.X(n_23975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g608584 (
+	.A(n_23972),
+	.Y(n_23971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g608585 (
+	.A(n_32747),
+	.B(n_32663),
+	.Y(n_23970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g608587 (
+	.A(n_32717),
+	.B(n_32663),
+	.X(n_32703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g608588 (
+	.A(n_23962),
+	.B(n_32734),
+	.X(n_23974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608589 (
+	.A(n_23852),
+	.B(n_32725),
+	.Y(n_23973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g608590 (
+	.A(n_23941),
+	.B(n_32716),
+	.Y(n_23972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g608591 (
+	.A1_N(brqrv_top_brqrv_dbg_cmd_addr[0]),
+	.A2_N(n_23935),
+	.B1(n_23937),
+	.B2(n_32718),
+	.Y(n_23968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g608593 (
+	.A1(n_32767),
+	.A2(n_32769),
+	.B1(n_32673),
+	.X(n_23967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g608594 (
+	.A(n_5312),
+	.B(n_23954),
+	.C(n_23941),
+	.Y(n_23966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g608595 (
+	.A1_N(brqrv_top_brqrv_dbg_cmd_addr[3]),
+	.A2_N(n_23935),
+	.B1(n_23944),
+	.B2(n_32718),
+	.Y(n_23965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g608596 (
+	.A(n_23946),
+	.B(n_32715),
+	.X(n_32710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g608597 (
+	.A(n_32768),
+	.B(n_23954),
+	.C(n_23946),
+	.Y(n_23969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g608599 (
+	.A(n_32714),
+	.Y(n_23961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g608600 (
+	.A_N(n_32708),
+	.B(n_23947),
+	.Y(n_23960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g608602 (
+	.A(n_32726),
+	.B(n_23951),
+	.Y(n_23959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g608604 (
+	.A(n_32708),
+	.B(n_23947),
+	.X(n_32707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608605 (
+	.A(n_32769),
+	.B(n_32767),
+	.Y(n_23964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608606 (
+	.A(n_32736),
+	.B(n_32766),
+	.Y(n_23963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g608607 (
+	.A(n_33357),
+	.B(n_23956),
+	.Y(n_23962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608608 (
+	.A(n_23950),
+	.B(n_23949),
+	.Y(n_32742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608609 (
+	.A(n_32767),
+	.B(n_32766),
+	.Y(n_32714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g608611 (
+	.A1(n_23922),
+	.A2(n_23931),
+	.A3(n_23921),
+	.B1(n_32718),
+	.Y(n_23958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g608612 (
+	.A1(n_23926),
+	.A2(n_23924),
+	.A3(n_23925),
+	.B1(n_32718),
+	.Y(n_23957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608613 (
+	.A(n_23946),
+	.B(n_32749),
+	.Y(n_32746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608614 (
+	.A(n_32747),
+	.B(n_32764),
+	.Y(n_32716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608615 (
+	.A(n_32749),
+	.B(n_32717),
+	.Y(n_32712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608616 (
+	.A(n_32749),
+	.B(n_23940),
+	.Y(n_32715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608617 (
+	.A(n_32736),
+	.B(n_23949),
+	.Y(n_32673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608618 (
+	.A(n_23947),
+	.B(n_5312),
+	.Y(n_32663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608619 (
+	.A(n_23947),
+	.B(n_32764),
+	.Y(n_32721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g608620 (
+	.A(n_5320),
+	.B(n_5325),
+	.C(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.Y(n_32696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608621 (
+	.A(n_32749),
+	.B(n_32764),
+	.Y(n_32740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g608622 (
+	.A(n_23956),
+	.Y(n_32762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g608626 (
+	.A(n_23954),
+	.Y(n_32744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g608627 (
+	.A(n_5320),
+	.Y(n_32718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g608628 (
+	.A(n_32719),
+	.B(n_23943),
+	.Y(n_23952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g608629 (
+	.A_N(n_32698),
+	.B(brqrv_top_brqrv_dbg_cmd_write),
+	.Y(n_32686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g608630 (
+	.A(brqrv_top_brqrv_dbg_cmd_write),
+	.B(n_32698),
+	.X(n_32687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g608631 (
+	.A(brqrv_top_brqrv_ifu_i0_pc4),
+	.B(n_23939),
+	.Y(n_23956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608632 (
+	.A(n_261605_BAR),
+	.B(n_39251),
+	.Y(n_32702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608634 (
+	.A(n_33358),
+	.B(brqrv_top_brqrv_ifu_aln_first2B),
+	.Y(n_32766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608635 (
+	.A(n_33359),
+	.B(brqrv_top_brqrv_ifu_aln_first2B),
+	.Y(n_32767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g608636 (
+	.A(brqrv_top_brqrv_ifu_i0_pc4),
+	.B(n_23938),
+	.Y(n_23954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g608637 (
+	.A(brqrv_top_brqrv_dec_dec_debug_valid_d),
+	.B(n_32719),
+	.Y(n_5320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g608639 (
+	.A(n_32723),
+	.Y(n_23951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g608641 (
+	.A(n_32736),
+	.Y(n_23950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g608642 (
+	.A(n_32769),
+	.Y(n_23949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g608643 (
+	.A(n_5312),
+	.Y(n_32764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g608644 (
+	.A(n_23947),
+	.Y(n_32749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g608645 (
+	.A(n_23946),
+	.Y(n_32747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g608646 (
+	.A(n_23916),
+	.B(n_23915),
+	.C(n_23919),
+	.X(n_23945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g608647 (
+	.A(n_23932),
+	.B(n_23928),
+	.C(n_23907),
+	.X(n_23944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608648 (
+	.A(n_32717),
+	.B(n_32768),
+	.Y(n_32708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608649 (
+	.A(n_33361),
+	.B(brqrv_top_brqrv_ifu_aln_first2B),
+	.Y(n_32726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608650 (
+	.A(n_33362),
+	.B(brqrv_top_brqrv_ifu_aln_first2B),
+	.Y(n_32723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608651 (
+	.A(n_33360),
+	.B(brqrv_top_brqrv_ifu_aln_first2B),
+	.Y(n_32725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608652 (
+	.A(n_33363),
+	.B(brqrv_top_brqrv_ifu_aln_first2B),
+	.Y(n_32736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608653 (
+	.A(n_33364),
+	.B(brqrv_top_brqrv_ifu_aln_first2B),
+	.Y(n_32769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g608654 (
+	.A(brqrv_top_brqrv_ifu_i0_pc4),
+	.B(n_23937),
+	.Y(n_5312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g608655 (
+	.A(brqrv_top_brqrv_ifu_i0_pc4),
+	.B(n_23942),
+	.Y(n_23947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g608656 (
+	.A(brqrv_top_brqrv_ifu_i0_pc4),
+	.B(n_23936),
+	.Y(n_23946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g608658 (
+	.A(n_23941),
+	.Y(n_32768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g608659 (
+	.A(n_23940),
+	.Y(n_32717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g608660 (
+	.A(brqrv_top_brqrv_dec_dec_debug_valid_d),
+	.B(brqrv_top_brqrv_dbg_cmd_write),
+	.X(n_261605_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g608661 (
+	.A1(n_23889),
+	.A2(n_32735),
+	.B1(n_23898),
+	.B2(brqrv_top_brqrv_ifu_aln_q2[4]),
+	.C1(n_23929),
+	.Y(n_23943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g608662 (
+	.A1(n_23889),
+	.A2(brqrv_top_brqrv_ifu_aln_q0eff[21]),
+	.B1(n_23898),
+	.B2(brqrv_top_brqrv_ifu_aln_q2[5]),
+	.C1(n_23923),
+	.X(n_33358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g608663 (
+	.A1(n_23889),
+	.A2(brqrv_top_brqrv_ifu_aln_q0eff[22]),
+	.B1(n_23898),
+	.B2(brqrv_top_brqrv_ifu_aln_q2[6]),
+	.C1(n_23927),
+	.X(n_33359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g608664 (
+	.A1(n_23889),
+	.A2(n_32727),
+	.B1(n_23898),
+	.B2(brqrv_top_brqrv_ifu_aln_q2[14]),
+	.C1(n_23930),
+	.Y(n_23942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g608665 (
+	.A1(n_23897),
+	.A2(brqrv_top_brqrv_ifu_aln_q0[2]),
+	.B1(n_23896),
+	.B2(brqrv_top_brqrv_ifu_aln_q1[2]),
+	.C1(n_23918),
+	.X(n_33357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g608666 (
+	.A1(brqrv_top_brqrv_ifu_aln_f1val[0]),
+	.A2(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.B1(brqrv_top_brqrv_ifu_i0_pc4),
+	.Y(n_32719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g608667 (
+	.A(n_39251),
+	.B(n_32729),
+	.X(n_32698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g608668 (
+	.A(n_23905),
+	.B(brqrv_top_brqrv_ifu_i0_pc4),
+	.Y(n_23941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g608669 (
+	.A(n_23906),
+	.B(brqrv_top_brqrv_ifu_i0_pc4),
+	.Y(n_23940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g608670 (
+	.A1(n_23897),
+	.A2(brqrv_top_brqrv_ifu_aln_q0[3]),
+	.B1(n_23896),
+	.B2(brqrv_top_brqrv_ifu_aln_q1[3]),
+	.C1(n_23917),
+	.Y(n_23939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g608671 (
+	.A1(n_23889),
+	.A2(n_32745),
+	.B1(n_23898),
+	.B2(brqrv_top_brqrv_ifu_aln_q2[12]),
+	.C1(n_23914),
+	.Y(n_23938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g608672 (
+	.A1(n_23889),
+	.A2(n_32765),
+	.B1(n_23898),
+	.B2(brqrv_top_brqrv_ifu_aln_q2[15]),
+	.C1(n_23920),
+	.Y(n_23937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g608673 (
+	.A1(n_23889),
+	.A2(n_32737),
+	.B1(n_23898),
+	.B2(brqrv_top_brqrv_ifu_aln_q2[11]),
+	.C1(n_23913),
+	.X(n_33364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g608674 (
+	.A1(n_23889),
+	.A2(n_32763),
+	.B1(n_23898),
+	.B2(brqrv_top_brqrv_ifu_aln_q2[10]),
+	.C1(n_23912),
+	.X(n_33363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g608675 (
+	.A1(n_23889),
+	.A2(n_32748),
+	.B1(n_23898),
+	.B2(brqrv_top_brqrv_ifu_aln_q2[13]),
+	.C1(n_23911),
+	.Y(n_23936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g608676 (
+	.A1(n_23889),
+	.A2(brqrv_top_brqrv_ifu_aln_q0eff[23]),
+	.B1(n_23898),
+	.B2(brqrv_top_brqrv_ifu_aln_q2[7]),
+	.C1(n_23910),
+	.X(n_33360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g608677 (
+	.A1(n_23889),
+	.A2(brqrv_top_brqrv_ifu_aln_q0eff[24]),
+	.B1(n_23898),
+	.B2(brqrv_top_brqrv_ifu_aln_q2[8]),
+	.C1(n_23909),
+	.X(n_33361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g608678 (
+	.A1(n_23889),
+	.A2(n_32724),
+	.B1(n_23898),
+	.B2(brqrv_top_brqrv_ifu_aln_q2[9]),
+	.C1(n_23908),
+	.X(n_33362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g608679 (
+	.A(brqrv_top_brqrv_dbg_cmd_write),
+	.B(n_32729),
+	.C_N(n_39251),
+	.Y(n_23935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g608680 (
+	.A(brqrv_top_brqrv_dec_dec_debug_valid_d),
+	.Y(n_32729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608682 (
+	.A1(n_5303),
+	.A2(brqrv_top_brqrv_ifu_aln_q2[2]),
+	.B1(n_39147),
+	.B2(brqrv_top_brqrv_ifu_aln_q0[18]),
+	.Y(n_23932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608683 (
+	.A1(n_5304),
+	.A2(brqrv_top_brqrv_ifu_aln_q1[0]),
+	.B1(n_5305),
+	.B2(brqrv_top_brqrv_ifu_aln_q0[0]),
+	.Y(n_23931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g608684 (
+	.A1(n_23897),
+	.A2(brqrv_top_brqrv_ifu_aln_q0[14]),
+	.B1(n_23896),
+	.B2(brqrv_top_brqrv_ifu_aln_q1[14]),
+	.X(n_23930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g608685 (
+	.A1(n_23897),
+	.A2(brqrv_top_brqrv_ifu_aln_q0[4]),
+	.B1(n_23896),
+	.B2(brqrv_top_brqrv_ifu_aln_q1[4]),
+	.X(n_23929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608686 (
+	.A1(n_5304),
+	.A2(brqrv_top_brqrv_ifu_aln_q1[2]),
+	.B1(n_5305),
+	.B2(brqrv_top_brqrv_ifu_aln_q0[2]),
+	.Y(n_23928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g608687 (
+	.A1(n_23897),
+	.A2(brqrv_top_brqrv_ifu_aln_q0[6]),
+	.B1(n_23896),
+	.B2(brqrv_top_brqrv_ifu_aln_q1[6]),
+	.X(n_23927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608688 (
+	.A1(n_5305),
+	.A2(brqrv_top_brqrv_ifu_aln_q0[1]),
+	.B1(n_39147),
+	.B2(brqrv_top_brqrv_ifu_aln_q0[17]),
+	.Y(n_23926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608689 (
+	.A1(n_5303),
+	.A2(brqrv_top_brqrv_ifu_aln_q2[1]),
+	.B1(n_39148),
+	.B2(brqrv_top_brqrv_ifu_aln_q2[17]),
+	.Y(n_23925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608690 (
+	.A1(n_5304),
+	.A2(brqrv_top_brqrv_ifu_aln_q1[1]),
+	.B1(n_39149),
+	.B2(brqrv_top_brqrv_ifu_aln_q1[17]),
+	.Y(n_23924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g608691 (
+	.A1(n_23897),
+	.A2(brqrv_top_brqrv_ifu_aln_q0[5]),
+	.B1(n_23896),
+	.B2(brqrv_top_brqrv_ifu_aln_q1[5]),
+	.X(n_23923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608692 (
+	.A1(n_5303),
+	.A2(brqrv_top_brqrv_ifu_aln_q2[0]),
+	.B1(n_39147),
+	.B2(brqrv_top_brqrv_ifu_aln_q0[16]),
+	.Y(n_23922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608693 (
+	.A1(n_39149),
+	.A2(brqrv_top_brqrv_ifu_aln_q1[16]),
+	.B1(n_39148),
+	.B2(brqrv_top_brqrv_ifu_aln_q2[16]),
+	.Y(n_23921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g608694 (
+	.A(n_5321),
+	.B(n_32771),
+	.Y(brqrv_top_brqrv_dec_dec_debug_valid_d), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g608695 (
+	.A(n_23906),
+	.B(n_23905),
+	.X(brqrv_top_brqrv_ifu_aln_first2B), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g608696 (
+	.A1(n_23897),
+	.A2(brqrv_top_brqrv_ifu_aln_q0[15]),
+	.B1(n_23896),
+	.B2(brqrv_top_brqrv_ifu_aln_q1[15]),
+	.X(n_23920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608697 (
+	.A1(n_5303),
+	.A2(brqrv_top_brqrv_ifu_aln_q2[3]),
+	.B1(n_39148),
+	.B2(brqrv_top_brqrv_ifu_aln_q2[19]),
+	.Y(n_23919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g608698 (
+	.A1_N(brqrv_top_brqrv_ifu_aln_q2[2]),
+	.A2_N(n_23898),
+	.B1(n_23878),
+	.B2(n_23890),
+	.Y(n_23918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g608699 (
+	.A1_N(brqrv_top_brqrv_ifu_aln_q2[3]),
+	.A2_N(n_23898),
+	.B1(n_23877),
+	.B2(n_23890),
+	.Y(n_23917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608700 (
+	.A1(n_5305),
+	.A2(brqrv_top_brqrv_ifu_aln_q0[3]),
+	.B1(n_39147),
+	.B2(brqrv_top_brqrv_ifu_aln_q0[19]),
+	.Y(n_23916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608701 (
+	.A1(n_5304),
+	.A2(brqrv_top_brqrv_ifu_aln_q1[3]),
+	.B1(n_39149),
+	.B2(brqrv_top_brqrv_ifu_aln_q1[19]),
+	.Y(n_23915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g608702 (
+	.A1(n_23897),
+	.A2(brqrv_top_brqrv_ifu_aln_q0[12]),
+	.B1(n_23896),
+	.B2(brqrv_top_brqrv_ifu_aln_q1[12]),
+	.X(n_23914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g608703 (
+	.A1(n_23897),
+	.A2(brqrv_top_brqrv_ifu_aln_q0[11]),
+	.B1(n_23896),
+	.B2(brqrv_top_brqrv_ifu_aln_q1[11]),
+	.X(n_23913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g608704 (
+	.A1(n_23897),
+	.A2(brqrv_top_brqrv_ifu_aln_q0[10]),
+	.B1(n_23896),
+	.B2(brqrv_top_brqrv_ifu_aln_q1[10]),
+	.X(n_23912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g608705 (
+	.A1(n_23897),
+	.A2(brqrv_top_brqrv_ifu_aln_q0[13]),
+	.B1(n_23896),
+	.B2(brqrv_top_brqrv_ifu_aln_q1[13]),
+	.X(n_23911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g608706 (
+	.A1(n_23897),
+	.A2(brqrv_top_brqrv_ifu_aln_q0[7]),
+	.B1(n_23896),
+	.B2(brqrv_top_brqrv_ifu_aln_q1[7]),
+	.X(n_23910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g608707 (
+	.A1(n_23897),
+	.A2(brqrv_top_brqrv_ifu_aln_q0[8]),
+	.B1(n_23896),
+	.B2(brqrv_top_brqrv_ifu_aln_q1[8]),
+	.X(n_23909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g608708 (
+	.A1(n_23897),
+	.A2(brqrv_top_brqrv_ifu_aln_q0[9]),
+	.B1(n_23896),
+	.B2(brqrv_top_brqrv_ifu_aln_q1[9]),
+	.X(n_23908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608709 (
+	.A1(n_39149),
+	.A2(brqrv_top_brqrv_ifu_aln_q1[18]),
+	.B1(n_39148),
+	.B2(brqrv_top_brqrv_ifu_aln_q2[18]),
+	.Y(n_23907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608710 (
+	.A(n_23894),
+	.B(n_32814),
+	.Y(n_23906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608711 (
+	.A(n_23895),
+	.B(n_32814),
+	.Y(n_23905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g608712 (
+	.A(n_32772),
+	.B(n_23884),
+	.C(n_23892),
+	.D(n_33473),
+	.X(n_32771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g608719 (
+	.A(brqrv_top_brqrv_ifu_aln_n_2316),
+	.B(n_32692),
+	.Y(n_5305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g608721 (
+	.A(brqrv_top_brqrv_ifu_aln_n_2319),
+	.B(n_32692),
+	.Y(n_5303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g608724 (
+	.A(brqrv_top_brqrv_ifu_aln_n_2310),
+	.B(n_32692),
+	.Y(n_5304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g608725 (
+	.A(brqrv_top_brqrv_ifu_aln_n_2316),
+	.B(n_23891),
+	.Y(n_23898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g608726 (
+	.A(brqrv_top_brqrv_ifu_aln_n_2310),
+	.B(n_23891),
+	.Y(n_23897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g608727 (
+	.A1(n_23875),
+	.A2(brqrv_top_brqrv_ifu_aln_q0ptr),
+	.B1(n_23888),
+	.B2(n_5325),
+	.Y(n_23895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g608728 (
+	.A1(n_23883),
+	.A2(brqrv_top_brqrv_ifu_aln_q0ptr),
+	.B1(n_23887),
+	.B2(n_5325),
+	.Y(n_23894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g608729 (
+	.A1(brqrv_top_brqrv_dbg_command_reg[17]),
+	.A2(brqrv_top_brqrv_dbg_n_4261),
+	.B1_N(n_32776),
+	.Y(n_33473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g608730 (
+	.A1(n_5321),
+	.A2(brqrv_top_brqrv_dbg_data1_reg[4]),
+	.B1(n_33474),
+	.B2(brqrv_top_brqrv_dbg_command_reg[4]),
+	.X(brqrv_top_brqrv_dbg_cmd_addr[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g608731 (
+	.A1(n_5321),
+	.A2(brqrv_top_brqrv_dbg_data1_reg[2]),
+	.B1(n_33474),
+	.B2(brqrv_top_brqrv_dbg_command_reg[2]),
+	.X(brqrv_top_brqrv_dbg_cmd_addr[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g608732 (
+	.A1(n_5321),
+	.A2(brqrv_top_brqrv_dbg_data1_reg[1]),
+	.B1(n_33474),
+	.B2(brqrv_top_brqrv_dbg_command_reg[1]),
+	.X(brqrv_top_brqrv_dbg_cmd_addr[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g608733 (
+	.A1(n_5321),
+	.A2(brqrv_top_brqrv_dbg_data1_reg[3]),
+	.B1(n_33474),
+	.B2(brqrv_top_brqrv_dbg_command_reg[3]),
+	.X(brqrv_top_brqrv_dbg_cmd_addr[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g608734 (
+	.A1(n_5321),
+	.A2(brqrv_top_brqrv_dbg_data1_reg[0]),
+	.B1(n_33474),
+	.B2(brqrv_top_brqrv_dbg_command_reg[0]),
+	.X(brqrv_top_brqrv_dbg_cmd_addr[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g608735 (
+	.A(brqrv_top_brqrv_ifu_aln_n_2319),
+	.B(n_23891),
+	.Y(n_23896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g608736 (
+	.A(n_30516),
+	.B(n_23886),
+	.Y(n_23893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g608737 (
+	.A_N(n_30516),
+	.B(n_23886),
+	.Y(n_32692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g608738 (
+	.A(n_23892),
+	.Y(n_32775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g608739 (
+	.A(n_23890),
+	.Y(n_23889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g608740 (
+	.A1(n_23862),
+	.A2(brqrv_top_brqrv_dbg_data1_reg[31]),
+	.A3(brqrv_top_brqrv_dbg_data1_reg[29]),
+	.B1(n_33474),
+	.Y(n_23892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608741 (
+	.A(n_5325),
+	.B(n_32814),
+	.Y(n_23891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608742 (
+	.A(brqrv_top_brqrv_ifu_aln_q0ptr),
+	.B(n_32814),
+	.Y(n_23890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g608743 (
+	.A(n_23882),
+	.Y(n_32735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g608744 (
+	.A(n_23881),
+	.Y(n_32727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g608745 (
+	.A(n_23880),
+	.Y(n_32724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g608746 (
+	.A(n_23879),
+	.Y(brqrv_top_brqrv_ifu_aln_q0eff[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g608747 (
+	.A(n_23886),
+	.Y(brqrv_top_brqrv_ifu_aln_q1ptr), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g608749 (
+	.A_N(brqrv_top_brqrv_dma_ctrl_n_2635),
+	.B(n_32773),
+	.Y(n_23884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608750 (
+	.A1(brqrv_top_brqrv_ifu_aln_q0[0]),
+	.A2(n_6034),
+	.B1(n_5301),
+	.B2(brqrv_top_brqrv_ifu_aln_q1[0]),
+	.C1(n_5302),
+	.C2(brqrv_top_brqrv_ifu_aln_q2[0]),
+	.Y(n_23883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608751 (
+	.A1(brqrv_top_brqrv_ifu_aln_q0[20]),
+	.A2(n_6034),
+	.B1(n_5302),
+	.B2(brqrv_top_brqrv_ifu_aln_q2[20]),
+	.C1(n_5301),
+	.C2(brqrv_top_brqrv_ifu_aln_q1[20]),
+	.Y(n_23882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608752 (
+	.A1(brqrv_top_brqrv_ifu_aln_q0[17]),
+	.A2(n_6034),
+	.B1(n_5302),
+	.B2(brqrv_top_brqrv_ifu_aln_q2[17]),
+	.C1(n_5301),
+	.C2(brqrv_top_brqrv_ifu_aln_q1[17]),
+	.Y(n_23888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608753 (
+	.A1(brqrv_top_brqrv_ifu_aln_q1[30]),
+	.A2(n_5301),
+	.B1(n_6034),
+	.B2(brqrv_top_brqrv_ifu_aln_q0[30]),
+	.C1(n_5302),
+	.C2(brqrv_top_brqrv_ifu_aln_q2[30]),
+	.Y(n_23881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608754 (
+	.A1(brqrv_top_brqrv_ifu_aln_q0[25]),
+	.A2(n_6034),
+	.B1(n_5301),
+	.B2(brqrv_top_brqrv_ifu_aln_q1[25]),
+	.C1(n_5302),
+	.C2(brqrv_top_brqrv_ifu_aln_q2[25]),
+	.Y(n_23880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608755 (
+	.A1(brqrv_top_brqrv_ifu_aln_q2[16]),
+	.A2(n_5302),
+	.B1(n_5301),
+	.B2(brqrv_top_brqrv_ifu_aln_q1[16]),
+	.C1(n_6034),
+	.C2(brqrv_top_brqrv_ifu_aln_q0[16]),
+	.Y(n_23887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g608756 (
+	.A_N(brqrv_top_brqrv_dbg_command_reg[25]),
+	.B(n_23866),
+	.Y(brqrv_top_brqrv_dbg_n_4261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608757 (
+	.A1(brqrv_top_brqrv_ifu_aln_q0[21]),
+	.A2(n_6034),
+	.B1(n_5302),
+	.B2(brqrv_top_brqrv_ifu_aln_q2[21]),
+	.C1(n_5301),
+	.C2(brqrv_top_brqrv_ifu_aln_q1[21]),
+	.Y(n_23879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608758 (
+	.A1(brqrv_top_brqrv_ifu_aln_q1off),
+	.A2(n_6034),
+	.B1(n_5302),
+	.B2(brqrv_top_brqrv_ifu_aln_q0off),
+	.C1(n_5301),
+	.C2(brqrv_top_brqrv_ifu_aln_q2off),
+	.Y(n_23886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608759 (
+	.A(n_23866),
+	.B(brqrv_top_brqrv_dbg_command_reg[25]),
+	.Y(n_33474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g608760 (
+	.A(n_23874),
+	.Y(n_32745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g608761 (
+	.A(n_23873),
+	.Y(n_32763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g608762 (
+	.A(n_23872),
+	.Y(n_32737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g608763 (
+	.A(n_23871),
+	.Y(brqrv_top_brqrv_ifu_aln_q0eff[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g608764 (
+	.A(n_23870),
+	.Y(n_32748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g608765 (
+	.A(n_23869),
+	.Y(brqrv_top_brqrv_ifu_aln_q0eff[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g608766 (
+	.A(n_23868),
+	.Y(brqrv_top_brqrv_ifu_aln_q0eff[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g608767 (
+	.A(n_23867),
+	.Y(n_32765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608769 (
+	.A1(brqrv_top_brqrv_ifu_aln_q0[1]),
+	.A2(n_6034),
+	.B1(n_5301),
+	.B2(brqrv_top_brqrv_ifu_aln_q1[1]),
+	.C1(n_5302),
+	.C2(brqrv_top_brqrv_ifu_aln_q2[1]),
+	.Y(n_23875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608770 (
+	.A1(brqrv_top_brqrv_ifu_aln_q0[18]),
+	.A2(n_6034),
+	.B1(n_5302),
+	.B2(brqrv_top_brqrv_ifu_aln_q2[18]),
+	.C1(n_5301),
+	.C2(brqrv_top_brqrv_ifu_aln_q1[18]),
+	.Y(n_23878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608771 (
+	.A1(brqrv_top_brqrv_ifu_aln_q0[28]),
+	.A2(n_6034),
+	.B1(n_5302),
+	.B2(brqrv_top_brqrv_ifu_aln_q2[28]),
+	.C1(n_5301),
+	.C2(brqrv_top_brqrv_ifu_aln_q1[28]),
+	.Y(n_23874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608772 (
+	.A1(brqrv_top_brqrv_ifu_aln_q0[19]),
+	.A2(n_6034),
+	.B1(n_5302),
+	.B2(brqrv_top_brqrv_ifu_aln_q2[19]),
+	.C1(n_5301),
+	.C2(brqrv_top_brqrv_ifu_aln_q1[19]),
+	.Y(n_23877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608773 (
+	.A1(brqrv_top_brqrv_ifu_aln_q0[26]),
+	.A2(n_6034),
+	.B1(n_5301),
+	.B2(brqrv_top_brqrv_ifu_aln_q1[26]),
+	.C1(n_5302),
+	.C2(brqrv_top_brqrv_ifu_aln_q2[26]),
+	.Y(n_23873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608774 (
+	.A1(brqrv_top_brqrv_ifu_aln_q0[27]),
+	.A2(n_6034),
+	.B1(n_5302),
+	.B2(brqrv_top_brqrv_ifu_aln_q2[27]),
+	.C1(n_5301),
+	.C2(brqrv_top_brqrv_ifu_aln_q1[27]),
+	.Y(n_23872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608775 (
+	.A1(brqrv_top_brqrv_ifu_aln_q0[23]),
+	.A2(n_6034),
+	.B1(n_5302),
+	.B2(brqrv_top_brqrv_ifu_aln_q2[23]),
+	.C1(n_5301),
+	.C2(brqrv_top_brqrv_ifu_aln_q1[23]),
+	.Y(n_23871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608776 (
+	.A1(brqrv_top_brqrv_ifu_aln_q0[29]),
+	.A2(n_6034),
+	.B1(n_5302),
+	.B2(brqrv_top_brqrv_ifu_aln_q2[29]),
+	.C1(n_5301),
+	.C2(brqrv_top_brqrv_ifu_aln_q1[29]),
+	.Y(n_23870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608777 (
+	.A1(brqrv_top_brqrv_ifu_aln_q0[24]),
+	.A2(n_6034),
+	.B1(n_5302),
+	.B2(brqrv_top_brqrv_ifu_aln_q2[24]),
+	.C1(n_5301),
+	.C2(brqrv_top_brqrv_ifu_aln_q1[24]),
+	.Y(n_23869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608778 (
+	.A1(brqrv_top_brqrv_ifu_aln_q0[22]),
+	.A2(n_6034),
+	.B1(n_5302),
+	.B2(brqrv_top_brqrv_ifu_aln_q2[22]),
+	.C1(n_5301),
+	.C2(brqrv_top_brqrv_ifu_aln_q1[22]),
+	.Y(n_23868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608779 (
+	.A1(brqrv_top_brqrv_ifu_aln_q0[31]),
+	.A2(n_6034),
+	.B1(n_5301),
+	.B2(brqrv_top_brqrv_ifu_aln_q1[31]),
+	.C1(n_5302),
+	.C2(brqrv_top_brqrv_ifu_aln_q2[31]),
+	.Y(n_23867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g608780 (
+	.A1(n_5302),
+	.A2(brqrv_top_brqrv_ifu_aln_q2off),
+	.B1(n_23864),
+	.X(brqrv_top_brqrv_ifu_aln_q0ptr), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g608781 (
+	.A(brqrv_top_brqrv_dbg_command_reg[29]),
+	.B(brqrv_top_brqrv_dbg_command_reg[27]),
+	.C(brqrv_top_brqrv_dbg_command_reg[24]),
+	.D(n_23861),
+	.Y(n_23866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g608783 (
+	.A1(n_6034),
+	.A2(brqrv_top_brqrv_ifu_aln_q0off),
+	.B1(n_5301),
+	.B2(brqrv_top_brqrv_ifu_aln_q1off),
+	.X(n_23864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608784 (
+	.A(brqrv_top_brqrv_dma_ctrl_bus_cmd_valid),
+	.B(n_23856),
+	.Y(n_32773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g608785 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_valid[3]),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_valid[4]),
+	.C(n_23863),
+	.X(brqrv_top_brqrv_dma_ctrl_n_2635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g608786 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_valid[0]),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_valid[1]),
+	.C(brqrv_top_brqrv_dma_ctrl_fifo_valid[2]),
+	.X(n_23863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g608787 (
+	.A(brqrv_top_brqrv_dbg_abstractcs_reg[8]),
+	.B(brqrv_top_brqrv_dbg_abstractcs_reg[9]),
+	.C(brqrv_top_brqrv_dbg_abstractcs_reg[10]),
+	.X(n_32776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g608788 (
+	.A_N(brqrv_top_brqrv_dma_ctrl_rdbuf_vld),
+	.B(n_32774),
+	.Y(brqrv_top_brqrv_dma_ctrl_bus_cmd_valid), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g608789 (
+	.A_N(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.B(n_30516),
+	.Y(n_32814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g608790 (
+	.A1_N(brqrv_top_brqrv_dbg_data1_reg[28]),
+	.A2_N(brqrv_top_brqrv_dbg_data1_reg[30]),
+	.B1(brqrv_top_brqrv_dbg_data1_reg[28]),
+	.B2(brqrv_top_brqrv_dbg_data1_reg[30]),
+	.Y(n_23862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g608791 (
+	.A(brqrv_top_brqrv_dbg_command_reg[26]),
+	.B(brqrv_top_brqrv_dbg_command_reg[30]),
+	.C(brqrv_top_brqrv_dbg_command_reg[31]),
+	.D(brqrv_top_brqrv_dbg_command_reg[28]),
+	.X(n_23861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g608792 (
+	.A(n_39120),
+	.B(brqrv_top_brqrv_dbg_dbg_state[1]),
+	.C(brqrv_top_brqrv_dbg_dbg_state[0]),
+	.Y(n_32772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g608794 (
+	.A(n_5301),
+	.Y(brqrv_top_brqrv_ifu_aln_n_2319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608795 (
+	.A(brqrv_top_brqrv_dma_ctrl_wrbuf_data_vld),
+	.B(brqrv_top_brqrv_dma_ctrl_wrbuf_vld),
+	.Y(n_32774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g608796 (
+	.A_N(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.B(brqrv_top_brqrv_ifu_aln_f0val[0]),
+	.Y(n_30516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_4 g608797 (
+	.A_N(brqrv_top_brqrv_ifu_aln_rdptr[1]),
+	.B(brqrv_top_brqrv_ifu_aln_rdptr[0]),
+	.X(n_5301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g608798 (
+	.A(n_39120),
+	.Y(n_32738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g608799 (
+	.A(n_5302),
+	.Y(brqrv_top_brqrv_ifu_aln_n_2316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g608800 (
+	.A(n_6034),
+	.Y(brqrv_top_brqrv_ifu_aln_n_2310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g608801 (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_full_spec_bus),
+	.B(brqrv_top_brqrv_dma_ctrl_dbg_dma_bubble_bus),
+	.Y(n_23856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_4 g608803 (
+	.A_N(brqrv_top_brqrv_ifu_aln_rdptr[0]),
+	.B(brqrv_top_brqrv_ifu_aln_rdptr[1]),
+	.X(n_5302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g608804 (
+	.A(brqrv_top_brqrv_ifu_aln_rdptr[0]),
+	.B(brqrv_top_brqrv_ifu_aln_rdptr[1]),
+	.Y(n_6034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g750681 (
+	.A_N(n_23964),
+	.B(n_23974),
+	.Y(n_23855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g608805 (
+	.A_N(n_32740),
+	.B(n_32717),
+	.Y(n_23854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ba_1 g608806 (
+	.A1(n_32767),
+	.A2(n_32766),
+	.B1_N(n_32736),
+	.X(n_23853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g608807 (
+	.A(n_23951),
+	.B_N(n_32726),
+	.Y(n_23852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g608808 (
+	.A(n_23954),
+	.B_N(n_32725),
+	.Y(n_23851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g608809 (
+	.A_N(n_23943),
+	.B(brqrv_top_brqrv_ifu_aln_first2B),
+	.Y(n_32734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605595 (
+	.A(n_23850),
+	.B(n_32728),
+	.Y(brqrv_top_brqrv_dec_tlu_i0_kill_writeb_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g605596 (
+	.A(n_23849),
+	.B(n_23847),
+	.C(n_23846),
+	.D(n_23848),
+	.Y(brqrv_top_brqrv_dec_tlu_trigger_hit_dmode_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g605597 (
+	.A(n_23825),
+	.B(n_32705),
+	.C_N(n_32610),
+	.Y(n_23850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g605598 (
+	.A_N(brqrv_top_brqrv_dec_tlu_i0_trigger_hit_raw_r),
+	.B(n_32706),
+	.Y(n_32705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4b_1 g605599 (
+	.A(n_23844),
+	.B(n_23845),
+	.C(brqrv_top_brqrv_dec_tlu_i0_trigger_chain_masked_r[1]),
+	.D_N(n_32750),
+	.X(brqrv_top_brqrv_dec_tlu_i0_trigger_hit_raw_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g605600 (
+	.A(brqrv_top_brqrv_dec_tlu_i0_trigger_chain_masked_r[1]),
+	.B(brqrv_top_brqrv_dec_tlu_mtdata1_t1[9]),
+	.C(brqrv_top_brqrv_dec_tlu_mtdata1_t1[6]),
+	.Y(n_23849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g605601 (
+	.A1(n_32755),
+	.A2(brqrv_top_brqrv_dec_tlu_mtdata1_t0[5]),
+	.B1(n_32754),
+	.C1(n_23842),
+	.Y(brqrv_top_brqrv_dec_tlu_i0_trigger_chain_masked_r[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g605602 (
+	.A(n_23845),
+	.B(brqrv_top_brqrv_dec_tlu_mtdata1_t0[6]),
+	.C(brqrv_top_brqrv_dec_tlu_mtdata1_t0[9]),
+	.Y(n_23848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g605603 (
+	.A_N(n_32750),
+	.B(brqrv_top_brqrv_dec_tlu_mtdata1_t3[9]),
+	.C(brqrv_top_brqrv_dec_tlu_mtdata1_t3[6]),
+	.Y(n_23847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g605604 (
+	.A1(n_32752),
+	.A2(brqrv_top_brqrv_dec_tlu_mtdata1_t2[5]),
+	.B1(n_32751),
+	.C1(n_23841),
+	.X(n_32750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g605605 (
+	.A(n_23844),
+	.B(brqrv_top_brqrv_dec_tlu_mtdata1_t2[6]),
+	.C(brqrv_top_brqrv_dec_tlu_mtdata1_t2[9]),
+	.Y(n_23846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g605606 (
+	.A(brqrv_top_brqrv_dec_tlu_mtdata1_t0[5]),
+	.B(n_32755),
+	.Y(n_23845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g605607 (
+	.A(brqrv_top_brqrv_dec_tlu_debug_mode),
+	.B(n_32704),
+	.Y(brqrv_top_brqrv_dec_tlu_debug_halt_req), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g605608 (
+	.A(brqrv_top_brqrv_dec_tlu_mtdata1_t2[5]),
+	.B(n_32752),
+	.Y(n_23844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g605609 (
+	.A_N(brqrv_top_brqrv_dec_tlu_ext_int_freeze_d1),
+	.B(brqrv_top_brqrv_dec_tlu_n_3468),
+	.Y(n_32704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605610 (
+	.A(n_23843),
+	.B(n_33495),
+	.Y(n_32755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g605611 (
+	.A_N(brqrv_top_brqrv_dec_tlu_dbg_halt_req_held),
+	.B(n_32731),
+	.Y(brqrv_top_brqrv_dec_tlu_n_3468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a311oi_1 g605612 (
+	.A1(brqrv_top_brqrv_trigger_pkt_any[37]),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[35]),
+	.A3(brqrv_top_brqrv_lsu_error_pkt_r[0]),
+	.B1(n_32757),
+	.C1(n_23837),
+	.Y(n_23843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g605613 (
+	.A(n_32753),
+	.B(n_23834),
+	.C(n_23836),
+	.D(n_23833),
+	.X(n_32752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605614 (
+	.A(n_33395),
+	.B(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.Y(n_31857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605615 (
+	.A(n_33390),
+	.B(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.Y(n_31837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605616 (
+	.A(n_33391),
+	.B(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.Y(n_31836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605617 (
+	.A(n_33392),
+	.B(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.Y(n_31860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605618 (
+	.A(n_33393),
+	.B(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.Y(n_31859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605619 (
+	.A(n_33394),
+	.B(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.Y(n_31858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605620 (
+	.A(n_33404),
+	.B(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.Y(n_31851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605621 (
+	.A(n_33396),
+	.B(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.Y(n_31856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605622 (
+	.A(n_33397),
+	.B(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.Y(n_31855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605623 (
+	.A(n_33398),
+	.B(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.Y(n_32672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605624 (
+	.A(n_33399),
+	.B(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.Y(n_31854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g605625 (
+	.A_N(n_23838),
+	.B(brqrv_top_brqrv_dbg_dmcontrol_reg[31]),
+	.Y(n_32731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605626 (
+	.A(n_33405),
+	.B(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.Y(n_31850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605627 (
+	.A(n_33377),
+	.B(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.Y(n_31849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605628 (
+	.A(n_33378),
+	.B(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.Y(n_31848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605629 (
+	.A(n_33381),
+	.B(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.Y(n_32667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605630 (
+	.A(n_33383),
+	.B(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.Y(n_31844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605631 (
+	.A(n_33384),
+	.B(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.Y(n_31843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605632 (
+	.A(n_33385),
+	.B(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.Y(n_31842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605633 (
+	.A(n_33386),
+	.B(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.Y(n_31841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605634 (
+	.A(n_33387),
+	.B(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.Y(n_31840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605635 (
+	.A(n_33388),
+	.B(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.Y(n_31839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605636 (
+	.A(n_33389),
+	.B(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.Y(n_31838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g605637 (
+	.A1(n_23822),
+	.A2(n_23829),
+	.B1(n_23840),
+	.Y(n_23842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g605638 (
+	.A1(n_23824),
+	.A2(n_23829),
+	.B1(n_23839),
+	.Y(n_23841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g605639 (
+	.A(n_23835),
+	.B(n_23832),
+	.Y(n_23840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g605640 (
+	.A(n_23831),
+	.B(n_23830),
+	.Y(n_23839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g605641 (
+	.A1(n_23821),
+	.A2(brqrv_top_brqrv_dbg_dmcontrol_wren_Q),
+	.B1_N(n_32732),
+	.Y(n_23838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g605642 (
+	.A1(n_23826),
+	.A2(n_23829),
+	.B1(brqrv_top_brqrv_dec_decode_r_t[8]),
+	.B2(n_23823),
+	.Y(n_23837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g605643 (
+	.A1(brqrv_top_brqrv_lsu_ldst_dual_r),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[17]),
+	.B1(n_32816),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[17]),
+	.X(n_33391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g605644 (
+	.A1(brqrv_top_brqrv_lsu_ldst_dual_r),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[19]),
+	.B1(n_32816),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[19]),
+	.X(n_33393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g605645 (
+	.A1(brqrv_top_brqrv_lsu_ldst_dual_r),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[20]),
+	.B1(n_32816),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[20]),
+	.X(n_33394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g605646 (
+	.A1(brqrv_top_brqrv_lsu_ldst_dual_r),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[21]),
+	.B1(n_32816),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[21]),
+	.X(n_33395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g605647 (
+	.A1(brqrv_top_brqrv_lsu_ldst_dual_r),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[22]),
+	.B1(n_32816),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[22]),
+	.X(n_33396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g605648 (
+	.A1(brqrv_top_brqrv_lsu_ldst_dual_r),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[23]),
+	.B1(n_32816),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[23]),
+	.X(n_33397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g605649 (
+	.A1(brqrv_top_brqrv_lsu_ldst_dual_r),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[24]),
+	.B1(n_32816),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[24]),
+	.X(n_33398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g605650 (
+	.A1(brqrv_top_brqrv_lsu_ldst_dual_r),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[25]),
+	.B1(n_32816),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[25]),
+	.X(n_33399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g605651 (
+	.A1(brqrv_top_brqrv_lsu_ldst_dual_r),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[18]),
+	.B1(n_32816),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[18]),
+	.X(n_33392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g605652 (
+	.A1(brqrv_top_brqrv_lsu_ldst_dual_r),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[30]),
+	.B1(n_32816),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[30]),
+	.X(n_33404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g605653 (
+	.A1(brqrv_top_brqrv_lsu_ldst_dual_r),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[31]),
+	.B1(n_32816),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[31]),
+	.X(n_33405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g605654 (
+	.A1(brqrv_top_brqrv_lsu_ldst_dual_r),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[3]),
+	.B1(n_32816),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[3]),
+	.X(n_33377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g605655 (
+	.A1(brqrv_top_brqrv_lsu_ldst_dual_r),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[4]),
+	.B1(n_32816),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[4]),
+	.X(n_33378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g605656 (
+	.A1(brqrv_top_brqrv_lsu_ldst_dual_r),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[7]),
+	.B1(n_32816),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[7]),
+	.X(n_33381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g605657 (
+	.A1(brqrv_top_brqrv_lsu_ldst_dual_r),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[9]),
+	.B1(n_32816),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[9]),
+	.X(n_33383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g605658 (
+	.A1(brqrv_top_brqrv_lsu_ldst_dual_r),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[10]),
+	.B1(n_32816),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[10]),
+	.X(n_33384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g605659 (
+	.A1(brqrv_top_brqrv_lsu_ldst_dual_r),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[11]),
+	.B1(n_32816),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[11]),
+	.X(n_33385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g605660 (
+	.A1(brqrv_top_brqrv_lsu_ldst_dual_r),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[12]),
+	.B1(n_32816),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[12]),
+	.X(n_33386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g605661 (
+	.A1(brqrv_top_brqrv_lsu_ldst_dual_r),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[13]),
+	.B1(n_32816),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[13]),
+	.X(n_33387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g605662 (
+	.A1(brqrv_top_brqrv_lsu_ldst_dual_r),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[14]),
+	.B1(n_32816),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[14]),
+	.X(n_33388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g605663 (
+	.A1(brqrv_top_brqrv_lsu_ldst_dual_r),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[15]),
+	.B1(n_32816),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[15]),
+	.X(n_33389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g605664 (
+	.A1(brqrv_top_brqrv_lsu_ldst_dual_r),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[16]),
+	.B1(n_32816),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[16]),
+	.X(n_33390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g605665 (
+	.A(n_23827),
+	.B(n_23829),
+	.Y(n_23836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g605666 (
+	.A1(n_23820),
+	.A2(brqrv_top_brqrv_dec_decode_lsu_trigger_match_r[1]),
+	.B1(brqrv_top_brqrv_dec_decode_r_t[9]),
+	.Y(n_23835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g605667 (
+	.A1(n_23820),
+	.A2(brqrv_top_brqrv_dec_decode_lsu_trigger_match_r[2]),
+	.B1(brqrv_top_brqrv_dec_decode_r_t[10]),
+	.Y(n_23834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g605668 (
+	.A1(n_33495),
+	.A2(n_32759),
+	.B1(n_23818),
+	.Y(n_23833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g605669 (
+	.A1(n_33495),
+	.A2(n_32758),
+	.B1(n_23818),
+	.Y(n_23832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g605670 (
+	.A1(n_23820),
+	.A2(brqrv_top_brqrv_dec_decode_lsu_trigger_match_r[3]),
+	.B1(brqrv_top_brqrv_dec_decode_r_t[11]),
+	.Y(n_23831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g605671 (
+	.A1(n_33495),
+	.A2(n_32760),
+	.B1(n_23818),
+	.Y(n_23830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g605672 (
+	.A(n_32770),
+	.B_N(brqrv_top_brqrv_dbg_data1_reg[27]),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g605673 (
+	.A(n_32770),
+	.B_N(brqrv_top_brqrv_dbg_data1_reg[22]),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g605674 (
+	.A(n_32770),
+	.B_N(brqrv_top_brqrv_dbg_data1_reg[21]),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g605675 (
+	.A(n_32770),
+	.B_N(brqrv_top_brqrv_dbg_data1_reg[20]),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g605676 (
+	.A(n_32770),
+	.B_N(brqrv_top_brqrv_dbg_data1_reg[19]),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g605677 (
+	.A(n_32770),
+	.B_N(brqrv_top_brqrv_dbg_data1_reg[18]),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g605678 (
+	.A(n_32770),
+	.B_N(brqrv_top_brqrv_dbg_data1_reg[17]),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g605679 (
+	.A(n_32770),
+	.B_N(brqrv_top_brqrv_dbg_data1_reg[15]),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g605680 (
+	.A(n_32770),
+	.B_N(brqrv_top_brqrv_dbg_data1_reg[14]),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g605681 (
+	.A(n_32770),
+	.B_N(brqrv_top_brqrv_dbg_data1_reg[13]),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g605682 (
+	.A(n_32770),
+	.B_N(brqrv_top_brqrv_dbg_data1_reg[12]),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g605683 (
+	.A(n_32770),
+	.B_N(brqrv_top_brqrv_dbg_data1_reg[16]),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g605684 (
+	.A(n_32770),
+	.B_N(brqrv_top_brqrv_dbg_data1_reg[23]),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g605685 (
+	.A(n_32770),
+	.B_N(brqrv_top_brqrv_dbg_data1_reg[30]),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g605686 (
+	.A(brqrv_top_brqrv_dbg_n_5),
+	.B(n_32738),
+	.X(n_32732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g605688 (
+	.A(n_32816),
+	.Y(brqrv_top_brqrv_lsu_ldst_dual_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g605689 (
+	.A1(brqrv_top_brqrv_trigger_pkt_any[113]),
+	.A2(brqrv_top_brqrv_dec_tlu_mtdata1_t2[2]),
+	.B1(n_32756),
+	.Y(n_23827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g605690 (
+	.A(n_32770),
+	.B_N(brqrv_top_brqrv_dbg_data1_reg[24]),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g605691 (
+	.A(n_32770),
+	.B_N(brqrv_top_brqrv_dbg_data1_reg[25]),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g605692 (
+	.A(n_32770),
+	.B_N(brqrv_top_brqrv_dbg_data1_reg[26]),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g605693 (
+	.A1(brqrv_top_brqrv_trigger_pkt_any[37]),
+	.A2(brqrv_top_brqrv_dec_tlu_mtdata1_t0[2]),
+	.B1(n_32756),
+	.Y(n_23826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g605694 (
+	.A(brqrv_top_brqrv_dec_decode_r_t[5]),
+	.B(n_32761),
+	.C_N(brqrv_top_brqrv_dec_tlu_dbg_halted),
+	.Y(n_23825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g605695 (
+	.A1(brqrv_top_brqrv_trigger_pkt_any[151]),
+	.A2(brqrv_top_brqrv_dec_tlu_mtdata1_t3[2]),
+	.B1(n_32756),
+	.Y(n_23824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g605696 (
+	.A(n_23820),
+	.B(brqrv_top_brqrv_dec_decode_lsu_trigger_match_r[0]),
+	.X(n_23823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g605697 (
+	.A1(brqrv_top_brqrv_trigger_pkt_any[75]),
+	.A2(brqrv_top_brqrv_dec_tlu_mtdata1_t1[2]),
+	.B1(n_32756),
+	.Y(n_23822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g605698 (
+	.A1(brqrv_top_brqrv_dbg_dbg_state[2]),
+	.A2(brqrv_top_brqrv_dbg_dbg_state[1]),
+	.B1(brqrv_top_brqrv_dbg_dbg_state[3]),
+	.Y(n_23821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g605699 (
+	.A1(brqrv_top_brqrv_dec_tlu_mtdata1_t1[6]),
+	.A2(brqrv_top_brqrv_dec_tlu_mstatus[0]),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[70]),
+	.Y(n_32754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g605700 (
+	.A1(brqrv_top_brqrv_dec_tlu_mtdata1_t3[6]),
+	.A2(brqrv_top_brqrv_dec_tlu_mstatus[0]),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[146]),
+	.Y(n_32751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g605701 (
+	.A1(brqrv_top_brqrv_dec_tlu_mtdata1_t0[6]),
+	.A2(brqrv_top_brqrv_dec_tlu_mstatus[0]),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[32]),
+	.Y(n_32757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g605702 (
+	.A1(brqrv_top_brqrv_dec_tlu_mtdata1_t2[6]),
+	.A2(brqrv_top_brqrv_dec_tlu_mstatus[0]),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[108]),
+	.Y(n_32753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g605703 (
+	.A(n_32756),
+	.B_N(n_32728),
+	.Y(n_23829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2o_1 g605704 (
+	.A1_N(brqrv_top_brqrv_lsu_lsu_addr_r[2]),
+	.A2_N(brqrv_top_brqrv_lsu_end_addr_r[2]),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_r[2]),
+	.B2(brqrv_top_brqrv_lsu_end_addr_r[2]),
+	.X(n_32816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605706 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_end_addr_r[2]),
+	.Y(n_31867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605707 (
+	.A(brqrv_top_brqrv_trigger_pkt_any[37]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[35]),
+	.Y(n_32733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g605708 (
+	.A_N(n_32761),
+	.B(brqrv_top_brqrv_dec_decode_r_t[16]),
+	.Y(n_32728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g605709 (
+	.A(brqrv_top_brqrv_dec_decode_r_d[2]),
+	.B(brqrv_top_brqrv_dec_decode_r_d[3]),
+	.X(n_23820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605711 (
+	.A(brqrv_top_brqrv_trigger_pkt_any[75]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[73]),
+	.Y(n_32758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g605712 (
+	.A(brqrv_top_brqrv_dbg_dbg_state[0]),
+	.B(brqrv_top_brqrv_dbg_dbg_state[1]),
+	.X(brqrv_top_brqrv_dbg_n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605713 (
+	.A(brqrv_top_brqrv_trigger_pkt_any[113]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[111]),
+	.Y(n_32759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605714 (
+	.A(brqrv_top_brqrv_trigger_pkt_any[151]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[149]),
+	.Y(n_32760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g605715 (
+	.A_N(brqrv_top_brqrv_dec_tlu_flush_lower_wb),
+	.B(brqrv_top_brqrv_lsu_error_pkt_r[0]),
+	.Y(n_32610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g605716 (
+	.A(n_33474),
+	.B(n_32771),
+	.X(n_32770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g750682 (
+	.A(brqrv_top_brqrv_lsu_error_pkt_r[0]),
+	.B_N(n_33495),
+	.Y(n_23818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g750683 (
+	.A1(n_23817),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_valid_ff ),
+	.B1(n_31386),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g750684 (
+	.A1(n_23816),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_valid_ff ),
+	.B1(n_31386),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g750685 (
+	.A1(n_23815),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_valid_ff ),
+	.B1(n_31386),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g604311 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [28]),
+	.Y(n_23817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g604312 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [26]),
+	.Y(n_23816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g604313 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [27]),
+	.Y(n_23815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g604314 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_valid_ff ),
+	.A2(n_23812),
+	.B1(n_31386),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g750686 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_valid_ff ),
+	.A2(n_23813),
+	.B1(n_31386),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g750687 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_valid_ff ),
+	.A2(n_23814),
+	.B1(n_31386),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750688 (
+	.A(n_366756_BAR),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_valid_ff ),
+	.Y(n_31386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750689 (
+	.A(brqrv_top_brqrv_dec_decode_r_d[0]),
+	.B(brqrv_top_brqrv_dec_decode_r_d[23]),
+	.Y(n_31384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g604397 (
+	.A(n_23808),
+	.B(n_23810),
+	.C(n_261167_BAR),
+	.D(n_23811),
+	.Y(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_en ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g604398 (
+	.A1(n_31106),
+	.A2(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_n_39 ),
+	.B1(n_23807),
+	.C1(n_23809),
+	.Y(n_23811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g604399 (
+	.A1_N(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_n_40 ),
+	.A2_N(n_32249),
+	.B1(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_n_38 ),
+	.B2(n_32263),
+	.Y(n_23810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g604400 (
+	.A1_N(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_n_41 ),
+	.A2_N(n_32272),
+	.B1(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_n_41 ),
+	.B2(n_32272),
+	.Y(n_23809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g604401 (
+	.A1_N(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_n_39 ),
+	.A2_N(n_31106),
+	.B1(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_n_40 ),
+	.B2(n_32249),
+	.Y(n_23808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g604402 (
+	.A(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_n_38 ),
+	.B(n_32263),
+	.Y(n_23807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750693 (
+	.A(n_38492),
+	.B(n_22518),
+	.Y(brqrv_top_brqrv_exu_flush_final), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g604315 (
+	.A_N(n_31507),
+	.B(n_32706),
+	.Y(n_31288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g604316 (
+	.A(n_31055),
+	.B(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_4 g604317 (
+	.A1(brqrv_top_brqrv_exu_i0_predict_p_x[33]),
+	.A2(brqrv_top_brqrv_exu_i0_predict_p_x[34]),
+	.B1(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g604318 (
+	.A_N(\brqrv_top_brqrv_ifu_bpred.bp_leak_one_f ),
+	.B(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.C(brqrv_top_brqrv_exu_i0_predict_p_x[55]),
+	.Y(n_31507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g604319 (
+	.A(brqrv_top_brqrv_exu_i0_predict_p_x[31]),
+	.B(brqrv_top_brqrv_exu_i0_predict_p_x[33]),
+	.X(n_31055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750696 (
+	.A(n_23805),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[15]),
+	.Y(brqrv_top_brqrv_dec_arf_n_10568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 g750697 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[16]),
+	.B(n_31750),
+	.C_N(brqrv_top_brqrv_dec_dec_i0_instr_d[15]),
+	.X(brqrv_top_brqrv_dec_arf_n_9953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g750698 (
+	.A1(n_31863),
+	.A2(n_23804),
+	.B1(n_23800),
+	.B2(n_31862),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_ext_m[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g750699 (
+	.A1(n_537),
+	.A2(n_23804),
+	.B1(n_31863),
+	.B2(n_23803),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_ext_m[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g750700 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[16]),
+	.B(n_31719),
+	.Y(n_23805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g750701 (
+	.A(n_31862),
+	.B(n_31835),
+	.X(n_31773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g750702 (
+	.A(n_32681),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[16]),
+	.X(n_32680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g750703 (
+	.A_N(n_23803),
+	.B(n_31863),
+	.Y(n_31821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g750704 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[17]),
+	.B(n_31943),
+	.X(n_31750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750705 (
+	.A(n_23802),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[17]),
+	.Y(n_31719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g750706 (
+	.A_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[0]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[2]),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[1]),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_7535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g750707 (
+	.A_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[3]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[5]),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[4]),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_7539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g750709 (
+	.A_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[6]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[7]),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[8]),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_7543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g750710 (
+	.A1(n_2710),
+	.A2(brqrv_top_brqrv_lsu_lsu_pkt_m[10]),
+	.B1(brqrv_top_brqrv_lsu_lsu_pkt_m[9]),
+	.Y(n_23804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750711 (
+	.A(n_31798),
+	.B(n_31863),
+	.Y(n_31797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g750712 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_tag[0]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_tag[1]),
+	.X(n_31580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g750713 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[10]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[11]),
+	.X(n_29911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750714 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_tag[1]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_tag[0]),
+	.Y(n_31502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g750715 (
+	.A_N(brqrv_top_brqrv_dec_tlu_flush_lower_wb),
+	.B(brqrv_top_brqrv_dec_decode_r_t[3]),
+	.Y(n_29912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g750716 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[4]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[3]),
+	.X(n_31881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g750717 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[7]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[8]),
+	.X(n_31941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750718 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_m[0]),
+	.B(n_31862),
+	.Y(n_23803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750719 (
+	.A(n_23802),
+	.Y(n_31943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g750720 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[15]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[16]),
+	.X(n_31751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g750721 (
+	.A_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_tag[1]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_tag[0]),
+	.Y(n_31584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g604449 (
+	.A(n_555),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[12]),
+	.Y(n_31747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g604450 (
+	.A_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_tag[0]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_tag[1]),
+	.Y(n_31446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g604451 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[17]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[18]),
+	.X(n_32679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g604452 (
+	.A(n_537),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_m[9]),
+	.Y(n_31835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g604453 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[15]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[14]),
+	.X(n_32681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g604454 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[19]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[18]),
+	.Y(n_23802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g604456 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_m[9]),
+	.Y(n_23800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g750722 (
+	.A1(n_23797),
+	.A2(n_31862),
+	.B1(n_23798),
+	.Y(n_31798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g750723 (
+	.A_N(n_31939),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[1]),
+	.Y(n_31863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750724 (
+	.A(n_23798),
+	.B(n_23797),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_m[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g750725 (
+	.A_N(n_31939),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[0]),
+	.Y(n_31862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750726 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_m[0]),
+	.B(brqrv_top_brqrv_lsu_addr_external_m),
+	.Y(n_31939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750727 (
+	.A(n_23798),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_m[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g750728 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_m[10]),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_m[9]),
+	.Y(n_23798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750729 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_m[11]),
+	.Y(n_23797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g629357 (
+	.A(n_23725),
+	.B(n_23722),
+	.C(n_23796),
+	.D(n_31822),
+	.X(n_31785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629358 (
+	.A(n_32575),
+	.B(n_30655),
+	.Y(n_33372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g629359 (
+	.A(n_23062),
+	.B(n_23791),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[3]),
+	.D(n_29918),
+	.Y(n_31783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g629360 (
+	.A(n_23795),
+	.B(n_23671),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_write),
+	.D(n_23638),
+	.Y(n_23796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g629361 (
+	.A(n_31869),
+	.B(n_31773),
+	.C(n_32469),
+	.Y(n_33369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g629362 (
+	.A(n_32543),
+	.B(n_23790),
+	.C(n_23794),
+	.X(n_262472_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g629363 (
+	.A_N(n_30831),
+	.B(n_23793),
+	.C(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_ext_m[4]),
+	.D(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_r[0]),
+	.Y(n_32575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g629364 (
+	.A(n_23793),
+	.B(n_23425),
+	.C(n_31870),
+	.Y(n_30578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629365 (
+	.A(n_23793),
+	.B(n_23493),
+	.Y(n_32529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g629366 (
+	.A(n_23303),
+	.B(n_23786),
+	.C(n_23494),
+	.D(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_ext_m[4]),
+	.Y(n_30655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g629367 (
+	.A1(n_23419),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[14]),
+	.B1(n_23792),
+	.Y(n_23795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g629368 (
+	.A(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.B(brqrv_top_brqrv_dec_dec_pause_state),
+	.C(brqrv_top_brqrv_dec_extint_stall),
+	.D(n_23789),
+	.Y(n_23794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g629369 (
+	.A(n_23303),
+	.B(n_23786),
+	.C(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_r[3]),
+	.D(n_23099),
+	.Y(n_32469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g629370 (
+	.A(n_23303),
+	.B(n_23783),
+	.C(n_23745),
+	.X(n_23793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g629371 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[11]),
+	.A2(n_22554),
+	.B1(n_23509),
+	.C1(n_23693),
+	.D1(n_23787),
+	.Y(n_23792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g629372 (
+	.A(n_23548),
+	.B(n_23688),
+	.C(n_23733),
+	.D(n_23788),
+	.Y(n_23791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g629373 (
+	.A1(n_23420),
+	.A2(n_23766),
+	.B1(brqrv_top_brqrv_dec_decode_postsync_stall),
+	.C1(n_23782),
+	.Y(n_23790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g629374 (
+	.A(n_23062),
+	.B(n_23777),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[3]),
+	.D(n_29918),
+	.Y(n_31817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g629375 (
+	.A1(brqrv_top_brqrv_dec_decode_lsu_idle),
+	.A2(n_23048),
+	.B1(n_23784),
+	.Y(n_23789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g629376 (
+	.A(n_23062),
+	.B(n_23781),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[1]),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3460),
+	.Y(n_31782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g629377 (
+	.A(n_23775),
+	.B(n_23758),
+	.C(n_23520),
+	.D(n_23713),
+	.Y(n_23788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g629378 (
+	.A1(n_23416),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[31]),
+	.B1(n_23508),
+	.C1(n_23785),
+	.Y(n_23787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g629379 (
+	.A(n_23062),
+	.B(n_23774),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[2]),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3467),
+	.Y(n_32645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g629380 (
+	.A(n_23062),
+	.B(n_23776),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[2]),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3467),
+	.Y(n_31818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g629381 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[30]),
+	.A2(n_23413),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_valid),
+	.C1(n_23721),
+	.D1(n_23771),
+	.Y(n_23785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g629382 (
+	.A(n_23731),
+	.B(n_23715),
+	.C(n_23752),
+	.D(n_23778),
+	.Y(n_23786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g629383 (
+	.A1(n_23711),
+	.A2(n_23763),
+	.B1(n_23420),
+	.B2(n_23773),
+	.C1(brqrv_top_brqrv_dec_decode_leak1_i0_stall),
+	.Y(n_23784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g629384 (
+	.A(n_23542),
+	.B(n_23707),
+	.C(n_23716),
+	.D(n_23779),
+	.Y(n_23783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g629385 (
+	.A(n_23062),
+	.B(n_23767),
+	.C(n_31816),
+	.D(n_23674),
+	.Y(n_31815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g629386 (
+	.A1(n_23276),
+	.A2(n_32792),
+	.B1(n_23780),
+	.Y(n_23782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g629387 (
+	.A(n_31869),
+	.B(n_32471),
+	.C_N(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_ext_m[2]),
+	.Y(n_33373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g629388 (
+	.A(n_23062),
+	.B(n_23757),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[1]),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3460),
+	.Y(n_31819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g629389 (
+	.A(n_23730),
+	.B(n_23751),
+	.C(n_23723),
+	.D(n_23770),
+	.Y(n_23781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g629390 (
+	.A1(n_23374),
+	.A2(n_23765),
+	.B1(brqrv_top_brqrv_dec_dec_div_active),
+	.Y(n_23780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629391 (
+	.A(n_30849),
+	.B(n_32562),
+	.Y(n_33376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g629392 (
+	.A1(n_31886),
+	.A2(brqrv_top_brqrv_lsu_end_addr_m[30]),
+	.B1(n_23537),
+	.C1(n_23728),
+	.D1(n_23759),
+	.Y(n_23779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g629393 (
+	.A1(brqrv_top_brqrv_dec_dec_tlu_pipelining_disable),
+	.A2(n_23311),
+	.A3(n_23748),
+	.B1(brqrv_top_brqrv_dec_decode_x_d[0]),
+	.B2(n_23438),
+	.Y(n_32543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g629394 (
+	.A1(brqrv_top_brqrv_lsu_end_addr_m[30]),
+	.A2(n_31851),
+	.B1(n_23717),
+	.C1(n_23732),
+	.D1(n_23760),
+	.Y(n_23778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g629395 (
+	.A(n_23190),
+	.B(n_23396),
+	.C(n_23475),
+	.D(n_23764),
+	.Y(n_23777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g629396 (
+	.A(n_23377),
+	.B(n_23376),
+	.C(n_23772),
+	.Y(n_23776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g629397 (
+	.A(n_23609),
+	.B(n_23517),
+	.C(n_23694),
+	.D(n_23768),
+	.Y(n_23775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g629398 (
+	.A(n_23749),
+	.B(n_23750),
+	.C(n_23743),
+	.D(n_23761),
+	.Y(n_23774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g629399 (
+	.A1(n_23754),
+	.A2(n_23753),
+	.B1(n_23711),
+	.Y(n_32630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g629400 (
+	.A1(n_23737),
+	.A2(n_23258),
+	.A3(n_23626),
+	.B1(n_23259),
+	.B2(n_23755),
+	.Y(n_23773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g629401 (
+	.A_N(n_31821),
+	.B(n_23756),
+	.C(n_23494),
+	.Y(n_30849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g629402 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[94]),
+	.A2(n_31885),
+	.B1(n_23098),
+	.C1(n_23375),
+	.D1(n_23769),
+	.Y(n_23772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g629403 (
+	.A(n_23591),
+	.B(n_23589),
+	.C(n_23691),
+	.D(n_23746),
+	.Y(n_23771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g629404 (
+	.A(n_23630),
+	.B(n_23689),
+	.C(n_23712),
+	.D(n_23762),
+	.X(n_23770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g629405 (
+	.A(n_23756),
+	.B(n_23099),
+	.C(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_r[3]),
+	.Y(n_32471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g629406 (
+	.A(n_23291),
+	.B(n_23292),
+	.C(n_23380),
+	.D(n_23726),
+	.Y(n_23769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g629407 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[106]),
+	.A2(n_23407),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[109]),
+	.B2(n_23405),
+	.C1(n_23740),
+	.Y(n_23768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g629408 (
+	.A(n_23256),
+	.B(n_23302),
+	.C(n_23724),
+	.D_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[0]),
+	.Y(n_23767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32o_1 g629409 (
+	.A1(n_23399),
+	.A2(n_23171),
+	.A3(n_33365),
+	.B1(n_23485),
+	.B2(n_33366),
+	.X(n_23766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g629410 (
+	.A1(n_23264),
+	.A2(n_23627),
+	.A3(n_32556),
+	.B1(n_23263),
+	.B2(n_23735),
+	.Y(n_23765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g629411 (
+	.A1(n_23711),
+	.A2(n_23727),
+	.B1(n_23420),
+	.B2(n_23690),
+	.Y(n_264987_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g629412 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[101]),
+	.A2(n_31934),
+	.B1(n_23328),
+	.C1(n_23364),
+	.D1(n_23741),
+	.Y(n_23764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32o_1 g629413 (
+	.A1(n_23400),
+	.A2(n_23252),
+	.A3(n_33406),
+	.B1(n_23641),
+	.B2(n_33367),
+	.X(n_23763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g629414 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[62]),
+	.A2(n_23413),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[63]),
+	.B2(n_23416),
+	.C1(n_23739),
+	.Y(n_23762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g629415 (
+	.A1(n_23675),
+	.A2(n_31450),
+	.B1(n_23070),
+	.B2(n_31451),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[25]_3295 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g629416 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[94]),
+	.A2(n_23413),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[95]),
+	.B2(n_23416),
+	.C1(n_23742),
+	.Y(n_23761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g629417 (
+	.A1(n_31851),
+	.A2(brqrv_top_brqrv_lsu_end_addr_m[30]),
+	.B1(n_23747),
+	.Y(n_23760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g629418 (
+	.A1(brqrv_top_brqrv_lsu_end_addr_m[30]),
+	.A2(n_31886),
+	.B1(n_23744),
+	.Y(n_23759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g629419 (
+	.A(n_23489),
+	.B(n_23541),
+	.C(n_23700),
+	.D(n_23734),
+	.Y(n_23758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g629420 (
+	.A1(n_23675),
+	.A2(n_31230),
+	.B1(n_23070),
+	.B2(n_31231),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[121]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g629421 (
+	.A1(n_23675),
+	.A2(n_31442),
+	.B1(n_23070),
+	.B2(n_31443),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[25]_3379 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g629422 (
+	.A1(n_23675),
+	.A2(n_32422),
+	.B1(n_23070),
+	.B2(n_32423),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g629423 (
+	.A(n_23388),
+	.B(n_23389),
+	.C(n_23738),
+	.Y(n_23757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g629424 (
+	.A1(brqrv_top_brqrv_dec_decode_cam_raw[13]),
+	.A2(n_518),
+	.B1(n_33367),
+	.C1(n_23470),
+	.Y(n_23755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g629425 (
+	.A(n_23401),
+	.B(n_23148),
+	.C(n_33365),
+	.X(n_23754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g629426 (
+	.A1(n_23057),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[23]),
+	.B1(n_23736),
+	.C1(n_23481),
+	.Y(n_23753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g629427 (
+	.A1(brqrv_top_brqrv_lsu_end_addr_m[31]),
+	.A2(n_31850),
+	.B1(n_23718),
+	.Y(n_23752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g629428 (
+	.A(n_23701),
+	.B(n_23687),
+	.C(n_23577),
+	.D(n_23579),
+	.Y(n_23751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g629429 (
+	.A(n_23683),
+	.B(n_23684),
+	.C(n_23565),
+	.D(n_23564),
+	.Y(n_23750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g629430 (
+	.A(n_23682),
+	.B(n_23681),
+	.C(n_23557),
+	.D(n_23561),
+	.Y(n_23749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g629431 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wen_unq_d),
+	.A2(n_23708),
+	.B1(n_23358),
+	.B2(n_33354),
+	.Y(n_23748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g629432 (
+	.A(n_23696),
+	.B(n_23697),
+	.C(n_23550),
+	.D(n_23547),
+	.Y(n_23747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g629433 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[29]),
+	.A2(n_23451),
+	.B1(n_23587),
+	.C1(n_23586),
+	.D1(n_23673),
+	.Y(n_23746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g629434 (
+	.A(n_23544),
+	.B(n_23531),
+	.C(n_23678),
+	.D(n_23677),
+	.Y(n_23745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g629435 (
+	.A(n_23729),
+	.B(n_23664),
+	.C(n_23607),
+	.D(n_23608),
+	.Y(n_23744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g629436 (
+	.A(n_23628),
+	.B(n_23623),
+	.C(n_23699),
+	.D(n_23304),
+	.Y(n_23756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g629437 (
+	.A1(n_23633),
+	.A2(n_31447),
+	.B1(n_2659),
+	.B2(n_31448),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[13]_3283 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g629438 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[77]),
+	.A2(n_23405),
+	.B1(n_23497),
+	.C1(n_23719),
+	.D1(n_23685),
+	.Y(n_23743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g629439 (
+	.A1(n_23413),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[94]),
+	.B1(n_23714),
+	.Y(n_23742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g629440 (
+	.A(n_23183),
+	.B(n_23184),
+	.C(n_23474),
+	.D(n_23695),
+	.Y(n_23741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g629441 (
+	.A1(n_23407),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[106]),
+	.B1(n_23603),
+	.C1(n_23709),
+	.Y(n_23740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g629442 (
+	.A1(n_23634),
+	.A2(n_31447),
+	.B1(n_23088),
+	.B2(n_31448),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[8]_3278 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g629443 (
+	.A1(n_23413),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[62]),
+	.B1(n_23584),
+	.C1(n_23704),
+	.Y(n_23739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g629444 (
+	.A1(n_23633),
+	.A2(n_31228),
+	.B1(n_2659),
+	.B2(n_31229),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[109]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g629445 (
+	.A1(n_23634),
+	.A2(n_31228),
+	.B1(n_23088),
+	.B2(n_31229),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[104]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g629446 (
+	.A1(n_23633),
+	.A2(n_31440),
+	.B1(n_2659),
+	.B2(n_31441),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[13]_3367 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g629447 (
+	.A1(n_23634),
+	.A2(n_31440),
+	.B1(n_23088),
+	.B2(n_31441),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[8]_3362 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g629448 (
+	.A1(n_23633),
+	.A2(n_32420),
+	.B1(n_2659),
+	.B2(n_32421),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g629449 (
+	.A1(n_23634),
+	.A2(n_32420),
+	.B1(n_23088),
+	.B2(n_32421),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g629450 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[63]),
+	.A2(n_31883),
+	.B1(n_23118),
+	.C1(n_23391),
+	.D1(n_23720),
+	.Y(n_23738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g629451 (
+	.A(n_23737),
+	.Y(n_33406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g629452 (
+	.A(n_23736),
+	.Y(n_33366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g629453 (
+	.A1(n_8323),
+	.A2(brqrv_top_brqrv_dec_div_waddr_wb[0]),
+	.B1(n_23479),
+	.C1(n_32555),
+	.X(n_23735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g629454 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[115]),
+	.A2(n_23444),
+	.B1(n_23488),
+	.C1(n_23679),
+	.Y(n_23734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g629455 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[124]),
+	.A2(n_23452),
+	.B1(n_23511),
+	.C1(n_23680),
+	.Y(n_23733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g629456 (
+	.A1(brqrv_top_brqrv_lsu_end_addr_m[7]),
+	.A2(n_32667),
+	.B1(n_23498),
+	.C1(n_23710),
+	.Y(n_23732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g629457 (
+	.A1(brqrv_top_brqrv_lsu_end_addr_m[3]),
+	.A2(n_31849),
+	.B1(n_23495),
+	.C1(n_23558),
+	.D1(n_23645),
+	.Y(n_23731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g629458 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[58]),
+	.A2(n_23449),
+	.B1(n_23501),
+	.C1(n_23686),
+	.Y(n_23730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g629459 (
+	.A1(brqrv_top_brqrv_lsu_end_addr_m[11]),
+	.A2(n_31923),
+	.B1(n_23518),
+	.C1(n_23703),
+	.Y(n_23729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g629460 (
+	.A1(brqrv_top_brqrv_lsu_end_addr_m[4]),
+	.A2(n_31937),
+	.B1(n_23519),
+	.C1(n_23702),
+	.Y(n_23728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629461 (
+	.A(n_32423),
+	.B(n_23102),
+	.Y(n_32422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629462 (
+	.A(n_31443),
+	.B(n_23101),
+	.Y(n_31442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629463 (
+	.A(n_31451),
+	.B(n_23100),
+	.Y(n_31450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629464 (
+	.A(n_31231),
+	.B(n_23066),
+	.Y(n_31230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629465 (
+	.A(n_32634),
+	.B(brqrv_top_brqrv_dec_decode_cam_raw[9]),
+	.Y(n_23737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g629466 (
+	.A(n_31730),
+	.B(brqrv_top_brqrv_dec_decode_cam_raw[19]),
+	.X(n_33367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629467 (
+	.A(n_32635),
+	.B(brqrv_top_brqrv_dec_decode_cam_raw[29]),
+	.Y(n_23736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g629468 (
+	.A(n_31728),
+	.B(brqrv_top_brqrv_dec_decode_cam_raw[39]),
+	.X(n_33365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g629469 (
+	.A1(brqrv_top_brqrv_dec_dec_i0_instr_d[15]),
+	.A2(n_23106),
+	.B1(brqrv_top_brqrv_dec_dec_i0_instr_d[16]),
+	.B2(n_23105),
+	.C1(n_23698),
+	.Y(n_23727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g629470 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[89]),
+	.A2(n_31895),
+	.B1(n_23295),
+	.C1(n_23477),
+	.D1(n_23616),
+	.Y(n_23726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g629471 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[3]),
+	.A2(n_22551),
+	.B1(n_23164),
+	.C1(n_23600),
+	.D1(n_23639),
+	.Y(n_23725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g629472 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[15]),
+	.A2(n_31914),
+	.B1(n_23251),
+	.C1(n_23403),
+	.D1(n_23617),
+	.Y(n_23724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g629473 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[49]),
+	.A2(n_23441),
+	.B1(n_23500),
+	.C1(n_23575),
+	.D1(n_23647),
+	.Y(n_23723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g629474 (
+	.A(n_23599),
+	.B(n_23597),
+	.C(n_23596),
+	.D(n_23598),
+	.Y(n_23722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g629475 (
+	.A1(n_23413),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[30]),
+	.B1(n_23692),
+	.Y(n_23721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g629476 (
+	.A(n_23392),
+	.B(n_23393),
+	.C(n_23476),
+	.D(n_23615),
+	.Y(n_23720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g629477 (
+	.A(n_23568),
+	.B(n_23567),
+	.C(n_23569),
+	.D(n_23570),
+	.Y(n_23719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g629478 (
+	.A1(n_31852),
+	.A2(brqrv_top_brqrv_lsu_end_addr_m[28]),
+	.B1(n_32669),
+	.B2(brqrv_top_brqrv_lsu_end_addr_m[29]),
+	.C1(n_23705),
+	.Y(n_23718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g629479 (
+	.A1(n_31856),
+	.A2(brqrv_top_brqrv_lsu_end_addr_m[22]),
+	.B1(n_32672),
+	.B2(brqrv_top_brqrv_lsu_end_addr_m[24]),
+	.C1(n_23706),
+	.Y(n_23717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g629480 (
+	.A1(n_31902),
+	.A2(brqrv_top_brqrv_lsu_end_addr_m[22]),
+	.B1(n_23523),
+	.C1(n_23538),
+	.D1(n_23665),
+	.Y(n_23716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g629481 (
+	.A1(brqrv_top_brqrv_lsu_end_addr_m[12]),
+	.A2(n_31841),
+	.B1(n_23583),
+	.C1(n_23556),
+	.D1(n_23663),
+	.Y(n_23715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g629482 (
+	.A(n_23657),
+	.B(n_23636),
+	.C(n_23572),
+	.Y(n_23714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g629483 (
+	.A1(n_23416),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[127]),
+	.B1(n_23611),
+	.C1(n_23640),
+	.Y(n_23713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g629484 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[39]),
+	.A2(n_23411),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[41]),
+	.B2(n_23408),
+	.C1(n_23676),
+	.Y(n_23712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g629485 (
+	.A(n_32555),
+	.Y(n_23711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g629486 (
+	.A1(n_32667),
+	.A2(brqrv_top_brqrv_lsu_end_addr_m[7]),
+	.B1(n_31844),
+	.B2(brqrv_top_brqrv_lsu_end_addr_m[9]),
+	.C1(n_23644),
+	.Y(n_23710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629487 (
+	.A(n_23667),
+	.B(n_23526),
+	.Y(n_30606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g629488 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[105]),
+	.A2(n_23408),
+	.B1(n_23514),
+	.C1(n_23601),
+	.D1(n_23602),
+	.Y(n_23709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_1 g629489 (
+	.A_N(n_23473),
+	.B(n_32566),
+	.C(n_32544),
+	.D(n_23427),
+	.X(n_23708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g629490 (
+	.A1(n_31909),
+	.A2(brqrv_top_brqrv_lsu_end_addr_m[18]),
+	.B1(n_31905),
+	.B2(brqrv_top_brqrv_lsu_end_addr_m[20]),
+	.C1(n_23642),
+	.Y(n_23707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g629491 (
+	.A1(brqrv_top_brqrv_lsu_end_addr_m[23]),
+	.A2(n_31855),
+	.B1(brqrv_top_brqrv_lsu_end_addr_m[24]),
+	.B2(n_32672),
+	.C1(n_23643),
+	.Y(n_23706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629492 (
+	.A(n_23668),
+	.B(n_23524),
+	.Y(n_30683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g629493 (
+	.A1(brqrv_top_brqrv_lsu_end_addr_m[26]),
+	.A2(n_32671),
+	.B1(brqrv_top_brqrv_lsu_end_addr_m[28]),
+	.B2(n_31852),
+	.C1(n_23646),
+	.Y(n_23705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g629494 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[51]),
+	.A2(n_23444),
+	.B1(n_23504),
+	.C1(n_23629),
+	.D1(n_23637),
+	.Y(n_23704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g629495 (
+	.A1(n_31923),
+	.A2(brqrv_top_brqrv_lsu_end_addr_m[11]),
+	.B1(n_31921),
+	.B2(brqrv_top_brqrv_lsu_end_addr_m[12]),
+	.C1(n_23648),
+	.Y(n_23703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629496 (
+	.A(n_23669),
+	.B(n_23525),
+	.Y(n_30826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g629497 (
+	.A1(n_31937),
+	.A2(brqrv_top_brqrv_lsu_end_addr_m[4]),
+	.B1(brqrv_top_brqrv_lsu_end_addr_m[2]),
+	.B2(n_31868),
+	.C1(n_23649),
+	.Y(n_23702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g629498 (
+	.A_N(n_32633),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_lsu_nonblock_load_data_ready),
+	.Y(n_31728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g629499 (
+	.A_N(n_32637),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_lsu_nonblock_load_data_ready),
+	.Y(n_31730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g629500 (
+	.A_N(n_31729),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_lsu_nonblock_load_data_ready),
+	.Y(n_32634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g629501 (
+	.A_N(n_32636),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_lsu_nonblock_load_data_ready),
+	.Y(n_32635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629502 (
+	.A(n_32421),
+	.B(n_23102),
+	.Y(n_32420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629503 (
+	.A(n_31229),
+	.B(n_23066),
+	.Y(n_31228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g629504 (
+	.A_N(n_32442),
+	.B(n_32500),
+	.Y(n_32423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629505 (
+	.A(n_23109),
+	.B(n_32500),
+	.Y(n_31231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629506 (
+	.A(n_23310),
+	.B(n_32500),
+	.Y(n_31451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629507 (
+	.A(n_23309),
+	.B(n_32500),
+	.Y(n_31443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629508 (
+	.A(n_31441),
+	.B(n_23101),
+	.Y(n_31440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629509 (
+	.A(n_31448),
+	.B(n_23100),
+	.Y(n_31447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 g629510 (
+	.A1(n_23129),
+	.A2(n_23351),
+	.A3(n_23433),
+	.A4(n_33354),
+	.B1(n_32642),
+	.Y(n_32555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g629511 (
+	.A1(n_22551),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[35]),
+	.B1(n_23414),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[36]),
+	.C1(n_23660),
+	.Y(n_23701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g629512 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[113]),
+	.A2(n_23441),
+	.B1(n_23522),
+	.C1(n_23612),
+	.D1(n_23539),
+	.Y(n_23700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g629513 (
+	.A(n_23662),
+	.B(n_23382),
+	.C(n_23361),
+	.Y(n_23699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g629514 (
+	.A1(n_23106),
+	.A2(brqrv_top_brqrv_dec_dec_i0_instr_d[15]),
+	.B1(n_23313),
+	.C1(n_23622),
+	.Y(n_23698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g629515 (
+	.A1(n_31857),
+	.A2(brqrv_top_brqrv_lsu_end_addr_m[21]),
+	.B1(n_23492),
+	.C1(n_23666),
+	.Y(n_23697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g629516 (
+	.A1(n_31839),
+	.A2(brqrv_top_brqrv_lsu_end_addr_m[14]),
+	.B1(n_23491),
+	.C1(n_23670),
+	.Y(n_23696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g629517 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[120]),
+	.A2(n_31897),
+	.B1(n_23180),
+	.C1(n_23614),
+	.Y(n_23695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g629518 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[98]),
+	.A2(n_23052),
+	.B1(n_23515),
+	.C1(n_23606),
+	.D1(n_23604),
+	.Y(n_23694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g629519 (
+	.A1(n_23407),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[10]),
+	.B1(n_22554),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[11]),
+	.C1(n_23672),
+	.Y(n_23693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g629520 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[26]),
+	.A2(n_23449),
+	.B1(n_23592),
+	.C1(n_23593),
+	.Y(n_23692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g629521 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[19]),
+	.A2(n_23444),
+	.B1(n_23507),
+	.C1(n_23588),
+	.D1(n_23590),
+	.Y(n_23691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g629522 (
+	.A1(brqrv_top_brqrv_dec_dec_i0_instr_d[24]),
+	.A2(n_23121),
+	.B1(n_23635),
+	.Y(n_23690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g629523 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[43]),
+	.A2(n_22554),
+	.B1(n_23503),
+	.C1(n_23631),
+	.D1(n_23632),
+	.Y(n_23689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g629524 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[119]),
+	.A2(n_23446),
+	.B1(n_23490),
+	.C1(n_23545),
+	.D1(n_23546),
+	.Y(n_23688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g629525 (
+	.A1(n_23447),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[54]),
+	.B1(n_23450),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[57]),
+	.C1(n_23659),
+	.Y(n_23687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g629526 (
+	.A1(n_23449),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[58]),
+	.B1(n_23452),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[60]),
+	.C1(n_23658),
+	.Y(n_23686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g629527 (
+	.A1(n_22554),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[75]),
+	.B1(n_23405),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[77]),
+	.C1(n_23656),
+	.Y(n_23685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g629528 (
+	.A1(n_23448),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[88]),
+	.B1(n_23450),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[89]),
+	.C1(n_23655),
+	.Y(n_23684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g629529 (
+	.A1(n_23417),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[79]),
+	.B1(n_23441),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[81]),
+	.C1(n_23654),
+	.Y(n_23683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g629530 (
+	.A1(n_23449),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[90]),
+	.B1(n_23451),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[93]),
+	.C1(n_23653),
+	.Y(n_23682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g629531 (
+	.A1(n_22553),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[70]),
+	.B1(n_23411),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[71]),
+	.C1(n_23652),
+	.Y(n_23681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g629532 (
+	.A1(n_23452),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[124]),
+	.B1(n_23451),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[125]),
+	.C1(n_23651),
+	.Y(n_23680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g629533 (
+	.A1(n_23444),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[115]),
+	.B1(n_23445),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[117]),
+	.C1(n_23650),
+	.Y(n_23679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g629534 (
+	.A1(n_31927),
+	.A2(brqrv_top_brqrv_lsu_end_addr_m[9]),
+	.B1(n_23486),
+	.C1(n_23543),
+	.D1(n_23528),
+	.Y(n_23678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g629535 (
+	.A1(n_31913),
+	.A2(brqrv_top_brqrv_lsu_end_addr_m[16]),
+	.B1(n_23487),
+	.C1(n_23529),
+	.D1(n_23530),
+	.Y(n_23677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g629536 (
+	.A1(n_22563),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[40]),
+	.B1(n_23408),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[41]),
+	.C1(n_23661),
+	.Y(n_23676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g629537 (
+	.A(n_33261),
+	.Y(n_23675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g629538 (
+	.A1(n_31883),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[31]),
+	.B1(n_23116),
+	.C1(n_23624),
+	.Y(n_23674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629539 (
+	.A(n_23625),
+	.B(n_23460),
+	.Y(n_30684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629540 (
+	.A(n_23620),
+	.B(n_23455),
+	.Y(n_30686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629541 (
+	.A(n_23618),
+	.B(n_23461),
+	.Y(n_30685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629542 (
+	.A(n_23619),
+	.B(n_23456),
+	.Y(n_30607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629543 (
+	.A(n_23621),
+	.B(n_23459),
+	.Y(n_30834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g629544 (
+	.A1(n_23450),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[25]),
+	.B1(n_23506),
+	.C1(n_23585),
+	.Y(n_23673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g629545 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[10]),
+	.A2(n_23407),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[12]),
+	.B2(n_23418),
+	.C1(n_23594),
+	.Y(n_23672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g629546 (
+	.A1(n_22564),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[16]),
+	.B1(n_23510),
+	.C1(n_23595),
+	.Y(n_23671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g629547 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_r[3]),
+	.A2(n_23099),
+	.B1(n_23421),
+	.C1(n_38493),
+	.D1(n_23369),
+	.Y(n_32559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g629548 (
+	.A1(brqrv_top_brqrv_lsu_end_addr_m[14]),
+	.A2(n_31839),
+	.B1(brqrv_top_brqrv_lsu_end_addr_m[17]),
+	.B2(n_31836),
+	.C1(n_23532),
+	.Y(n_23670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g629549 (
+	.A1(n_23453),
+	.A2(brqrv_top_brqrv_lsu_store_data_lo_r[24]),
+	.B1(n_23555),
+	.Y(n_23669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g629550 (
+	.A1(n_39134),
+	.A2(brqrv_top_brqrv_lsu_store_data_lo_r[1]),
+	.B1(n_23582),
+	.Y(n_23668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g629551 (
+	.A1(n_23453),
+	.A2(brqrv_top_brqrv_lsu_store_data_lo_r[29]),
+	.B1(n_23581),
+	.Y(n_23667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g629552 (
+	.A1(brqrv_top_brqrv_lsu_end_addr_m[20]),
+	.A2(n_31858),
+	.B1(brqrv_top_brqrv_lsu_end_addr_m[21]),
+	.B2(n_31857),
+	.C1(n_23533),
+	.Y(n_23666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g629553 (
+	.A1(brqrv_top_brqrv_lsu_end_addr_m[24]),
+	.A2(n_31898),
+	.B1(n_23521),
+	.C1(n_23613),
+	.Y(n_23665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g629554 (
+	.A1(brqrv_top_brqrv_lsu_end_addr_m[28]),
+	.A2(n_31890),
+	.B1(n_23516),
+	.C1(n_23605),
+	.Y(n_23664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g629555 (
+	.A1(brqrv_top_brqrv_lsu_end_addr_m[11]),
+	.A2(n_31842),
+	.B1(n_23496),
+	.C1(n_23554),
+	.Y(n_23663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g629556 (
+	.A1(brqrv_top_brqrv_dec_decode_cam_raw[35]),
+	.A2(n_23366),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[39]),
+	.C1(n_23436),
+	.D1(n_23465),
+	.Y(n_32633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g629557 (
+	.A1(brqrv_top_brqrv_dec_decode_cam_raw[5]),
+	.A2(n_23366),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[9]),
+	.C1(n_23434),
+	.D1(n_23463),
+	.Y(n_31729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g629558 (
+	.A1(brqrv_top_brqrv_dec_decode_cam_raw[25]),
+	.A2(n_23366),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[29]),
+	.C1(n_23437),
+	.D1(n_23466),
+	.Y(n_32636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g629559 (
+	.A1(brqrv_top_brqrv_dec_decode_cam_raw[15]),
+	.A2(n_23366),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[19]),
+	.C1(n_23435),
+	.D1(n_23464),
+	.Y(n_32637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629560 (
+	.A(n_23310),
+	.B(n_31449),
+	.Y(n_31448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629561 (
+	.A(n_23309),
+	.B(n_31449),
+	.Y(n_31441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g629562 (
+	.A_N(n_32442),
+	.B(n_31449),
+	.Y(n_32421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629563 (
+	.A(n_23109),
+	.B(n_31449),
+	.Y(n_31229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g629564 (
+	.A(n_23524),
+	.B(n_23460),
+	.C(n_23461),
+	.D(n_23455),
+	.Y(n_33261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629565 (
+	.A(n_23124),
+	.B(n_31452),
+	.Y(n_32500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g629566 (
+	.A(n_23136),
+	.B(n_23480),
+	.C(n_23483),
+	.D(n_23484),
+	.Y(n_23662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g629567 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[40]),
+	.A2(n_22563),
+	.B1(n_23502),
+	.C1(n_23580),
+	.Y(n_23661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g629568 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[37]),
+	.A2(n_22565),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[34]),
+	.B2(n_23052),
+	.C1(n_23578),
+	.Y(n_23660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g629569 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[55]),
+	.A2(n_23446),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[56]),
+	.B2(n_23448),
+	.C1(n_23576),
+	.Y(n_23659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g629570 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[59]),
+	.A2(n_23440),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[60]),
+	.B2(n_23452),
+	.C1(n_23574),
+	.Y(n_23658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g629571 (
+	.A1(n_23414),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[68]),
+	.B1(n_23571),
+	.C1(n_23499),
+	.Y(n_23657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g629572 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[74]),
+	.A2(n_23407),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[75]),
+	.B2(n_22554),
+	.C1(n_23566),
+	.Y(n_23656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g629573 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[86]),
+	.A2(n_23447),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[87]),
+	.B2(n_23446),
+	.C1(n_23563),
+	.Y(n_23655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g629574 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[78]),
+	.A2(n_23419),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[81]),
+	.B2(n_23441),
+	.C1(n_23562),
+	.Y(n_23654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g629575 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[90]),
+	.A2(n_23449),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[92]),
+	.B2(n_23452),
+	.C1(n_23559),
+	.Y(n_23653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g629576 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[71]),
+	.A2(n_23411),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[73]),
+	.B2(n_23408),
+	.C1(n_23553),
+	.Y(n_23652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g629577 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[123]),
+	.A2(n_23440),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[125]),
+	.B2(n_23451),
+	.C1(n_23549),
+	.Y(n_23651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g629578 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[116]),
+	.A2(n_23443),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[117]),
+	.B2(n_23445),
+	.C1(n_23540),
+	.Y(n_23650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g629579 (
+	.A1(brqrv_top_brqrv_lsu_end_addr_m[3]),
+	.A2(n_31940),
+	.B1(n_31868),
+	.B2(brqrv_top_brqrv_lsu_end_addr_m[2]),
+	.C1(n_23536),
+	.Y(n_23649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g629580 (
+	.A1(brqrv_top_brqrv_lsu_end_addr_m[10]),
+	.A2(n_31925),
+	.B1(brqrv_top_brqrv_lsu_end_addr_m[12]),
+	.B2(n_31921),
+	.C1(n_23535),
+	.Y(n_23648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g629581 (
+	.A1(n_23419),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[46]),
+	.B1(n_23417),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[47]),
+	.C1(n_23573),
+	.Y(n_23647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g629582 (
+	.A1(n_32671),
+	.A2(brqrv_top_brqrv_lsu_end_addr_m[26]),
+	.B1(n_31853),
+	.B2(brqrv_top_brqrv_lsu_end_addr_m[27]),
+	.C1(n_23560),
+	.Y(n_23646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g629583 (
+	.A1(n_31849),
+	.A2(brqrv_top_brqrv_lsu_end_addr_m[3]),
+	.B1(n_31867),
+	.B2(brqrv_top_brqrv_lsu_end_addr_m[2]),
+	.C1(n_23534),
+	.Y(n_23645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g629584 (
+	.A1(n_31846),
+	.A2(brqrv_top_brqrv_lsu_end_addr_m[6]),
+	.B1(n_31845),
+	.B2(brqrv_top_brqrv_lsu_end_addr_m[8]),
+	.C1(n_23552),
+	.Y(n_23644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g629585 (
+	.A1(n_31855),
+	.A2(brqrv_top_brqrv_lsu_end_addr_m[23]),
+	.B1(n_31854),
+	.B2(brqrv_top_brqrv_lsu_end_addr_m[25]),
+	.C1(n_23551),
+	.Y(n_23643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g629586 (
+	.A1(brqrv_top_brqrv_lsu_end_addr_m[18]),
+	.A2(n_31909),
+	.B1(brqrv_top_brqrv_lsu_end_addr_m[19]),
+	.B2(n_31907),
+	.C1(n_23527),
+	.Y(n_23642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g629587 (
+	.A1(n_19507),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[11]),
+	.B1(n_23154),
+	.C1(n_23478),
+	.Y(n_23641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g629588 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[107]),
+	.A2(n_22554),
+	.B1(n_23610),
+	.Y(n_23640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g629589 (
+	.A1(n_22551),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[3]),
+	.B1(n_23414),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[4]),
+	.C1(n_23513),
+	.Y(n_23639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g629590 (
+	.A1(n_23441),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[17]),
+	.B1(n_23417),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[15]),
+	.C1(n_23512),
+	.Y(n_23638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g629591 (
+	.A1(n_23445),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[53]),
+	.B1(n_23416),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[63]),
+	.C1(n_23505),
+	.Y(n_23637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g629592 (
+	.A1(n_23416),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[95]),
+	.B1(n_22551),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[67]),
+	.C1(n_23097),
+	.Y(n_23636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g629593 (
+	.A1(n_23121),
+	.A2(brqrv_top_brqrv_dec_dec_i0_instr_d[24]),
+	.B1(n_23314),
+	.C1(n_23319),
+	.D1(n_23428),
+	.Y(n_23635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g629594 (
+	.A(n_33229),
+	.Y(n_23634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g629595 (
+	.A(n_33239),
+	.Y(n_23633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g629596 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[44]),
+	.A2_N(n_23418),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[44]),
+	.B2(n_23418),
+	.Y(n_23632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g629597 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[45]),
+	.A2_N(n_23405),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[45]),
+	.B2(n_23405),
+	.Y(n_23631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g629598 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[42]),
+	.A2_N(n_23407),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[42]),
+	.B2(n_23407),
+	.Y(n_23630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629599 (
+	.A(n_23369),
+	.B(n_23493),
+	.Y(n_32654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g629600 (
+	.A1(n_23442),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[50]),
+	.B1(n_23444),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[51]),
+	.Y(n_23629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g629601 (
+	.A(n_23385),
+	.B(n_23357),
+	.C(n_23144),
+	.Y(n_23628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g629602 (
+	.A1(brqrv_top_brqrv_dec_div_waddr_wb[3]),
+	.A2(n_518),
+	.B1(n_23471),
+	.Y(n_23627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g629603 (
+	.A1(brqrv_top_brqrv_dec_decode_cam_raw[2]),
+	.A2(n_531),
+	.B1(n_23469),
+	.Y(n_23626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g629604 (
+	.A1(n_23370),
+	.A2(brqrv_top_brqrv_lsu_store_data_lo_r[17]),
+	.B1(n_23467),
+	.Y(n_23625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g629605 (
+	.A(n_23378),
+	.B(n_23398),
+	.C(n_23390),
+	.D(n_23386),
+	.Y(n_23624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g629606 (
+	.A(n_23383),
+	.B(n_23384),
+	.C(n_23359),
+	.D(n_23360),
+	.Y(n_23623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g629607 (
+	.A(n_23369),
+	.B(n_23439),
+	.C(n_31870),
+	.Y(n_30811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g629608 (
+	.A1(brqrv_top_brqrv_dec_dec_i0_instr_d[17]),
+	.A2(n_23123),
+	.B1(n_23472),
+	.Y(n_23622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g629609 (
+	.A1(n_23367),
+	.A2(brqrv_top_brqrv_lsu_store_data_lo_r[24]),
+	.B1(n_23462),
+	.Y(n_23621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g629610 (
+	.A1(n_2633),
+	.A2(brqrv_top_brqrv_lsu_store_data_hi_r[9]),
+	.B1(n_23468),
+	.Y(n_23620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g629611 (
+	.A1(n_23367),
+	.A2(brqrv_top_brqrv_lsu_store_data_lo_r[29]),
+	.B1(n_23482),
+	.Y(n_23619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g629612 (
+	.A1(brqrv_top_brqrv_lsu_store_data_hi_r[1]),
+	.A2(n_23372),
+	.B1(n_2633),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[17]),
+	.C1(n_2632),
+	.C2(brqrv_top_brqrv_lsu_store_data_hi_r[9]),
+	.Y(n_23618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g629613 (
+	.A1(n_31914),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[15]),
+	.B1(n_23250),
+	.C1(n_23379),
+	.Y(n_23617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g629614 (
+	.A1(n_31895),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[89]),
+	.B1(n_23296),
+	.C1(n_23381),
+	.Y(n_23616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g629615 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[44]),
+	.A2(n_31920),
+	.B1(n_23114),
+	.C1(n_23119),
+	.D1(n_23394),
+	.Y(n_23615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g629616 (
+	.A1(n_31897),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[120]),
+	.B1(n_23179),
+	.C1(n_23397),
+	.Y(n_23614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g629617 (
+	.A1(n_31900),
+	.A2(brqrv_top_brqrv_lsu_end_addr_m[23]),
+	.B1(n_31896),
+	.B2(brqrv_top_brqrv_lsu_end_addr_m[25]),
+	.Y(n_23613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g629618 (
+	.A1(n_23417),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[111]),
+	.B1(n_23441),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[113]),
+	.Y(n_23612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g629619 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[108]),
+	.A2_N(n_23418),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[108]),
+	.B2(n_23418),
+	.Y(n_23611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g629620 (
+	.A1(n_22554),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[107]),
+	.B1(n_23405),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[109]),
+	.Y(n_23610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g629621 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[100]),
+	.A2_N(n_23414),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[101]),
+	.B2(n_22565),
+	.Y(n_23609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g629622 (
+	.A1(brqrv_top_brqrv_lsu_end_addr_m[27]),
+	.A2(n_31892),
+	.B1(brqrv_top_brqrv_lsu_end_addr_m[29]),
+	.B2(n_31888),
+	.Y(n_23608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g629623 (
+	.A1_N(n_31892),
+	.A2_N(brqrv_top_brqrv_lsu_end_addr_m[27]),
+	.B1(n_31894),
+	.B2(brqrv_top_brqrv_lsu_end_addr_m[26]),
+	.Y(n_23607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g629624 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[99]),
+	.A2_N(n_22551),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[101]),
+	.B2(n_22565),
+	.Y(n_23606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g629625 (
+	.A1(n_31894),
+	.A2(brqrv_top_brqrv_lsu_end_addr_m[26]),
+	.B1(n_31888),
+	.B2(brqrv_top_brqrv_lsu_end_addr_m[29]),
+	.Y(n_23605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g629626 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[100]),
+	.A2_N(n_23414),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[98]),
+	.B2(n_23052),
+	.Y(n_23604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g629627 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[102]),
+	.A2(n_22553),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[103]),
+	.B2(n_23411),
+	.Y(n_23603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g629628 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[104]),
+	.A2_N(n_22563),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[103]),
+	.B2(n_23411),
+	.Y(n_23602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g629629 (
+	.A1(n_22553),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[102]),
+	.B1(n_22563),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[104]),
+	.Y(n_23601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g629630 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[5]),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[5]),
+	.Y(n_23600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g629631 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[7]),
+	.A2_N(n_23411),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[7]),
+	.B2(n_23411),
+	.Y(n_23599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g629632 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[6]),
+	.A2_N(n_22553),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[6]),
+	.B2(n_22553),
+	.Y(n_23598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g629633 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[9]),
+	.A2_N(n_23408),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[9]),
+	.B2(n_23408),
+	.Y(n_23597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g629634 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[8]),
+	.A2_N(n_22563),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[8]),
+	.B2(n_22563),
+	.Y(n_23596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g629635 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[15]),
+	.A2(n_23417),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[16]),
+	.B2(n_22564),
+	.Y(n_23595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g629636 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[13]),
+	.A2_N(n_23405),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[13]),
+	.B2(n_23405),
+	.Y(n_23594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g629637 (
+	.A1(n_23440),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[27]),
+	.B1(n_23452),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[28]),
+	.Y(n_23593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g629638 (
+	.A1(n_23449),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[26]),
+	.B1(n_23451),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[29]),
+	.Y(n_23592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g629639 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[20]),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[20]),
+	.X(n_23591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g629640 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[18]),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[18]),
+	.Y(n_23590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g629641 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[27]),
+	.A2(n_23440),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[28]),
+	.B2(n_23452),
+	.Y(n_23589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g629642 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[21]),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[21]),
+	.Y(n_23588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g629643 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[23]),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[23]),
+	.Y(n_23587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g629644 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[24]),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[24]),
+	.Y(n_23586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g629645 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[22]),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[22]),
+	.X(n_23585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g629646 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[50]),
+	.A2(n_23442),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[52]),
+	.B2(n_23443),
+	.Y(n_23584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g629647 (
+	.A1(n_31870),
+	.A2(n_23457),
+	.B1(n_32218),
+	.Y(n_31452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g629648 (
+	.A1(n_23424),
+	.A2(n_33354),
+	.B1(n_32791),
+	.Y(brqrv_top_brqrv_dec_dec_csr_wen_unq_d), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629649 (
+	.A(n_23525),
+	.B(n_23459),
+	.Y(n_33229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g629650 (
+	.A_N(n_31454),
+	.B(n_23124),
+	.Y(n_31449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629651 (
+	.A(n_23526),
+	.B(n_23456),
+	.Y(n_33239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g629652 (
+	.A1(brqrv_top_brqrv_lsu_end_addr_m[10]),
+	.A2(n_31843),
+	.B1(n_31840),
+	.B2(brqrv_top_brqrv_lsu_end_addr_m[13]),
+	.Y(n_23583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g629653 (
+	.A1(n_2672),
+	.A2(n_30828),
+	.B1(n_2784),
+	.B2(n_30827),
+	.Y(n_23582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g629654 (
+	.A1_N(brqrv_top_brqrv_lsu_store_data_lo_r[5]),
+	.A2_N(n_39134),
+	.B1(n_2635),
+	.B2(n_30828),
+	.Y(n_23581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g629655 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[38]),
+	.A2_N(n_22553),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[38]),
+	.B2(n_22553),
+	.Y(n_23580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g629656 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[36]),
+	.A2_N(n_23414),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[37]),
+	.B2(n_22565),
+	.Y(n_23579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g629657 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[35]),
+	.A2_N(n_22551),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[34]),
+	.B2(n_23052),
+	.Y(n_23578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g629658 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[57]),
+	.A2_N(n_23450),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[56]),
+	.B2(n_23448),
+	.Y(n_23577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g629659 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[54]),
+	.A2_N(n_23447),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[55]),
+	.B2(n_23446),
+	.Y(n_23576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g629660 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[47]),
+	.A2_N(n_23417),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[49]),
+	.B2(n_23441),
+	.Y(n_23575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g629661 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[61]),
+	.A2_N(n_23451),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[61]),
+	.B2(n_23451),
+	.Y(n_23574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g629662 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[46]),
+	.A2(n_23419),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[48]),
+	.B2(n_22564),
+	.Y(n_23573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g629663 (
+	.A1(n_22565),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[69]),
+	.B1(n_23052),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[66]),
+	.Y(n_23572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g629664 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[67]),
+	.A2(n_22551),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[69]),
+	.B2(n_22565),
+	.Y(n_23571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g629665 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[84]),
+	.A2_N(n_23443),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[84]),
+	.B2(n_23443),
+	.Y(n_23570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g629666 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[85]),
+	.A2_N(n_23445),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[85]),
+	.B2(n_23445),
+	.Y(n_23569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g629667 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[83]),
+	.A2_N(n_23444),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[83]),
+	.B2(n_23444),
+	.Y(n_23568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g629668 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[82]),
+	.A2_N(n_23442),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[82]),
+	.B2(n_23442),
+	.Y(n_23567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g629669 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[76]),
+	.A2_N(n_23418),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[76]),
+	.B2(n_23418),
+	.Y(n_23566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g629670 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[89]),
+	.A2_N(n_23450),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[86]),
+	.B2(n_23447),
+	.Y(n_23565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g629671 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[79]),
+	.A2_N(n_23417),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[78]),
+	.B2(n_23419),
+	.Y(n_23564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g629672 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[88]),
+	.A2_N(n_23448),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[87]),
+	.B2(n_23446),
+	.Y(n_23563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g629673 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[80]),
+	.A2_N(n_22564),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[80]),
+	.B2(n_22564),
+	.Y(n_23562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g629674 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[93]),
+	.A2_N(n_23451),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[92]),
+	.B2(n_23452),
+	.Y(n_23561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g629675 (
+	.A1(brqrv_top_brqrv_lsu_end_addr_m[27]),
+	.A2(n_31853),
+	.B1(brqrv_top_brqrv_lsu_end_addr_m[29]),
+	.B2(n_32669),
+	.Y(n_23560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g629676 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[91]),
+	.A2_N(n_23440),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[91]),
+	.B2(n_23440),
+	.Y(n_23559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g629677 (
+	.A1(brqrv_top_brqrv_lsu_end_addr_m[5]),
+	.A2(n_31847),
+	.B1(brqrv_top_brqrv_lsu_end_addr_m[2]),
+	.B2(n_31867),
+	.X(n_23558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g629678 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[70]),
+	.A2_N(n_22553),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[73]),
+	.B2(n_23408),
+	.Y(n_23557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g629679 (
+	.A1(n_31841),
+	.A2(brqrv_top_brqrv_lsu_end_addr_m[12]),
+	.B1(n_31850),
+	.B2(brqrv_top_brqrv_lsu_end_addr_m[31]),
+	.Y(n_23556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g629680 (
+	.A1_N(brqrv_top_brqrv_lsu_store_data_lo_r[0]),
+	.A2_N(n_39134),
+	.B1(n_2757),
+	.B2(n_30828),
+	.Y(n_23555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g629681 (
+	.A1(n_31843),
+	.A2(brqrv_top_brqrv_lsu_end_addr_m[10]),
+	.B1(brqrv_top_brqrv_lsu_end_addr_m[13]),
+	.B2(n_31840),
+	.Y(n_23554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g629682 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[72]),
+	.A2_N(n_22563),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[72]),
+	.B2(n_22563),
+	.Y(n_23553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g629683 (
+	.A1_N(n_31844),
+	.A2_N(brqrv_top_brqrv_lsu_end_addr_m[9]),
+	.B1(brqrv_top_brqrv_lsu_end_addr_m[6]),
+	.B2(n_31846),
+	.Y(n_23552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g629684 (
+	.A1(brqrv_top_brqrv_lsu_end_addr_m[22]),
+	.A2(n_31856),
+	.B1(brqrv_top_brqrv_lsu_end_addr_m[25]),
+	.B2(n_31854),
+	.Y(n_23551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g629685 (
+	.A1(n_31860),
+	.A2(brqrv_top_brqrv_lsu_end_addr_m[18]),
+	.B1(n_31858),
+	.B2(brqrv_top_brqrv_lsu_end_addr_m[20]),
+	.Y(n_23550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g629686 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[122]),
+	.A2_N(n_23449),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[122]),
+	.B2(n_23449),
+	.Y(n_23549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g629687 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[118]),
+	.A2(n_23447),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[120]),
+	.B2(n_23448),
+	.Y(n_23548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g629688 (
+	.A1(n_31837),
+	.A2(brqrv_top_brqrv_lsu_end_addr_m[16]),
+	.B1(n_31836),
+	.B2(brqrv_top_brqrv_lsu_end_addr_m[17]),
+	.Y(n_23547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g629689 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[121]),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[25]),
+	.Y(n_23546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g629690 (
+	.A1(n_23446),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[119]),
+	.B1(n_23448),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[120]),
+	.Y(n_23545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g629691 (
+	.A1_N(n_31927),
+	.A2_N(brqrv_top_brqrv_lsu_end_addr_m[9]),
+	.B1(n_31933),
+	.B2(brqrv_top_brqrv_lsu_end_addr_m[6]),
+	.Y(n_23544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g629692 (
+	.A1_N(n_31929),
+	.A2_N(brqrv_top_brqrv_lsu_end_addr_m[8]),
+	.B1(n_31933),
+	.B2(brqrv_top_brqrv_lsu_end_addr_m[6]),
+	.Y(n_23543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g629693 (
+	.A1_N(n_31905),
+	.A2_N(brqrv_top_brqrv_lsu_end_addr_m[20]),
+	.B1(n_31907),
+	.B2(brqrv_top_brqrv_lsu_end_addr_m[19]),
+	.Y(n_23542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g629694 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[110]),
+	.A2(n_23419),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[112]),
+	.B2(n_22564),
+	.Y(n_23541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g629695 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[114]),
+	.A2_N(n_23442),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[114]),
+	.B2(n_23442),
+	.Y(n_23540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g629696 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[111]),
+	.A2_N(n_23417),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[110]),
+	.B2(n_23419),
+	.Y(n_23539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g629697 (
+	.A1(brqrv_top_brqrv_lsu_end_addr_m[22]),
+	.A2(n_31902),
+	.B1(brqrv_top_brqrv_lsu_end_addr_m[25]),
+	.B2(n_31896),
+	.Y(n_23538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g629698 (
+	.A(n_31884),
+	.B(n_23416),
+	.Y(n_23537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g629699 (
+	.A1_N(n_31935),
+	.A2_N(brqrv_top_brqrv_lsu_end_addr_m[5]),
+	.B1(n_31935),
+	.B2(brqrv_top_brqrv_lsu_end_addr_m[5]),
+	.Y(n_23536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g629700 (
+	.A1_N(n_31919),
+	.A2_N(brqrv_top_brqrv_lsu_end_addr_m[13]),
+	.B1(n_31919),
+	.B2(brqrv_top_brqrv_lsu_end_addr_m[13]),
+	.Y(n_23535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g629701 (
+	.A1_N(n_31848),
+	.A2_N(brqrv_top_brqrv_lsu_end_addr_m[4]),
+	.B1(n_31848),
+	.B2(brqrv_top_brqrv_lsu_end_addr_m[4]),
+	.Y(n_23534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g629702 (
+	.A1_N(brqrv_top_brqrv_lsu_end_addr_m[19]),
+	.A2_N(n_31859),
+	.B1(brqrv_top_brqrv_lsu_end_addr_m[19]),
+	.B2(n_31859),
+	.Y(n_23533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g629703 (
+	.A1_N(brqrv_top_brqrv_lsu_end_addr_m[15]),
+	.A2_N(n_31838),
+	.B1(brqrv_top_brqrv_lsu_end_addr_m[15]),
+	.B2(n_31838),
+	.Y(n_23532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g629704 (
+	.A1_N(n_31917),
+	.A2_N(brqrv_top_brqrv_lsu_end_addr_m[14]),
+	.B1(n_31917),
+	.B2(brqrv_top_brqrv_lsu_end_addr_m[14]),
+	.Y(n_23531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g629705 (
+	.A(n_31911),
+	.B(n_23441),
+	.Y(n_23530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g629706 (
+	.A(n_31915),
+	.B(n_23417),
+	.Y(n_23529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g629707 (
+	.A(n_31931),
+	.B(n_23411),
+	.Y(n_23528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g629708 (
+	.A1_N(n_32682),
+	.A2_N(brqrv_top_brqrv_lsu_end_addr_m[21]),
+	.B1(n_32682),
+	.B2(brqrv_top_brqrv_lsu_end_addr_m[21]),
+	.Y(n_23527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629709 (
+	.A(brqrv_top_brqrv_lsu_end_addr_m[23]),
+	.B(n_31900),
+	.Y(n_23523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629710 (
+	.A(n_22564),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[112]),
+	.Y(n_23522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g629711 (
+	.A(n_31898),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[24]),
+	.Y(n_23521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629712 (
+	.A(n_23413),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[126]),
+	.Y(n_23520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g629713 (
+	.A(n_31940),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[3]),
+	.Y(n_23519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g629714 (
+	.A(n_31925),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[10]),
+	.Y(n_23518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g629715 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[127]),
+	.B(n_23416),
+	.Y(n_23517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g629716 (
+	.A(n_31890),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[28]),
+	.Y(n_23516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629717 (
+	.A(n_22551),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[99]),
+	.Y(n_23515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629718 (
+	.A(n_23408),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[105]),
+	.Y(n_23514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g629719 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[4]),
+	.B(n_23414),
+	.Y(n_23513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g629720 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[17]),
+	.B(n_23441),
+	.Y(n_23512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629721 (
+	.A(n_23440),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[123]),
+	.Y(n_23511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g629722 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[14]),
+	.B(n_23419),
+	.Y(n_23510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629723 (
+	.A(n_23418),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[12]),
+	.Y(n_23509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g629724 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[31]),
+	.B(n_23416),
+	.Y(n_23508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629725 (
+	.A(n_23444),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[19]),
+	.Y(n_23507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g629726 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[25]),
+	.B(n_23450),
+	.Y(n_23506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g629727 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[53]),
+	.B(n_23445),
+	.Y(n_23505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629728 (
+	.A(n_23443),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[52]),
+	.Y(n_23504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629729 (
+	.A(n_22554),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[43]),
+	.Y(n_23503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629730 (
+	.A(n_23411),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[39]),
+	.Y(n_23502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629731 (
+	.A(n_23440),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[59]),
+	.Y(n_23501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629732 (
+	.A(n_22564),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[48]),
+	.Y(n_23500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g629733 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[68]),
+	.B(n_23414),
+	.Y(n_23499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g629734 (
+	.A(brqrv_top_brqrv_lsu_end_addr_m[8]),
+	.B(n_31845),
+	.Y(n_23498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629735 (
+	.A(n_23407),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[74]),
+	.Y(n_23497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g629736 (
+	.A(n_31842),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[11]),
+	.Y(n_23496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629737 (
+	.A(n_31847),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[5]),
+	.Y(n_23495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629738 (
+	.A(n_23458),
+	.B(n_31870),
+	.Y(n_31454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g629739 (
+	.A_N(n_30827),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[13]),
+	.Y(n_23526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g629740 (
+	.A_N(n_30827),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[8]),
+	.Y(n_23525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629741 (
+	.A(n_23453),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[25]),
+	.Y(n_23524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g629742 (
+	.A_N(n_32567),
+	.B(n_32579),
+	.Y(n_32566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g629743 (
+	.A(brqrv_top_brqrv_lsu_end_addr_m[18]),
+	.B(n_31860),
+	.Y(n_23492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g629744 (
+	.A(brqrv_top_brqrv_lsu_end_addr_m[16]),
+	.B(n_31837),
+	.Y(n_23491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629745 (
+	.A(n_23447),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[118]),
+	.Y(n_23490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g629746 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[126]),
+	.B(n_23413),
+	.Y(n_23489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629747 (
+	.A(n_23443),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[116]),
+	.Y(n_23488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629748 (
+	.A(brqrv_top_brqrv_lsu_end_addr_m[16]),
+	.B(n_31913),
+	.Y(n_23487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629749 (
+	.A(brqrv_top_brqrv_lsu_end_addr_m[8]),
+	.B(n_31929),
+	.Y(n_23486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g629750 (
+	.A(n_23162),
+	.B(n_23352),
+	.C(n_23260),
+	.D(n_23161),
+	.Y(n_23485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g629751 (
+	.A(n_23329),
+	.B(n_23130),
+	.C(n_23093),
+	.D(n_23134),
+	.Y(n_23484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g629752 (
+	.A1(n_23063),
+	.A2(n_31854),
+	.B1(n_23133),
+	.C1(n_23132),
+	.D1(n_23316),
+	.Y(n_23483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g629753 (
+	.A1(n_2635),
+	.A2(n_30835),
+	.B1(n_23430),
+	.Y(n_23482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g629754 (
+	.A1(brqrv_top_brqrv_dec_decode_cam_raw[23]),
+	.A2(n_23057),
+	.B1(n_23402),
+	.Y(n_23481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g629755 (
+	.A1(n_23058),
+	.A2(n_31847),
+	.B1(n_23135),
+	.C1(n_23177),
+	.D1(n_23317),
+	.Y(n_23480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g629756 (
+	.A1(brqrv_top_brqrv_dec_div_waddr_wb[4]),
+	.A2(n_23056),
+	.B1(n_23151),
+	.C1(n_23275),
+	.D1(n_23150),
+	.Y(n_23479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g629757 (
+	.A1(brqrv_top_brqrv_dec_decode_cam_raw[13]),
+	.A2(n_23057),
+	.B1(n_23145),
+	.C1(n_23158),
+	.D1(n_23249),
+	.Y(n_23478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g629758 (
+	.A1(n_31908),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[82]),
+	.B1(n_23293),
+	.C1(n_23387),
+	.Y(n_23477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g629759 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[43]),
+	.A2(n_31922),
+	.B1(n_23209),
+	.C1(n_23207),
+	.D1(n_23208),
+	.Y(n_23476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g629760 (
+	.A(n_23395),
+	.B(n_23363),
+	.C(n_23191),
+	.D(n_23192),
+	.Y(n_23475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g629761 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[117]),
+	.A2(n_31903),
+	.B1(n_23182),
+	.C1(n_23181),
+	.D1(n_23315),
+	.Y(n_23474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g629762 (
+	.A(n_32550),
+	.B(n_32551),
+	.C_N(n_30514),
+	.Y(n_23473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g629763 (
+	.A1(n_23123),
+	.A2(brqrv_top_brqrv_dec_dec_i0_instr_d[17]),
+	.B1(n_23429),
+	.Y(n_23472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g629764 (
+	.A1(n_519),
+	.A2(brqrv_top_brqrv_dec_div_waddr_wb[0]),
+	.B1(n_518),
+	.B2(brqrv_top_brqrv_dec_div_waddr_wb[3]),
+	.C1(n_23422),
+	.Y(n_23471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g629765 (
+	.A1(n_518),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[13]),
+	.B1(n_513),
+	.B2(brqrv_top_brqrv_dec_decode_cam_raw[14]),
+	.C1(n_23423),
+	.Y(n_23470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g629766 (
+	.A1(n_531),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[2]),
+	.B1(n_513),
+	.B2(brqrv_top_brqrv_dec_decode_cam_raw[4]),
+	.C1(n_23426),
+	.Y(n_23469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g629767 (
+	.A1_N(brqrv_top_brqrv_lsu_store_data_hi_r[1]),
+	.A2_N(n_2632),
+	.B1(n_2672),
+	.B2(n_30843),
+	.Y(n_23468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g629768 (
+	.A1(n_2784),
+	.A2(n_30836),
+	.B1(n_23431),
+	.Y(n_23467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g629769 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[26]),
+	.B(n_33439),
+	.Y(n_23466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g629770 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[36]),
+	.B(n_33439),
+	.Y(n_23465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g629771 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[16]),
+	.B(n_33439),
+	.Y(n_23464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g629772 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[6]),
+	.B(n_33439),
+	.Y(n_23463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g629773 (
+	.A1(n_2757),
+	.A2(n_30835),
+	.B1(n_23432),
+	.Y(n_23462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g629774 (
+	.A1(n_31870),
+	.A2(n_23349),
+	.B1(n_23087),
+	.B2(n_30846),
+	.Y(n_23494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g629775 (
+	.A1(n_23099),
+	.A2(n_23349),
+	.B1(n_23354),
+	.Y(n_23493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g629776 (
+	.A(n_23369),
+	.B(n_23356),
+	.C(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_r[0]),
+	.Y(n_32562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g629777 (
+	.A(n_23457),
+	.Y(n_23458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g629779 (
+	.A(n_30830),
+	.Y(n_23453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g629780 (
+	.A(n_23452),
+	.Y(brqrv_top_brqrv_lsu_end_addr_m[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g629781 (
+	.A(n_23451),
+	.Y(brqrv_top_brqrv_lsu_end_addr_m[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g629782 (
+	.A(n_23450),
+	.Y(brqrv_top_brqrv_lsu_end_addr_m[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g629783 (
+	.A(n_23449),
+	.Y(brqrv_top_brqrv_lsu_end_addr_m[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g629784 (
+	.A(n_23448),
+	.Y(brqrv_top_brqrv_lsu_end_addr_m[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g629785 (
+	.A(n_23447),
+	.Y(brqrv_top_brqrv_lsu_end_addr_m[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g629786 (
+	.A(n_23446),
+	.Y(brqrv_top_brqrv_lsu_end_addr_m[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g629787 (
+	.A(n_23445),
+	.Y(brqrv_top_brqrv_lsu_end_addr_m[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g629788 (
+	.A(n_23444),
+	.Y(brqrv_top_brqrv_lsu_end_addr_m[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g629789 (
+	.A(n_23443),
+	.Y(brqrv_top_brqrv_lsu_end_addr_m[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g629790 (
+	.A(n_23442),
+	.Y(brqrv_top_brqrv_lsu_end_addr_m[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g629791 (
+	.A(n_23441),
+	.Y(brqrv_top_brqrv_lsu_end_addr_m[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g629792 (
+	.A(n_23440),
+	.Y(brqrv_top_brqrv_lsu_end_addr_m[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g629793 (
+	.A(n_23348),
+	.B(n_31797),
+	.Y(n_23439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g629794 (
+	.A(n_23374),
+	.B_N(brqrv_top_brqrv_dec_decode_r_d[0]),
+	.Y(n_23438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629795 (
+	.A(n_23366),
+	.B(brqrv_top_brqrv_dec_decode_cam_raw[25]),
+	.Y(n_23437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629796 (
+	.A(n_23366),
+	.B(brqrv_top_brqrv_dec_decode_cam_raw[35]),
+	.Y(n_23436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629797 (
+	.A(n_23366),
+	.B(brqrv_top_brqrv_dec_decode_cam_raw[15]),
+	.Y(n_23435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629798 (
+	.A(n_23366),
+	.B(brqrv_top_brqrv_dec_decode_cam_raw[5]),
+	.Y(n_23434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g629799 (
+	.A1(brqrv_top_brqrv_dec_dec_i0_instr_d[2]),
+	.A2(n_32641),
+	.B1(n_31881),
+	.C1(n_23282),
+	.D1(n_23277),
+	.Y(n_23433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g629800 (
+	.A_N(n_30837),
+	.B(brqrv_top_brqrv_lsu_store_data_hi_r[0]),
+	.Y(n_23432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g629801 (
+	.A_N(n_30837),
+	.B(brqrv_top_brqrv_lsu_store_data_hi_r[1]),
+	.Y(n_23431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g629802 (
+	.A_N(n_30837),
+	.B(brqrv_top_brqrv_lsu_store_data_hi_r[5]),
+	.Y(n_23430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g629803 (
+	.A1(brqrv_top_brqrv_dec_dec_i0_instr_d[18]),
+	.A2(n_23122),
+	.B1(n_23318),
+	.Y(n_23429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g629804 (
+	.A_N(n_32545),
+	.B(n_23305),
+	.C(n_32581),
+	.Y(n_32781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g629805 (
+	.A1(brqrv_top_brqrv_dec_dec_i0_instr_d[21]),
+	.A2(n_23105),
+	.B1(n_23288),
+	.C1(n_23345),
+	.Y(n_23428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g629806 (
+	.A1(n_32549),
+	.A2(n_32548),
+	.B1(n_32552),
+	.X(n_23427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g629807 (
+	.A1(brqrv_top_brqrv_dec_decode_cam_raw[4]),
+	.A2(n_513),
+	.B1(n_23167),
+	.C1(n_23166),
+	.Y(n_23426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g629808 (
+	.A(n_23348),
+	.B(n_31835),
+	.Y(n_23425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g629809 (
+	.A(brqrv_top_brqrv_dec_decode_i0_dp_raw[17]),
+	.B(brqrv_top_brqrv_dec_decode_i0_dp_raw[18]),
+	.Y(n_23424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g629810 (
+	.A1(brqrv_top_brqrv_dec_decode_cam_raw[14]),
+	.A2(n_513),
+	.B1(n_23169),
+	.C1(n_23168),
+	.Y(n_23423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g629811 (
+	.A1(brqrv_top_brqrv_dec_div_waddr_wb[0]),
+	.A2(n_519),
+	.B1(n_23163),
+	.C1(n_23165),
+	.Y(n_23422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629812 (
+	.A(n_23348),
+	.B(n_23099),
+	.Y(n_23421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g629813 (
+	.A_N(n_30843),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[25]),
+	.Y(n_23461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g629814 (
+	.A(n_32545),
+	.B(n_32546),
+	.X(n_32544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629815 (
+	.A(n_23367),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[25]),
+	.Y(n_23460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g629816 (
+	.A_N(n_30836),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[8]),
+	.Y(n_23459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g629817 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_n_1475),
+	.A2(n_23120),
+	.B1(brqrv_top_brqrv_lsu_stbuf_n_1476),
+	.Y(n_23457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g629818 (
+	.A_N(n_30836),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[13]),
+	.Y(n_23456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629819 (
+	.A(n_23372),
+	.B(brqrv_top_brqrv_lsu_store_data_lo_r[25]),
+	.Y(n_23455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g629820 (
+	.A(n_32573),
+	.B(n_23298),
+	.C(n_32580),
+	.X(n_32567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g629822 (
+	.A_N(n_30842),
+	.B(n_23368),
+	.Y(n_30828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g629823 (
+	.A_N(n_30840),
+	.B(n_23368),
+	.Y(n_30827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g629824 (
+	.A_N(n_32658),
+	.B(n_23368),
+	.Y(n_30830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g629825 (
+	.A1(n_462),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[28]),
+	.B1(brqrv_top_brqrv_lsu_n_1518),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[28]),
+	.Y(n_23452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g629826 (
+	.A1(n_462),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[29]),
+	.B1(brqrv_top_brqrv_lsu_n_1518),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[29]),
+	.Y(n_23451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g629827 (
+	.A1(n_462),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[25]),
+	.B1(brqrv_top_brqrv_lsu_n_1518),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[25]),
+	.Y(n_23450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g629828 (
+	.A1(n_462),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[26]),
+	.B1(brqrv_top_brqrv_lsu_n_1518),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[26]),
+	.Y(n_23449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g629829 (
+	.A1(n_462),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[24]),
+	.B1(brqrv_top_brqrv_lsu_n_1518),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[24]),
+	.Y(n_23448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g629830 (
+	.A1(n_462),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[22]),
+	.B1(brqrv_top_brqrv_lsu_n_1518),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[22]),
+	.Y(n_23447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g629831 (
+	.A1(n_462),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[23]),
+	.B1(brqrv_top_brqrv_lsu_n_1518),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[23]),
+	.Y(n_23446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g629832 (
+	.A1(n_462),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[21]),
+	.B1(brqrv_top_brqrv_lsu_n_1518),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[21]),
+	.Y(n_23445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g629833 (
+	.A1(n_462),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[19]),
+	.B1(brqrv_top_brqrv_lsu_n_1518),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[19]),
+	.Y(n_23444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g629834 (
+	.A1(n_462),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[20]),
+	.B1(brqrv_top_brqrv_lsu_n_1518),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[20]),
+	.Y(n_23443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g629835 (
+	.A1(n_462),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[18]),
+	.B1(brqrv_top_brqrv_lsu_n_1518),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[18]),
+	.Y(n_23442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g629836 (
+	.A1(n_462),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[17]),
+	.B1(brqrv_top_brqrv_lsu_n_1518),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[17]),
+	.Y(n_23441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g629837 (
+	.A1(n_462),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[27]),
+	.B1(brqrv_top_brqrv_lsu_n_1518),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[27]),
+	.Y(n_23440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g629838 (
+	.A(n_32556),
+	.Y(n_23420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g629839 (
+	.A(n_23419),
+	.Y(brqrv_top_brqrv_lsu_end_addr_m[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g629840 (
+	.A(n_23418),
+	.Y(brqrv_top_brqrv_lsu_end_addr_m[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g629841 (
+	.A(n_23417),
+	.Y(brqrv_top_brqrv_lsu_end_addr_m[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g629842 (
+	.A(n_23416),
+	.Y(brqrv_top_brqrv_lsu_end_addr_m[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g629843 (
+	.A(n_22551),
+	.Y(brqrv_top_brqrv_lsu_end_addr_m[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g629844 (
+	.A(brqrv_top_brqrv_lsu_end_addr_m[4]),
+	.Y(n_23414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g629845 (
+	.A(n_23413),
+	.Y(brqrv_top_brqrv_lsu_end_addr_m[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g629846 (
+	.A(n_22565),
+	.Y(brqrv_top_brqrv_lsu_end_addr_m[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g629847 (
+	.A(n_23411),
+	.Y(brqrv_top_brqrv_lsu_end_addr_m[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g629848 (
+	.A(n_22553),
+	.Y(brqrv_top_brqrv_lsu_end_addr_m[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g629849 (
+	.A(n_22563),
+	.Y(brqrv_top_brqrv_lsu_end_addr_m[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g629850 (
+	.A(n_23408),
+	.Y(brqrv_top_brqrv_lsu_end_addr_m[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g629851 (
+	.A(n_23407),
+	.Y(brqrv_top_brqrv_lsu_end_addr_m[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g629852 (
+	.A(n_22554),
+	.Y(brqrv_top_brqrv_lsu_end_addr_m[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g629853 (
+	.A(n_23405),
+	.Y(brqrv_top_brqrv_lsu_end_addr_m[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g629854 (
+	.A(n_22564),
+	.Y(brqrv_top_brqrv_lsu_end_addr_m[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g629855 (
+	.A(n_23172),
+	.B(n_23254),
+	.C(n_23253),
+	.D(n_23255),
+	.Y(n_23403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g629856 (
+	.A(n_23156),
+	.B(n_23146),
+	.C(n_23274),
+	.D(n_23157),
+	.Y(n_23402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g629857 (
+	.A(n_23153),
+	.B(n_23222),
+	.C(n_23147),
+	.D(n_23246),
+	.Y(n_23401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g629858 (
+	.A(n_23227),
+	.B(n_23160),
+	.C(n_23149),
+	.D(n_23159),
+	.Y(n_23400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g629859 (
+	.A(n_23170),
+	.B(n_23243),
+	.C(n_23152),
+	.D(n_23155),
+	.Y(n_23399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g629860 (
+	.A(n_23239),
+	.B(n_23238),
+	.C(n_23265),
+	.D(n_23237),
+	.Y(n_23398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g629861 (
+	.A(n_23174),
+	.B(n_23261),
+	.C(n_23175),
+	.D(n_23176),
+	.Y(n_23397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g629862 (
+	.A(n_23187),
+	.B(n_23188),
+	.C(n_23189),
+	.D(n_23186),
+	.Y(n_23396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g629863 (
+	.A(n_23197),
+	.B(n_23196),
+	.C(n_23194),
+	.D(n_23195),
+	.Y(n_23395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g629864 (
+	.A(n_23202),
+	.B(n_23201),
+	.C(n_23200),
+	.D(n_23199),
+	.Y(n_23394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g629865 (
+	.A(n_23205),
+	.B(n_23203),
+	.C(n_23204),
+	.D(n_23206),
+	.Y(n_23393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g629866 (
+	.A(n_23210),
+	.B(n_23212),
+	.C(n_23213),
+	.D(n_23211),
+	.Y(n_23392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g629867 (
+	.A(n_23214),
+	.B(n_23216),
+	.C(n_23217),
+	.D(n_23215),
+	.Y(n_23391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g629868 (
+	.A(n_23173),
+	.B(n_23272),
+	.C(n_23242),
+	.D(n_23241),
+	.Y(n_23390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g629869 (
+	.A(n_23221),
+	.B(n_23219),
+	.C(n_23218),
+	.D(n_23220),
+	.Y(n_23389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g629870 (
+	.A(n_23223),
+	.B(n_23224),
+	.C(n_23225),
+	.D(n_23226),
+	.Y(n_23388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g629871 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[83]),
+	.A2(n_31906),
+	.B1(n_23096),
+	.C1(n_23095),
+	.D1(n_23294),
+	.Y(n_23387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g629872 (
+	.A(n_23240),
+	.B(n_23198),
+	.C(n_23266),
+	.D(n_23262),
+	.Y(n_23386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g629873 (
+	.A1(n_31836),
+	.A2(n_23059),
+	.B1(n_23143),
+	.C1(n_23142),
+	.Y(n_23385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g629874 (
+	.A1(n_31844),
+	.A2(n_23078),
+	.B1(n_23141),
+	.C1(n_23140),
+	.Y(n_23384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g629875 (
+	.A1(n_32669),
+	.A2(n_23084),
+	.B1(n_23139),
+	.C1(n_23138),
+	.Y(n_23383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g629876 (
+	.A1(n_31840),
+	.A2(n_23060),
+	.B1(n_23131),
+	.C1(n_23137),
+	.Y(n_23382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g629877 (
+	.A(n_23178),
+	.B(n_23297),
+	.C(n_23301),
+	.D(n_23299),
+	.Y(n_23381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g629878 (
+	.A(n_23289),
+	.B(n_23287),
+	.C(n_23290),
+	.D(n_23286),
+	.Y(n_23380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g629879 (
+	.A(n_23245),
+	.B(n_23247),
+	.C(n_23248),
+	.D(n_23244),
+	.Y(n_23379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g629880 (
+	.A(n_23233),
+	.B(n_23236),
+	.C(n_23235),
+	.D(n_23234),
+	.Y(n_23378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g629881 (
+	.A(n_23231),
+	.B(n_23229),
+	.C(n_23230),
+	.D(n_23232),
+	.Y(n_23377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g629882 (
+	.A(n_23279),
+	.B(n_23278),
+	.C(n_23257),
+	.D(n_23280),
+	.Y(n_23376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g629883 (
+	.A(n_23281),
+	.B(n_23283),
+	.C(n_23284),
+	.D(n_23285),
+	.Y(n_23375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g629884 (
+	.A1(n_31748),
+	.A2(n_31743),
+	.B1(n_23300),
+	.B2(n_33354),
+	.Y(n_32556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g629885 (
+	.A1(n_462),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[14]),
+	.B1(brqrv_top_brqrv_lsu_n_1518),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[14]),
+	.Y(n_23419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g629886 (
+	.A1(n_462),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[12]),
+	.B1(brqrv_top_brqrv_lsu_n_1518),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[12]),
+	.Y(n_23418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g629887 (
+	.A1(n_462),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[15]),
+	.B1(brqrv_top_brqrv_lsu_n_1518),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[15]),
+	.Y(n_23417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g629888 (
+	.A1(n_462),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[31]),
+	.B1(brqrv_top_brqrv_lsu_n_1518),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[31]),
+	.Y(n_23416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g629889 (
+	.A1(n_462),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[3]),
+	.B1(brqrv_top_brqrv_lsu_n_1518),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[3]),
+	.Y(n_22551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g629890 (
+	.A1(n_462),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[4]),
+	.B1(brqrv_top_brqrv_lsu_n_1518),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[4]),
+	.X(brqrv_top_brqrv_lsu_end_addr_m[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g629891 (
+	.A1(n_462),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[30]),
+	.B1(brqrv_top_brqrv_lsu_n_1518),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[30]),
+	.Y(n_23413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g629892 (
+	.A1(n_462),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[5]),
+	.B1(brqrv_top_brqrv_lsu_n_1518),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[5]),
+	.Y(n_22565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g629893 (
+	.A1(n_462),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[7]),
+	.B1(brqrv_top_brqrv_lsu_n_1518),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[7]),
+	.Y(n_23411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g629894 (
+	.A1(n_462),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[6]),
+	.B1(brqrv_top_brqrv_lsu_n_1518),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[6]),
+	.Y(n_22553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g629895 (
+	.A1(n_462),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[8]),
+	.B1(brqrv_top_brqrv_lsu_n_1518),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[8]),
+	.Y(n_22563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g629896 (
+	.A1(n_462),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[9]),
+	.B1(brqrv_top_brqrv_lsu_n_1518),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[9]),
+	.Y(n_23408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g629897 (
+	.A1(n_462),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[10]),
+	.B1(brqrv_top_brqrv_lsu_n_1518),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[10]),
+	.Y(n_23407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g629898 (
+	.A1(n_462),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[11]),
+	.B1(brqrv_top_brqrv_lsu_n_1518),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[11]),
+	.Y(n_22554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g629899 (
+	.A1(n_462),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[13]),
+	.B1(brqrv_top_brqrv_lsu_n_1518),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[13]),
+	.Y(n_23405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g629900 (
+	.A1(n_462),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[16]),
+	.B1(brqrv_top_brqrv_lsu_n_1518),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_m[16]),
+	.Y(n_22564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g629901 (
+	.A(n_23365),
+	.Y(n_34843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g629902 (
+	.A(n_23362),
+	.Y(n_34842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g629903 (
+	.A(n_23355),
+	.Y(n_34851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g629904 (
+	.A(n_23353),
+	.Y(n_34855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g629905 (
+	.A(n_23350),
+	.Y(n_34857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g629908 (
+	.A(n_23372),
+	.Y(n_30839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g629910 (
+	.A(n_23370),
+	.Y(n_30835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g629911 (
+	.A(n_23367),
+	.Y(n_32651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g629912 (
+	.A(n_33438),
+	.Y(n_23366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g629913 (
+	.A(n_32547),
+	.B(n_32550),
+	.X(n_32782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g629914 (
+	.A1(brqrv_top_brqrv_ifu_aln_q1pc[14]),
+	.A2(n_5301),
+	.B1(n_6034),
+	.B2(brqrv_top_brqrv_ifu_aln_q0pc[14]),
+	.C1(n_5302),
+	.C2(brqrv_top_brqrv_ifu_aln_q2pc[14]),
+	.Y(n_23365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g629915 (
+	.A1(n_31936),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[100]),
+	.B1(n_23117),
+	.C1(n_23185),
+	.Y(n_23364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g629916 (
+	.A1(n_31920),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[108]),
+	.B1(n_23094),
+	.C1(n_23193),
+	.Y(n_23363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g629917 (
+	.A1(brqrv_top_brqrv_ifu_aln_q1pc[13]),
+	.A2(n_5301),
+	.B1(n_6034),
+	.B2(brqrv_top_brqrv_ifu_aln_q0pc[13]),
+	.C1(n_5302),
+	.C2(brqrv_top_brqrv_ifu_aln_q2pc[13]),
+	.Y(n_23362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g629918 (
+	.A1(n_31843),
+	.A2(n_23064),
+	.B1(n_23269),
+	.Y(n_23361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g629919 (
+	.A1(n_31853),
+	.A2(n_23061),
+	.B1(n_23270),
+	.Y(n_23360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g629920 (
+	.A(n_32571),
+	.B(n_32580),
+	.X(n_32785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g629921 (
+	.A1(n_32667),
+	.A2(n_23080),
+	.B1(n_23271),
+	.Y(n_23359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g629922 (
+	.A(n_32578),
+	.B(n_32568),
+	.X(n_32780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g629923 (
+	.A1(n_23073),
+	.A2(brqrv_top_brqrv_dec_decode_n_1216),
+	.B1(n_23128),
+	.C1(n_23312),
+	.Y(n_23358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g629924 (
+	.A1(n_31839),
+	.A2(n_23083),
+	.B1(n_23273),
+	.Y(n_23357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g629925 (
+	.A(n_30831),
+	.B(n_31821),
+	.Y(n_23356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g629927 (
+	.A1(brqrv_top_brqrv_ifu_aln_q1pc[22]),
+	.A2(n_5301),
+	.B1(n_6034),
+	.B2(brqrv_top_brqrv_ifu_aln_q0pc[22]),
+	.C1(n_5302),
+	.C2(brqrv_top_brqrv_ifu_aln_q2pc[22]),
+	.Y(n_23355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g629928 (
+	.A_N(n_30848),
+	.B(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_r[0]),
+	.Y(n_23354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g629929 (
+	.A1(brqrv_top_brqrv_ifu_aln_q1pc[26]),
+	.A2(n_5301),
+	.B1(n_6034),
+	.B2(brqrv_top_brqrv_ifu_aln_q0pc[26]),
+	.C1(n_5302),
+	.C2(brqrv_top_brqrv_ifu_aln_q2pc[26]),
+	.Y(n_23353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629930 (
+	.A(n_23305),
+	.B(n_23103),
+	.Y(n_32541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g629931 (
+	.A1(brqrv_top_brqrv_dec_decode_cam_raw[20]),
+	.A2(n_519),
+	.B1(n_23091),
+	.C1(n_23268),
+	.Y(n_23352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g629932 (
+	.A1_N(brqrv_top_brqrv_dec_dec_i0_instr_d[9]),
+	.A2_N(n_23125),
+	.B1(n_31756),
+	.B2(n_23113),
+	.Y(n_23351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g629933 (
+	.A1(brqrv_top_brqrv_ifu_aln_q1pc[28]),
+	.A2(n_5301),
+	.B1(n_6034),
+	.B2(brqrv_top_brqrv_ifu_aln_q0pc[28]),
+	.C1(n_5302),
+	.C2(brqrv_top_brqrv_ifu_aln_q2pc[28]),
+	.Y(n_23350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g629934 (
+	.A(n_32572),
+	.B(n_32580),
+	.X(n_32552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g629937 (
+	.A(n_23312),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[12]),
+	.X(brqrv_top_brqrv_dec_decode_i0_dp_raw[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g629938 (
+	.A(n_31754),
+	.B(n_31741),
+	.C(n_33354),
+	.Y(n_23374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629939 (
+	.A(n_23306),
+	.B(n_23107),
+	.Y(n_30843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g629940 (
+	.A(n_30842),
+	.B(n_23307),
+	.Y(n_2632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g629941 (
+	.A(n_30840),
+	.B(n_23307),
+	.Y(n_23372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g629942 (
+	.A(n_32658),
+	.B(n_23307),
+	.Y(n_2633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g629943 (
+	.A(n_30840),
+	.B_N(n_23308),
+	.Y(n_23370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g629944 (
+	.A_N(n_32658),
+	.B(n_23308),
+	.Y(n_30837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g629945 (
+	.A(n_32924),
+	.B(n_23304),
+	.Y(n_23369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629946 (
+	.A(n_23308),
+	.B(n_23107),
+	.Y(n_30836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g629947 (
+	.A(n_23108),
+	.B_N(brqrv_top_brqrv_lsu_stbuf_n_1475),
+	.Y(n_23368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g629948 (
+	.A(n_30842),
+	.B_N(n_23308),
+	.Y(n_23367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g629949 (
+	.A(n_29920),
+	.B(n_29919),
+	.C(n_29922),
+	.D(n_29921),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_lsu_nonblock_load_data_ready), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629950 (
+	.A(n_31734),
+	.B(n_31761),
+	.Y(n_33439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g629951 (
+	.A(n_31733),
+	.B(n_31761),
+	.Y(n_33438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g629952 (
+	.A(n_23347),
+	.Y(n_34832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g629953 (
+	.A(n_23346),
+	.Y(n_34841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g629954 (
+	.A(n_23344),
+	.Y(n_34850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g629955 (
+	.A(n_23343),
+	.Y(n_34840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g629956 (
+	.A(n_23342),
+	.Y(n_34839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g629957 (
+	.A(n_23341),
+	.Y(n_34849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g629958 (
+	.A(n_23340),
+	.Y(n_34854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g629959 (
+	.A(n_23339),
+	.Y(n_34838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g629960 (
+	.A(n_23338),
+	.Y(n_34848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g629961 (
+	.A(n_23337),
+	.Y(n_34837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g629962 (
+	.A(n_23336),
+	.Y(n_34836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g629963 (
+	.A(n_23335),
+	.Y(n_34847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g629964 (
+	.A(n_23334),
+	.Y(n_34835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g629965 (
+	.A(n_23333),
+	.Y(n_34853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g629966 (
+	.A(n_23332),
+	.Y(n_34834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g629967 (
+	.A(n_23331),
+	.Y(n_34846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g629968 (
+	.A(n_23330),
+	.Y(n_34833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g629969 (
+	.A(n_23327),
+	.Y(n_34845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g629970 (
+	.A(n_23326),
+	.Y(n_34831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g629971 (
+	.A(n_23325),
+	.Y(n_34856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g629972 (
+	.A(n_23324),
+	.Y(n_34830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g629973 (
+	.A(n_23323),
+	.Y(n_34852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g629974 (
+	.A(n_23322),
+	.Y(n_34858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g629975 (
+	.A(n_23321),
+	.Y(n_34844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g629976 (
+	.A(n_23320),
+	.Y(n_34859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g629977 (
+	.A1(brqrv_top_brqrv_ifu_aln_q0pc[3]),
+	.A2(n_6034),
+	.B1(n_5302),
+	.B2(brqrv_top_brqrv_ifu_aln_q2pc[3]),
+	.C1(n_5301),
+	.C2(brqrv_top_brqrv_ifu_aln_q1pc[3]),
+	.Y(n_23347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g629978 (
+	.A1(brqrv_top_brqrv_ifu_aln_q0pc[12]),
+	.A2(n_6034),
+	.B1(n_5302),
+	.B2(brqrv_top_brqrv_ifu_aln_q2pc[12]),
+	.C1(n_5301),
+	.C2(brqrv_top_brqrv_ifu_aln_q1pc[12]),
+	.Y(n_23346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g629979 (
+	.A1_N(n_23122),
+	.A2_N(n_518),
+	.B1(n_23122),
+	.B2(n_518),
+	.Y(n_23345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g629980 (
+	.A1(brqrv_top_brqrv_ifu_aln_q1pc[21]),
+	.A2(n_5301),
+	.B1(n_6034),
+	.B2(brqrv_top_brqrv_ifu_aln_q0pc[21]),
+	.C1(n_5302),
+	.C2(brqrv_top_brqrv_ifu_aln_q2pc[21]),
+	.Y(n_23344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g629981 (
+	.A1(brqrv_top_brqrv_ifu_aln_q0pc[11]),
+	.A2(n_6034),
+	.B1(n_5301),
+	.B2(brqrv_top_brqrv_ifu_aln_q1pc[11]),
+	.C1(n_5302),
+	.C2(brqrv_top_brqrv_ifu_aln_q2pc[11]),
+	.Y(n_23343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g629982 (
+	.A(n_32581),
+	.B(n_32571),
+	.C(n_32784),
+	.X(n_32783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g629983 (
+	.A1(brqrv_top_brqrv_ifu_aln_q0pc[10]),
+	.A2(n_6034),
+	.B1(n_5301),
+	.B2(brqrv_top_brqrv_ifu_aln_q1pc[10]),
+	.C1(n_5302),
+	.C2(brqrv_top_brqrv_ifu_aln_q2pc[10]),
+	.Y(n_23342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g629984 (
+	.A1(brqrv_top_brqrv_ifu_aln_q1pc[20]),
+	.A2(n_5301),
+	.B1(n_6034),
+	.B2(brqrv_top_brqrv_ifu_aln_q0pc[20]),
+	.C1(n_5302),
+	.C2(brqrv_top_brqrv_ifu_aln_q2pc[20]),
+	.Y(n_23341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g629985 (
+	.A1(brqrv_top_brqrv_ifu_aln_q1pc[25]),
+	.A2(n_5301),
+	.B1(n_5302),
+	.B2(brqrv_top_brqrv_ifu_aln_q2pc[25]),
+	.C1(n_6034),
+	.C2(brqrv_top_brqrv_ifu_aln_q0pc[25]),
+	.Y(n_23340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g629986 (
+	.A1(brqrv_top_brqrv_ifu_aln_q0pc[9]),
+	.A2(n_6034),
+	.B1(n_5301),
+	.B2(brqrv_top_brqrv_ifu_aln_q1pc[9]),
+	.C1(n_5302),
+	.C2(brqrv_top_brqrv_ifu_aln_q2pc[9]),
+	.Y(n_23339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g629987 (
+	.A1(brqrv_top_brqrv_ifu_aln_q1pc[19]),
+	.A2(n_5301),
+	.B1(n_6034),
+	.B2(brqrv_top_brqrv_ifu_aln_q0pc[19]),
+	.C1(n_5302),
+	.C2(brqrv_top_brqrv_ifu_aln_q2pc[19]),
+	.Y(n_23338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g629988 (
+	.A1(brqrv_top_brqrv_ifu_aln_q0pc[8]),
+	.A2(n_6034),
+	.B1(n_5301),
+	.B2(brqrv_top_brqrv_ifu_aln_q1pc[8]),
+	.C1(n_5302),
+	.C2(brqrv_top_brqrv_ifu_aln_q2pc[8]),
+	.Y(n_23337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g629989 (
+	.A(n_23127),
+	.B(n_32573),
+	.C(n_32572),
+	.Y(n_32539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g629990 (
+	.A1(brqrv_top_brqrv_ifu_aln_q0pc[7]),
+	.A2(n_6034),
+	.B1(n_5301),
+	.B2(brqrv_top_brqrv_ifu_aln_q1pc[7]),
+	.C1(n_5302),
+	.C2(brqrv_top_brqrv_ifu_aln_q2pc[7]),
+	.Y(n_23336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g629991 (
+	.A1(brqrv_top_brqrv_ifu_aln_q1pc[18]),
+	.A2(n_5301),
+	.B1(n_6034),
+	.B2(brqrv_top_brqrv_ifu_aln_q0pc[18]),
+	.C1(n_5302),
+	.C2(brqrv_top_brqrv_ifu_aln_q2pc[18]),
+	.Y(n_23335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g629992 (
+	.A1(brqrv_top_brqrv_ifu_aln_q0pc[6]),
+	.A2(n_6034),
+	.B1(n_5302),
+	.B2(brqrv_top_brqrv_ifu_aln_q2pc[6]),
+	.C1(n_5301),
+	.C2(brqrv_top_brqrv_ifu_aln_q1pc[6]),
+	.Y(n_23334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g629993 (
+	.A1(brqrv_top_brqrv_ifu_aln_q1pc[24]),
+	.A2(n_5301),
+	.B1(n_6034),
+	.B2(brqrv_top_brqrv_ifu_aln_q0pc[24]),
+	.C1(n_5302),
+	.C2(brqrv_top_brqrv_ifu_aln_q2pc[24]),
+	.Y(n_23333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g629994 (
+	.A1(brqrv_top_brqrv_ifu_aln_q0pc[5]),
+	.A2(n_6034),
+	.B1(n_5302),
+	.B2(brqrv_top_brqrv_ifu_aln_q2pc[5]),
+	.C1(n_5301),
+	.C2(brqrv_top_brqrv_ifu_aln_q1pc[5]),
+	.Y(n_23332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g629995 (
+	.A1(brqrv_top_brqrv_ifu_aln_q1pc[17]),
+	.A2(n_5301),
+	.B1(n_6034),
+	.B2(brqrv_top_brqrv_ifu_aln_q0pc[17]),
+	.C1(n_5302),
+	.C2(brqrv_top_brqrv_ifu_aln_q2pc[17]),
+	.Y(n_23331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g629996 (
+	.A1(brqrv_top_brqrv_ifu_aln_q0pc[4]),
+	.A2(n_6034),
+	.B1(n_5302),
+	.B2(brqrv_top_brqrv_ifu_aln_q2pc[4]),
+	.C1(n_5301),
+	.C2(brqrv_top_brqrv_ifu_aln_q1pc[4]),
+	.Y(n_23330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g629997 (
+	.A1(n_31860),
+	.A2(n_23085),
+	.B1(n_23267),
+	.Y(n_23329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g629998 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[98]),
+	.A2(n_31861),
+	.B1(n_31934),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[101]),
+	.C1(n_31938),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[99]),
+	.Y(n_23328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g629999 (
+	.A1(brqrv_top_brqrv_ifu_aln_q1pc[16]),
+	.A2(n_5301),
+	.B1(n_6034),
+	.B2(brqrv_top_brqrv_ifu_aln_q0pc[16]),
+	.C1(n_5302),
+	.C2(brqrv_top_brqrv_ifu_aln_q2pc[16]),
+	.Y(n_23327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g630000 (
+	.A1(brqrv_top_brqrv_ifu_aln_q1pc[2]),
+	.A2(n_5301),
+	.B1(n_6034),
+	.B2(brqrv_top_brqrv_ifu_aln_q0pc[2]),
+	.C1(n_5302),
+	.C2(brqrv_top_brqrv_ifu_aln_q2pc[2]),
+	.Y(n_23326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g630001 (
+	.A1(brqrv_top_brqrv_ifu_aln_q1pc[27]),
+	.A2(n_5301),
+	.B1(n_5302),
+	.B2(brqrv_top_brqrv_ifu_aln_q2pc[27]),
+	.C1(n_6034),
+	.C2(brqrv_top_brqrv_ifu_aln_q0pc[27]),
+	.Y(n_23325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g630002 (
+	.A1(brqrv_top_brqrv_ifu_aln_q1pc[1]),
+	.A2(n_5301),
+	.B1(n_6034),
+	.B2(brqrv_top_brqrv_ifu_aln_q0pc[1]),
+	.C1(n_5302),
+	.C2(brqrv_top_brqrv_ifu_aln_q2pc[1]),
+	.Y(n_23324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g630003 (
+	.A1(brqrv_top_brqrv_ifu_aln_q1pc[23]),
+	.A2(n_5301),
+	.B1(n_6034),
+	.B2(brqrv_top_brqrv_ifu_aln_q0pc[23]),
+	.C1(n_5302),
+	.C2(brqrv_top_brqrv_ifu_aln_q2pc[23]),
+	.Y(n_23323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g630004 (
+	.A1(brqrv_top_brqrv_ifu_aln_q1pc[29]),
+	.A2(n_5301),
+	.B1(n_6034),
+	.B2(brqrv_top_brqrv_ifu_aln_q0pc[29]),
+	.C1(n_5302),
+	.C2(brqrv_top_brqrv_ifu_aln_q2pc[29]),
+	.Y(n_23322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g630005 (
+	.A1(brqrv_top_brqrv_ifu_aln_q1pc[15]),
+	.A2(n_5301),
+	.B1(n_6034),
+	.B2(brqrv_top_brqrv_ifu_aln_q0pc[15]),
+	.C1(n_5302),
+	.C2(brqrv_top_brqrv_ifu_aln_q2pc[15]),
+	.Y(n_23321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g630006 (
+	.A1(brqrv_top_brqrv_ifu_aln_q1pc[30]),
+	.A2(n_5301),
+	.B1(n_6034),
+	.B2(brqrv_top_brqrv_ifu_aln_q0pc[30]),
+	.C1(n_5302),
+	.C2(brqrv_top_brqrv_ifu_aln_q2pc[30]),
+	.Y(n_23320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g630007 (
+	.A(n_23123),
+	.B(n_531),
+	.Y(n_23319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g630008 (
+	.A1(n_23122),
+	.A2(brqrv_top_brqrv_dec_dec_i0_instr_d[18]),
+	.B1(n_23121),
+	.B2(brqrv_top_brqrv_dec_dec_i0_instr_d[19]),
+	.Y(n_23318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g630009 (
+	.A1(n_31847),
+	.A2(n_23058),
+	.B1(n_31848),
+	.B2(n_23079),
+	.C1(n_23111),
+	.Y(n_23317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g630010 (
+	.A1(n_31854),
+	.A2(n_23063),
+	.B1(n_32672),
+	.B2(n_23082),
+	.C1(n_23092),
+	.Y(n_23316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g630011 (
+	.A1(n_31903),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[117]),
+	.B1(n_31904),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[116]),
+	.C1(n_23112),
+	.Y(n_23315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630012 (
+	.A1_N(n_23106),
+	.A2_N(brqrv_top_brqrv_dec_dec_i0_instr_d[20]),
+	.B1(n_23106),
+	.B2(brqrv_top_brqrv_dec_dec_i0_instr_d[20]),
+	.Y(n_23314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630013 (
+	.A1_N(n_23105),
+	.A2_N(brqrv_top_brqrv_dec_dec_i0_instr_d[16]),
+	.B1(n_23121),
+	.B2(brqrv_top_brqrv_dec_dec_i0_instr_d[19]),
+	.Y(n_23313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g630014 (
+	.A1(n_23120),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_r[1]),
+	.B1(n_31869),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_r[3]),
+	.Y(n_23349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g630015 (
+	.A(n_31760),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[12]),
+	.C(n_23110),
+	.Y(brqrv_top_brqrv_dec_decode_i0_dp_raw[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g630016 (
+	.A1(n_23120),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_r[0]),
+	.B1(n_31869),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_r[1]),
+	.Y(n_23348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g630018 (
+	.A(n_23310),
+	.Y(n_32502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g630019 (
+	.A(n_23309),
+	.Y(n_31444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g630020 (
+	.A(n_23307),
+	.Y(n_23306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g630021 (
+	.A(n_23305),
+	.Y(n_32547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g630022 (
+	.A(n_23304),
+	.Y(n_23303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g630024 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[14]),
+	.A2_N(n_31916),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[14]),
+	.B2(n_31916),
+	.Y(n_23302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g630025 (
+	.A_N(n_31721),
+	.B(n_31749),
+	.Y(brqrv_top_brqrv_dec_dec_ib0_valid_d), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630026 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[92]),
+	.A2_N(n_31889),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[92]),
+	.B2(n_31889),
+	.Y(n_23301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g630027 (
+	.A(n_31754),
+	.B(n_31736),
+	.Y(n_23300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630028 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[91]),
+	.A2_N(n_31891),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[91]),
+	.B2(n_31891),
+	.Y(n_23299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g630029 (
+	.A(n_32790),
+	.B(n_32574),
+	.Y(n_23298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630030 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[90]),
+	.A2_N(n_31893),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[90]),
+	.B2(n_31893),
+	.Y(n_23297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g630031 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[87]),
+	.A2_N(n_31899),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[87]),
+	.B2(n_31899),
+	.Y(n_23296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630032 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[86]),
+	.A2_N(n_31901),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[86]),
+	.B2(n_31901),
+	.Y(n_23295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g630033 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[84]),
+	.B(n_31904),
+	.X(n_23294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g630034 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[85]),
+	.A2(n_31903),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[82]),
+	.B2(n_31908),
+	.Y(n_23293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g630035 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[95]),
+	.A2_N(n_31883),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[95]),
+	.B2(n_31883),
+	.Y(n_23292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g630036 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[88]),
+	.A2_N(n_31897),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[88]),
+	.B2(n_31897),
+	.Y(n_23291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630037 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[66]),
+	.A2_N(n_31861),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[66]),
+	.B2(n_31861),
+	.Y(n_23290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630038 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[67]),
+	.A2_N(n_31938),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[67]),
+	.B2(n_31938),
+	.Y(n_23289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g630039 (
+	.A(n_23126),
+	.B(n_31822),
+	.Y(n_265185_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g630040 (
+	.A(n_23105),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[21]),
+	.Y(n_23288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630041 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[69]),
+	.A2_N(n_31934),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[69]),
+	.B2(n_31934),
+	.Y(n_23287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g630042 (
+	.A(n_23120),
+	.B(n_23099),
+	.Y(n_30847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630043 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[68]),
+	.A2_N(n_31936),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[68]),
+	.B2(n_31936),
+	.Y(n_23286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g630044 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[81]),
+	.A2_N(n_31910),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[81]),
+	.B2(n_31910),
+	.Y(n_23285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g630045 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[78]),
+	.A2_N(n_31916),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[78]),
+	.B2(n_31916),
+	.Y(n_23284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g630046 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[80]),
+	.A2_N(n_31912),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[80]),
+	.B2(n_31912),
+	.Y(n_23283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g630047 (
+	.A1(n_32618),
+	.A2(n_32615),
+	.B1(n_23125),
+	.Y(n_23282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g630048 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[79]),
+	.A2_N(n_31914),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[79]),
+	.B2(n_31914),
+	.Y(n_23281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630049 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[77]),
+	.A2_N(n_31918),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[77]),
+	.B2(n_31918),
+	.Y(n_23280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630050 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[75]),
+	.A2_N(n_31922),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[75]),
+	.B2(n_31922),
+	.Y(n_23279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630051 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[76]),
+	.A2_N(n_31920),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[76]),
+	.B2(n_31920),
+	.Y(n_23278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g630052 (
+	.A1(brqrv_top_brqrv_dec_dec_i0_instr_d[19]),
+	.A2(brqrv_top_brqrv_dec_dec_i0_instr_d[16]),
+	.B1_N(n_31756),
+	.Y(n_23277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g630053 (
+	.A(brqrv_top_brqrv_dec_decode_wbd[21]),
+	.B(brqrv_top_brqrv_dec_decode_x_d[21]),
+	.C(brqrv_top_brqrv_dec_decode_r_d[21]),
+	.Y(n_23276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g630054 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[14]),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[44]),
+	.C(brqrv_top_brqrv_dec_i0_predict_p_d[42]),
+	.Y(n_31762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630055 (
+	.A1_N(brqrv_top_brqrv_dec_div_waddr_wb[0]),
+	.A2_N(n_8323),
+	.B1(brqrv_top_brqrv_dec_div_waddr_wb[4]),
+	.B2(n_23056),
+	.Y(n_23275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630056 (
+	.A1_N(brqrv_top_brqrv_dec_decode_cam_raw[24]),
+	.A2_N(brqrv_top_brqrv_dec_dec_i0_instr_d[19]),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[24]),
+	.B2(brqrv_top_brqrv_dec_dec_i0_instr_d[19]),
+	.Y(n_23274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g630057 (
+	.A1(n_23059),
+	.A2(n_31836),
+	.B1(n_23083),
+	.B2(n_31839),
+	.Y(n_23273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g630058 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[18]),
+	.A2_N(n_31908),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[18]),
+	.B2(n_31908),
+	.Y(n_23272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g630059 (
+	.A1(n_23078),
+	.A2(n_31844),
+	.B1(n_23080),
+	.B2(n_32667),
+	.Y(n_23271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g630060 (
+	.A1(n_23084),
+	.A2(n_32669),
+	.B1(n_23061),
+	.B2(n_31853),
+	.Y(n_23270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g630061 (
+	.A1(n_23060),
+	.A2(n_31840),
+	.B1(n_23064),
+	.B2(n_31843),
+	.Y(n_23269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g630062 (
+	.A1_N(brqrv_top_brqrv_dec_decode_cam_raw[24]),
+	.A2_N(brqrv_top_brqrv_dec_dec_i0_instr_d[24]),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[24]),
+	.B2(brqrv_top_brqrv_dec_dec_i0_instr_d[24]),
+	.Y(n_23268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g630063 (
+	.A1(n_23081),
+	.A2(n_31859),
+	.B1(n_23085),
+	.B2(n_31860),
+	.Y(n_23267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g630064 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[23]),
+	.A2_N(n_31899),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[23]),
+	.B2(n_31899),
+	.Y(n_23266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g630065 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[26]),
+	.A2_N(n_31893),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[26]),
+	.B2(n_31893),
+	.Y(n_23265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630066 (
+	.A1_N(brqrv_top_brqrv_dec_div_waddr_wb[2]),
+	.A2_N(brqrv_top_brqrv_dec_dec_i0_instr_d[22]),
+	.B1(brqrv_top_brqrv_dec_div_waddr_wb[2]),
+	.B2(brqrv_top_brqrv_dec_dec_i0_instr_d[22]),
+	.Y(n_23264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630067 (
+	.A1_N(brqrv_top_brqrv_dec_div_waddr_wb[1]),
+	.A2_N(brqrv_top_brqrv_dec_dec_i0_instr_d[16]),
+	.B1(brqrv_top_brqrv_dec_div_waddr_wb[1]),
+	.B2(brqrv_top_brqrv_dec_dec_i0_instr_d[16]),
+	.Y(n_23263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g630068 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[25]),
+	.A2_N(n_31895),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[25]),
+	.B2(n_31895),
+	.Y(n_23262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630069 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[122]),
+	.A2_N(n_31893),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[122]),
+	.B2(n_31893),
+	.Y(n_23261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630070 (
+	.A1_N(brqrv_top_brqrv_dec_decode_cam_raw[21]),
+	.A2_N(brqrv_top_brqrv_dec_dec_i0_instr_d[21]),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[21]),
+	.B2(brqrv_top_brqrv_dec_dec_i0_instr_d[21]),
+	.Y(n_23260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630071 (
+	.A1_N(brqrv_top_brqrv_dec_decode_cam_raw[12]),
+	.A2_N(brqrv_top_brqrv_dec_dec_i0_instr_d[22]),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[12]),
+	.B2(brqrv_top_brqrv_dec_dec_i0_instr_d[22]),
+	.Y(n_23259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630072 (
+	.A1_N(brqrv_top_brqrv_dec_decode_cam_raw[3]),
+	.A2_N(brqrv_top_brqrv_dec_dec_i0_instr_d[23]),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[3]),
+	.B2(brqrv_top_brqrv_dec_dec_i0_instr_d[23]),
+	.Y(n_23258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630073 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[74]),
+	.A2_N(n_31924),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[74]),
+	.B2(n_31924),
+	.Y(n_23257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g630074 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[30]),
+	.A2_N(n_31885),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[30]),
+	.B2(n_31885),
+	.Y(n_23256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g630075 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[8]),
+	.A2_N(n_31928),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[8]),
+	.B2(n_31928),
+	.Y(n_23255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g630076 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[7]),
+	.A2_N(n_31930),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[7]),
+	.B2(n_31930),
+	.Y(n_23254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g630077 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[9]),
+	.A2_N(n_31926),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[9]),
+	.B2(n_31926),
+	.Y(n_23253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g630078 (
+	.A1_N(brqrv_top_brqrv_dec_decode_cam_raw[3]),
+	.A2_N(brqrv_top_brqrv_dec_dec_i0_instr_d[18]),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[3]),
+	.B2(brqrv_top_brqrv_dec_dec_i0_instr_d[18]),
+	.Y(n_23252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630079 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[16]),
+	.A2_N(n_31912),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[16]),
+	.B2(n_31912),
+	.Y(n_23251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g630080 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[17]),
+	.A2_N(n_31910),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[17]),
+	.B2(n_31910),
+	.Y(n_23250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630081 (
+	.A1_N(brqrv_top_brqrv_dec_decode_cam_raw[11]),
+	.A2_N(n_19507),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[13]),
+	.B2(n_23057),
+	.Y(n_23249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630082 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[10]),
+	.A2_N(n_31924),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[10]),
+	.B2(n_31924),
+	.Y(n_23248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630083 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[11]),
+	.A2_N(n_31922),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[11]),
+	.B2(n_31922),
+	.Y(n_23247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630084 (
+	.A1_N(brqrv_top_brqrv_dec_decode_cam_raw[31]),
+	.A2_N(brqrv_top_brqrv_dec_dec_i0_instr_d[16]),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[31]),
+	.B2(brqrv_top_brqrv_dec_dec_i0_instr_d[16]),
+	.Y(n_23246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630085 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[12]),
+	.A2_N(n_31920),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[12]),
+	.B2(n_31920),
+	.Y(n_23245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630086 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[13]),
+	.A2_N(n_31918),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[13]),
+	.B2(n_31918),
+	.Y(n_23244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630087 (
+	.A1_N(brqrv_top_brqrv_dec_decode_cam_raw[34]),
+	.A2_N(brqrv_top_brqrv_dec_dec_i0_instr_d[24]),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[34]),
+	.B2(brqrv_top_brqrv_dec_dec_i0_instr_d[24]),
+	.Y(n_23243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g630088 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[19]),
+	.A2_N(n_31906),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[19]),
+	.B2(n_31906),
+	.Y(n_23242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g630089 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[20]),
+	.A2_N(n_31904),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[20]),
+	.B2(n_31904),
+	.Y(n_23241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g630090 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[22]),
+	.A2_N(n_31901),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[22]),
+	.B2(n_31901),
+	.Y(n_23240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g630091 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[27]),
+	.A2_N(n_31891),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[27]),
+	.B2(n_31891),
+	.Y(n_23239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g630092 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[28]),
+	.A2_N(n_31889),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[28]),
+	.B2(n_31889),
+	.Y(n_23238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g630093 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[29]),
+	.A2_N(n_31887),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[29]),
+	.B2(n_31887),
+	.Y(n_23237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g630094 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[4]),
+	.A2_N(n_31936),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[4]),
+	.B2(n_31936),
+	.Y(n_23236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g630095 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[5]),
+	.A2_N(n_31934),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[5]),
+	.B2(n_31934),
+	.Y(n_23235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g630096 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[3]),
+	.A2_N(n_31938),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[3]),
+	.B2(n_31938),
+	.Y(n_23234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g630097 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[2]),
+	.A2_N(n_31861),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[2]),
+	.B2(n_31861),
+	.Y(n_23233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630098 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[71]),
+	.A2_N(n_31930),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[71]),
+	.B2(n_31930),
+	.Y(n_23232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630099 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[72]),
+	.A2_N(n_31928),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[72]),
+	.B2(n_31928),
+	.Y(n_23231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630100 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[70]),
+	.A2_N(n_31932),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[70]),
+	.B2(n_31932),
+	.Y(n_23230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630101 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[73]),
+	.A2_N(n_31926),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[73]),
+	.B2(n_31926),
+	.Y(n_23229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g630102 (
+	.A(n_31757),
+	.B(n_23110),
+	.Y(n_23312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g630103 (
+	.A_N(n_32570),
+	.B(n_32574),
+	.Y(n_30514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g630104 (
+	.A_N(n_32570),
+	.B(n_23103),
+	.Y(n_32568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g630105 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dual[1]),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualhi[1]),
+	.B1(n_29919),
+	.X(n_31733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g630106 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dual[2]),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualhi[2]),
+	.B1(n_29920),
+	.X(n_31734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g630107 (
+	.A(n_23115),
+	.B(n_31833),
+	.Y(n_23311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g630108 (
+	.A(n_31870),
+	.B(n_23120),
+	.Y(n_30846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g630109 (
+	.A(n_31869),
+	.B(n_23099),
+	.Y(n_30848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g630110 (
+	.A(n_31870),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_r[9]),
+	.Y(n_32218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g630111 (
+	.A(n_23065),
+	.B(n_23128),
+	.Y(n_32554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g630112 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3460),
+	.B(n_32503),
+	.Y(n_23310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g630113 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3467),
+	.B(n_31445),
+	.Y(n_23309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g630114 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dual[3]),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualhi[3]),
+	.B1(n_29922),
+	.X(n_31761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g630115 (
+	.A(n_31869),
+	.B(n_31870),
+	.Y(n_30831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g630116 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_r[11]),
+	.B(brqrv_top_brqrv_lsu_stbuf_n_1476),
+	.X(brqrv_top_brqrv_lsu_stbuf_n_1475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g630117 (
+	.A_N(n_32443),
+	.B(n_23102),
+	.Y(n_32442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g630118 (
+	.A(n_23108),
+	.B(n_23104),
+	.Y(n_23308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g630119 (
+	.A_N(n_23108),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_r[9]),
+	.Y(n_23307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g630120 (
+	.A(n_32571),
+	.B(n_32582),
+	.Y(n_23305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g630121 (
+	.A(n_23062),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_r[0]),
+	.C(brqrv_top_brqrv_lsu_lsu_pkt_r[6]),
+	.Y(n_23304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g630122 (
+	.A(n_32582),
+	.B(n_32581),
+	.Y(n_32580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g630123 (
+	.A(brqrv_top_brqrv_lsu_n_1518),
+	.Y(n_462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630124 (
+	.A1_N(brqrv_top_brqrv_dec_decode_cam_raw[0]),
+	.A2_N(brqrv_top_brqrv_dec_dec_i0_instr_d[15]),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[0]),
+	.B2(brqrv_top_brqrv_dec_dec_i0_instr_d[15]),
+	.Y(n_23227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630125 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[59]),
+	.A2_N(n_31891),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[59]),
+	.B2(n_31891),
+	.Y(n_23226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630126 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[60]),
+	.A2_N(n_31889),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[60]),
+	.B2(n_31889),
+	.Y(n_23225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630127 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[58]),
+	.A2_N(n_31893),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[58]),
+	.B2(n_31893),
+	.Y(n_23224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630128 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[61]),
+	.A2_N(n_31887),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[61]),
+	.B2(n_31887),
+	.Y(n_23223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630129 (
+	.A1_N(brqrv_top_brqrv_dec_decode_cam_raw[34]),
+	.A2_N(brqrv_top_brqrv_dec_dec_i0_instr_d[19]),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[34]),
+	.B2(brqrv_top_brqrv_dec_dec_i0_instr_d[19]),
+	.Y(n_23222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630130 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[35]),
+	.A2_N(n_31938),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[35]),
+	.B2(n_31938),
+	.Y(n_23221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630131 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[36]),
+	.A2_N(n_31936),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[36]),
+	.B2(n_31936),
+	.Y(n_23220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630132 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[37]),
+	.A2_N(n_31934),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[37]),
+	.B2(n_31934),
+	.Y(n_23219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630133 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[34]),
+	.A2_N(n_31861),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[34]),
+	.B2(n_31861),
+	.Y(n_23218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g630134 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[50]),
+	.A2_N(n_31908),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[50]),
+	.B2(n_31908),
+	.Y(n_23217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g630135 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[51]),
+	.A2_N(n_31906),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[51]),
+	.B2(n_31906),
+	.Y(n_23216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g630136 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[52]),
+	.A2_N(n_31904),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[52]),
+	.B2(n_31904),
+	.Y(n_23215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g630137 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[53]),
+	.A2_N(n_31903),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[53]),
+	.B2(n_31903),
+	.Y(n_23214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630138 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[54]),
+	.A2_N(n_31901),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[54]),
+	.B2(n_31901),
+	.Y(n_23213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630139 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[55]),
+	.A2_N(n_31899),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[55]),
+	.B2(n_31899),
+	.Y(n_23212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630140 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[56]),
+	.A2_N(n_31897),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[56]),
+	.B2(n_31897),
+	.Y(n_23211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630141 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[57]),
+	.A2_N(n_31895),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[57]),
+	.B2(n_31895),
+	.Y(n_23210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630142 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[62]),
+	.A2_N(n_31885),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[62]),
+	.B2(n_31885),
+	.Y(n_23209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630143 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[42]),
+	.A2_N(n_31924),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[42]),
+	.B2(n_31924),
+	.Y(n_23208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630144 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[45]),
+	.A2_N(n_31918),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[45]),
+	.B2(n_31918),
+	.Y(n_23207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630145 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[39]),
+	.A2_N(n_31930),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[39]),
+	.B2(n_31930),
+	.Y(n_23206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630146 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[40]),
+	.A2_N(n_31928),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[40]),
+	.B2(n_31928),
+	.Y(n_23205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630147 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[38]),
+	.A2_N(n_31932),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[38]),
+	.B2(n_31932),
+	.Y(n_23204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630148 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[41]),
+	.A2_N(n_31926),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[41]),
+	.B2(n_31926),
+	.Y(n_23203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g630149 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[47]),
+	.A2_N(n_31914),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[47]),
+	.B2(n_31914),
+	.Y(n_23202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g630150 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[48]),
+	.A2_N(n_31912),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[48]),
+	.B2(n_31912),
+	.Y(n_23201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g630151 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[46]),
+	.A2_N(n_31916),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[46]),
+	.B2(n_31916),
+	.Y(n_23200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g630152 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[49]),
+	.A2_N(n_31910),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[49]),
+	.B2(n_31910),
+	.Y(n_23199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g630153 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[24]),
+	.A2_N(n_31897),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[24]),
+	.B2(n_31897),
+	.Y(n_23198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g630154 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[102]),
+	.A2_N(n_31932),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[102]),
+	.B2(n_31932),
+	.Y(n_23197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g630155 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[103]),
+	.A2_N(n_31930),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[103]),
+	.B2(n_31930),
+	.Y(n_23196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g630156 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[104]),
+	.A2_N(n_31928),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[104]),
+	.B2(n_31928),
+	.Y(n_23195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g630157 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[105]),
+	.A2_N(n_31926),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[105]),
+	.B2(n_31926),
+	.Y(n_23194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g630158 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[106]),
+	.A2_N(n_31924),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[106]),
+	.B2(n_31924),
+	.Y(n_23193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630159 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[107]),
+	.A2_N(n_31922),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[107]),
+	.B2(n_31922),
+	.Y(n_23192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630160 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[109]),
+	.A2_N(n_31918),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[109]),
+	.B2(n_31918),
+	.Y(n_23191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g630161 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[126]),
+	.A2_N(n_31885),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[126]),
+	.B2(n_31885),
+	.Y(n_23190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630162 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[110]),
+	.A2_N(n_31916),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[110]),
+	.B2(n_31916),
+	.Y(n_23189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630163 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[111]),
+	.A2_N(n_31914),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[111]),
+	.B2(n_31914),
+	.Y(n_23188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630164 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[112]),
+	.A2_N(n_31912),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[112]),
+	.B2(n_31912),
+	.Y(n_23187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630165 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[113]),
+	.A2_N(n_31910),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[113]),
+	.B2(n_31910),
+	.Y(n_23186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g630166 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[98]),
+	.A2(n_31861),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[99]),
+	.B2(n_31938),
+	.Y(n_23185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g630167 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[121]),
+	.A2_N(n_31895),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[121]),
+	.B2(n_31895),
+	.Y(n_23184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g630168 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[127]),
+	.A2_N(n_31883),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[127]),
+	.B2(n_31883),
+	.Y(n_23183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g630169 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[114]),
+	.B(n_31908),
+	.X(n_23182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g630170 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[115]),
+	.B(n_31906),
+	.X(n_23181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630171 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[119]),
+	.A2_N(n_31899),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[119]),
+	.B2(n_31899),
+	.Y(n_23180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g630172 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[118]),
+	.A2_N(n_31901),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[118]),
+	.B2(n_31901),
+	.Y(n_23179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630173 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[93]),
+	.A2_N(n_31887),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[93]),
+	.B2(n_31887),
+	.Y(n_23178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g630174 (
+	.A(n_31938),
+	.B(n_31849),
+	.Y(n_23177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630175 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[123]),
+	.A2_N(n_31891),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[123]),
+	.B2(n_31891),
+	.Y(n_23176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630176 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[124]),
+	.A2_N(n_31889),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[124]),
+	.B2(n_31889),
+	.Y(n_23175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630177 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[125]),
+	.A2_N(n_31887),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[125]),
+	.B2(n_31887),
+	.Y(n_23174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g630178 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[21]),
+	.A2_N(n_31903),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[21]),
+	.B2(n_31903),
+	.Y(n_23173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g630179 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[6]),
+	.A2_N(n_31932),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[6]),
+	.B2(n_31932),
+	.Y(n_23172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630180 (
+	.A1_N(brqrv_top_brqrv_dec_decode_cam_raw[30]),
+	.A2_N(n_519),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[30]),
+	.B2(n_519),
+	.Y(n_23171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g630181 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[31]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[21]),
+	.X(n_23170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630182 (
+	.A1_N(brqrv_top_brqrv_dec_decode_cam_raw[10]),
+	.A2_N(n_519),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[10]),
+	.B2(n_519),
+	.Y(n_23169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g630183 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[11]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[21]),
+	.Y(n_23168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630184 (
+	.A1_N(brqrv_top_brqrv_dec_decode_cam_raw[0]),
+	.A2_N(n_519),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[0]),
+	.B2(n_519),
+	.Y(n_23167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g630185 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[1]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[21]),
+	.Y(n_23166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g630186 (
+	.A(brqrv_top_brqrv_dec_div_waddr_wb[1]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[21]),
+	.Y(n_23165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630187 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[2]),
+	.A2_N(n_23052),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[2]),
+	.B2(n_23052),
+	.Y(n_23164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630188 (
+	.A1_N(brqrv_top_brqrv_dec_div_waddr_wb[4]),
+	.A2_N(n_513),
+	.B1(brqrv_top_brqrv_dec_div_waddr_wb[4]),
+	.B2(n_513),
+	.Y(n_23163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g630189 (
+	.A1_N(brqrv_top_brqrv_dec_decode_cam_raw[23]),
+	.A2_N(n_518),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[23]),
+	.B2(n_518),
+	.Y(n_23162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g630190 (
+	.A1_N(brqrv_top_brqrv_dec_decode_cam_raw[22]),
+	.A2_N(n_531),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[22]),
+	.B2(n_531),
+	.Y(n_23161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g630191 (
+	.A1_N(brqrv_top_brqrv_dec_decode_cam_raw[4]),
+	.A2_N(n_23056),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[4]),
+	.B2(n_23056),
+	.Y(n_23160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g630192 (
+	.A1_N(brqrv_top_brqrv_dec_decode_cam_raw[1]),
+	.A2_N(n_19507),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[1]),
+	.B2(n_19507),
+	.Y(n_23159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630193 (
+	.A1_N(brqrv_top_brqrv_dec_decode_cam_raw[14]),
+	.A2_N(n_23056),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[14]),
+	.B2(n_23056),
+	.Y(n_23158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g630194 (
+	.A1_N(brqrv_top_brqrv_dec_decode_cam_raw[21]),
+	.A2_N(n_19507),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[21]),
+	.B2(n_19507),
+	.Y(n_23157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g630195 (
+	.A1_N(brqrv_top_brqrv_dec_decode_cam_raw[20]),
+	.A2_N(n_8323),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[20]),
+	.B2(n_8323),
+	.Y(n_23156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g630196 (
+	.A1_N(brqrv_top_brqrv_dec_decode_cam_raw[32]),
+	.A2_N(n_531),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[32]),
+	.B2(n_531),
+	.Y(n_23155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g630197 (
+	.A1_N(brqrv_top_brqrv_dec_decode_cam_raw[10]),
+	.A2_N(n_8323),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[10]),
+	.B2(n_8323),
+	.Y(n_23154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g630198 (
+	.A1_N(brqrv_top_brqrv_dec_decode_cam_raw[30]),
+	.A2_N(n_8323),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[30]),
+	.B2(n_8323),
+	.Y(n_23153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g630199 (
+	.A1_N(brqrv_top_brqrv_dec_decode_cam_raw[33]),
+	.A2_N(n_518),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[33]),
+	.B2(n_518),
+	.Y(n_23152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g630200 (
+	.A(brqrv_top_brqrv_dec_div_waddr_wb[2]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[17]),
+	.Y(n_23151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g630201 (
+	.A1_N(brqrv_top_brqrv_dec_div_waddr_wb[3]),
+	.A2_N(n_23057),
+	.B1(brqrv_top_brqrv_dec_div_waddr_wb[3]),
+	.B2(n_23057),
+	.Y(n_23150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g630202 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[2]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[17]),
+	.X(n_23149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g630203 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[32]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[17]),
+	.Y(n_23148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g630204 (
+	.A1_N(brqrv_top_brqrv_dec_decode_cam_raw[33]),
+	.A2_N(n_23057),
+	.B1(brqrv_top_brqrv_dec_decode_cam_raw[33]),
+	.B2(n_23057),
+	.Y(n_23147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g630205 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[22]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[17]),
+	.X(n_23146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g630206 (
+	.A(brqrv_top_brqrv_dec_decode_cam_raw[12]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[17]),
+	.Y(n_23145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g630207 (
+	.A(n_31883),
+	.B(n_31850),
+	.Y(n_23144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g630208 (
+	.A(n_31914),
+	.B(n_31838),
+	.X(n_23143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g630209 (
+	.A(n_31912),
+	.B(n_31837),
+	.X(n_23142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g630210 (
+	.A(n_31932),
+	.B(n_31846),
+	.X(n_23141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g630211 (
+	.A(n_31928),
+	.B(n_31845),
+	.X(n_23140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g630212 (
+	.A(n_31893),
+	.B(n_32671),
+	.X(n_23139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g630213 (
+	.A(n_31889),
+	.B(n_31852),
+	.X(n_23138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g630214 (
+	.A(n_31920),
+	.B(n_31841),
+	.X(n_23137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g630215 (
+	.A(n_31885),
+	.B(n_31851),
+	.X(n_23136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g630216 (
+	.A(n_31867),
+	.B(n_31861),
+	.Y(n_23135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g630217 (
+	.A(n_31903),
+	.B(n_31857),
+	.Y(n_23134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g630218 (
+	.A(n_31901),
+	.B(n_31856),
+	.Y(n_23133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g630219 (
+	.A(n_31899),
+	.B(n_31855),
+	.Y(n_23132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g630220 (
+	.A(n_31922),
+	.B(n_31842),
+	.X(n_23131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g630221 (
+	.A(n_31904),
+	.B(n_31858),
+	.Y(n_23130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2o_2 g630222 (
+	.A1_N(brqrv_top_brqrv_lsu_end_addr_m[2]),
+	.A2_N(brqrv_top_brqrv_lsu_lsu_addr_m[2]),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_m[2]),
+	.B2(brqrv_top_brqrv_lsu_end_addr_m[2]),
+	.X(brqrv_top_brqrv_lsu_n_1518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g630223 (
+	.A(n_23129),
+	.Y(n_32847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g630225 (
+	.A(n_23126),
+	.Y(brqrv_top_brqrv_lsu_dccm_ctl_n_1137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g630226 (
+	.A(n_23124),
+	.Y(n_32501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g630228 (
+	.A(n_31869),
+	.Y(n_23120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g630229 (
+	.A(n_31920),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[44]),
+	.Y(n_23119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g630230 (
+	.A(n_31883),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[63]),
+	.Y(n_23118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g630231 (
+	.A_N(brqrv_top_brqrv_dec_dec_i0_instr_d[6]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[4]),
+	.Y(n_31831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g630232 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[100]),
+	.B(n_31936),
+	.Y(n_23117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g630233 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[31]),
+	.B(n_31883),
+	.Y(n_23116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g630234 (
+	.A(brqrv_top_brqrv_dbg_cmd_wrdata[1]),
+	.B(brqrv_top_brqrv_dbg_cmd_wrdata[0]),
+	.Y(n_23115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g630235 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[13]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[12]),
+	.Y(n_31826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g630236 (
+	.A(n_31922),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[43]),
+	.Y(n_23114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g630237 (
+	.A(brqrv_top_brqrv_dec_decode_flush_final_r),
+	.B(brqrv_top_brqrv_dec_tlu_flush_lower_r),
+	.X(n_32627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g630238 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[15]),
+	.B(n_32679),
+	.Y(n_23113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g630239 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[116]),
+	.B(n_31904),
+	.Y(n_23112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g630240 (
+	.A(n_23079),
+	.B(n_31848),
+	.Y(n_23111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g630241 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[6]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[2]),
+	.Y(n_23129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g630242 (
+	.A(n_32719),
+	.B(n_32729),
+	.Y(n_31721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g630243 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[5]),
+	.B_N(brqrv_top_brqrv_dec_dec_i0_instr_d[3]),
+	.Y(n_23128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g630244 (
+	.A_N(n_31723),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[5]),
+	.Y(n_31736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g630245 (
+	.A_N(n_31877),
+	.B(n_513),
+	.Y(n_31743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g630246 (
+	.A(n_32577),
+	.B(n_32540),
+	.Y(n_23127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g630247 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_m[7]),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_m[0]),
+	.Y(n_23126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g630248 (
+	.A(n_23086),
+	.B(n_32579),
+	.Y(n_32549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g630249 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[2]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[13]),
+	.Y(n_23125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g630250 (
+	.A(n_32816),
+	.B(n_31501),
+	.Y(n_23124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g630251 (
+	.A_N(brqrv_top_brqrv_dec_dec_i0_instr_d[2]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[13]),
+	.Y(n_31756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g630252 (
+	.A_N(n_32579),
+	.B(n_32578),
+	.Y(n_32545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g630253 (
+	.A(brqrv_top_brqrv_dec_decode_any_csr_d),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[47]),
+	.Y(n_32571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g630254 (
+	.A(brqrv_top_brqrv_dec_decode_x_d[3]),
+	.B(brqrv_top_brqrv_dec_decode_x_d[6]),
+	.Y(n_23123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g630255 (
+	.A(brqrv_top_brqrv_dec_decode_x_d[3]),
+	.B(brqrv_top_brqrv_dec_decode_x_d[7]),
+	.Y(n_23122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g630256 (
+	.A(brqrv_top_brqrv_dec_decode_x_d[3]),
+	.B(brqrv_top_brqrv_dec_decode_x_d[8]),
+	.Y(n_23121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g630257 (
+	.A(brqrv_top_brqrv_dec_decode_any_csr_d),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[24]),
+	.Y(n_32581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g630258 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[0]),
+	.Y(n_31869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g630259 (
+	.A(n_23109),
+	.Y(n_31244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g630260 (
+	.A(n_23107),
+	.Y(n_30844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g630261 (
+	.A(n_23104),
+	.Y(brqrv_top_brqrv_lsu_stbuf_n_1476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g630262 (
+	.A(n_32572),
+	.Y(n_23103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g630263 (
+	.A(n_23102),
+	.Y(n_31816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g630264 (
+	.A(n_23101),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g630265 (
+	.A(n_23100),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g630266 (
+	.A(n_31870),
+	.Y(n_23099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g630267 (
+	.A(n_31885),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[94]),
+	.Y(n_23098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g630268 (
+	.A(n_532),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[20]),
+	.Y(n_32631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g630269 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[66]),
+	.B(n_23052),
+	.Y(n_23097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g630270 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[21]),
+	.B(n_519),
+	.Y(n_31724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g630271 (
+	.A(n_31903),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[85]),
+	.Y(n_23096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g630272 (
+	.A(n_31906),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[83]),
+	.Y(n_23095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g630273 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[108]),
+	.B(n_31920),
+	.Y(n_23094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g630274 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[22]),
+	.B(n_518),
+	.Y(n_31823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g630275 (
+	.A(n_31859),
+	.B(n_23081),
+	.Y(n_23093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g630276 (
+	.A(n_23082),
+	.B(n_32672),
+	.Y(n_23092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g630277 (
+	.A(n_519),
+	.B(brqrv_top_brqrv_dec_decode_cam_raw[20]),
+	.Y(n_23091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g630278 (
+	.A(n_31750),
+	.B(n_31751),
+	.Y(n_23110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g630279 (
+	.A_N(n_31742),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[14]),
+	.Y(n_31741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g630280 (
+	.A(n_532),
+	.B(n_519),
+	.Y(n_31748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g630281 (
+	.A(n_32790),
+	.B(n_23086),
+	.X(n_32548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g630282 (
+	.A(n_23086),
+	.B(n_32790),
+	.Y(n_32550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g630283 (
+	.A(brqrv_top_brqrv_dec_decode_any_csr_d),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[44]),
+	.Y(n_32574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g630284 (
+	.A(n_29918),
+	.B(n_31496),
+	.Y(n_23109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g630285 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_r[6]),
+	.Y(n_23108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g630286 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[0]),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[1]),
+	.Y(n_23107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g630287 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[1]),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[0]),
+	.Y(n_32658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g630288 (
+	.A(brqrv_top_brqrv_dec_decode_x_d[3]),
+	.B(brqrv_top_brqrv_dec_decode_x_d[4]),
+	.Y(n_23106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g630289 (
+	.A(brqrv_top_brqrv_dec_decode_x_d[3]),
+	.B(brqrv_top_brqrv_dec_decode_x_d[5]),
+	.Y(n_23105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g630290 (
+	.A(n_23067),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[0]),
+	.Y(n_30840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g630291 (
+	.A(brqrv_top_brqrv_lsu_lsu_addr_r[1]),
+	.B(n_23068),
+	.Y(n_30842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g630292 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_r[10]),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_r[9]),
+	.Y(n_23104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g630293 (
+	.A(brqrv_top_brqrv_dec_decode_any_csr_d),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[31]),
+	.Y(n_32572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g630294 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[0]),
+	.B(n_29910),
+	.Y(n_23102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g630295 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[6]),
+	.B(n_31941),
+	.Y(n_23101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g630296 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[3]),
+	.B(n_29917),
+	.Y(n_23100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g630297 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[1]),
+	.Y(n_31870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g630298 (
+	.A(brqrv_top_brqrv_lsu_store_data_lo_r[9]),
+	.Y(n_2784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g630299 (
+	.A(brqrv_top_brqrv_lsu_store_data_lo_r[17]),
+	.Y(n_2672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g630300 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[8]),
+	.Y(n_23088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g630301 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_r[3]),
+	.Y(n_23087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g630302 (
+	.A(n_32578),
+	.Y(n_23086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g630303 (
+	.A(n_31908),
+	.Y(n_23085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g630304 (
+	.A(n_31887),
+	.Y(n_23084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g630305 (
+	.A(n_31916),
+	.Y(n_23083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g630306 (
+	.A(n_31897),
+	.Y(n_23082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g630307 (
+	.A(n_31906),
+	.Y(n_23081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g630308 (
+	.A(n_31930),
+	.Y(n_23080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g630309 (
+	.A(n_31936),
+	.Y(n_23079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g630310 (
+	.A(n_31926),
+	.Y(n_23078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g630311 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[15]),
+	.Y(n_8323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g630313 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[20]),
+	.Y(n_519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g630315 (
+	.A(n_31758),
+	.Y(n_23073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g630316 (
+	.A(brqrv_top_brqrv_lsu_store_data_lo_r[21]),
+	.Y(n_2635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g630317 (
+	.A(brqrv_top_brqrv_lsu_store_data_lo_r[16]),
+	.Y(n_2757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g630318 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[25]),
+	.Y(n_23070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g630319 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[13]),
+	.Y(n_2659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g630322 (
+	.A(n_29918),
+	.Y(n_23066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g630324 (
+	.A(n_31924),
+	.Y(n_23064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g630325 (
+	.A(n_31895),
+	.Y(n_23063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g630326 (
+	.A(n_31822),
+	.Y(n_23062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g630327 (
+	.A(n_31891),
+	.Y(n_23061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g630328 (
+	.A(n_31918),
+	.Y(n_23060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g630329 (
+	.A(n_31910),
+	.Y(n_23059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g630330 (
+	.A(n_31934),
+	.Y(n_23058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g630331 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[18]),
+	.Y(n_23057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g630332 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[19]),
+	.Y(n_23056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g630333 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[16]),
+	.Y(n_19507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g630334 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[22]),
+	.Y(n_531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g630335 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[23]),
+	.Y(n_518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g750730 (
+	.A(n_23311),
+	.B_N(n_32554),
+	.Y(n_23048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g630340 (
+	.A_N(n_32580),
+	.B(n_32573),
+	.Y(n_32551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g630341 (
+	.A_N(n_32581),
+	.B(n_23305),
+	.Y(n_32546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g750731 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[2]),
+	.B(n_32643),
+	.X(n_31754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g750732 (
+	.A(n_31760),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[13]),
+	.X(n_31758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g604320 (
+	.A_N(brqrv_top_brqrv_dec_i0_predict_p_d[44]),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[42]),
+	.Y(n_31742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g604321 (
+	.A_N(n_31751),
+	.B(n_29896),
+	.Y(n_32642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g604322 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[23]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[22]),
+	.X(n_31877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g604323 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[14]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[13]),
+	.X(n_32641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g750733 (
+	.A_N(brqrv_top_brqrv_dec_dec_i0_instr_d[6]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[5]),
+	.Y(n_32643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g608293 (
+	.A1(brqrv_top_brqrv_dma_dccm_req),
+	.A2(n_32414),
+	.B1(n_361852_BAR),
+	.C1(n_32133),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g608294 (
+	.A(n_321270_BAR),
+	.B(n_31007),
+	.C(n_23047),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g608295 (
+	.A1(brqrv_top_brqrv_dma_dccm_req),
+	.A2(n_31195),
+	.B1(n_361868_BAR),
+	.C1(n_32129),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g608296 (
+	.A1(brqrv_top_brqrv_dma_dccm_req),
+	.A2(n_31151),
+	.B1(n_321287_BAR),
+	.C1(n_32112),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g608297 (
+	.A1(brqrv_top_brqrv_dma_dccm_req),
+	.A2(n_31148),
+	.B1(n_321305_BAR),
+	.C1(n_31006),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g608298 (
+	.A1(brqrv_top_brqrv_dma_dccm_req),
+	.A2(n_31145),
+	.B1(n_361943_BAR),
+	.C1(n_32111),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g608299 (
+	.A1(brqrv_top_brqrv_dma_dccm_req),
+	.A2(n_31142),
+	.B1(n_361949_BAR),
+	.C1(n_31005),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g608300 (
+	.A1(brqrv_top_brqrv_dma_dccm_req),
+	.A2(n_32405),
+	.B1(n_361856_BAR),
+	.C1(n_32132),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g608301 (
+	.A1(brqrv_top_brqrv_dma_dccm_req),
+	.A2(n_32396),
+	.B1(n_361860_BAR),
+	.C1(n_32131),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g608302 (
+	.A1(brqrv_top_brqrv_dma_dccm_req),
+	.A2(n_31204),
+	.B1(n_361864_BAR),
+	.C1(n_32130),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g608303 (
+	.A1(brqrv_top_brqrv_dma_dccm_req),
+	.A2(n_31169),
+	.B1(n_361880_BAR),
+	.C1(n_32127),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g608304 (
+	.A1(brqrv_top_brqrv_dma_dccm_req),
+	.A2(n_31186),
+	.B1(n_361872_BAR),
+	.C1(n_32128),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g608305 (
+	.A1(brqrv_top_brqrv_dma_dccm_req),
+	.A2(n_32385),
+	.B1(n_361928_BAR),
+	.C1(n_32117),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g608306 (
+	.A1(brqrv_top_brqrv_dma_dccm_req),
+	.A2(n_32382),
+	.B1(n_321215_BAR),
+	.C1(n_32114),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608307 (
+	.A(brqrv_top_brqrv_dma_dccm_req),
+	.B(n_31154),
+	.Y(n_23047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g608308 (
+	.A1(brqrv_top_brqrv_dma_dccm_req),
+	.A2(n_32387),
+	.B1(n_361924_BAR),
+	.C1(n_32118),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g608309 (
+	.A1(brqrv_top_brqrv_dma_dccm_req),
+	.A2(n_32389),
+	.B1(n_361916_BAR),
+	.C1(n_32120),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g608310 (
+	.A1(brqrv_top_brqrv_dma_dccm_req),
+	.A2(n_31160),
+	.B1(n_361932_BAR),
+	.C1(n_32116),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g608311 (
+	.A1(brqrv_top_brqrv_dma_dccm_req),
+	.A2(n_32383),
+	.B1(n_321197_BAR),
+	.C1(n_32115),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g608312 (
+	.A(n_320928_BAR),
+	.B(n_31008),
+	.C(n_23046),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g608313 (
+	.A1(brqrv_top_brqrv_dma_dccm_req),
+	.A2(n_31162),
+	.B1(n_361920_BAR),
+	.C1(n_32119),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g608314 (
+	.A1(brqrv_top_brqrv_dma_dccm_req),
+	.A2(n_32381),
+	.B1(n_361934_BAR),
+	.C1(n_32113),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608315 (
+	.A(brqrv_top_brqrv_dma_dccm_req),
+	.B(n_31178),
+	.Y(n_23046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g608316 (
+	.A1(brqrv_top_brqrv_dma_mem_addr[2]),
+	.A2(n_31196),
+	.B1(n_39135),
+	.B2(n_31202),
+	.C1(n_39260),
+	.X(n_31195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g608317 (
+	.A(n_23045),
+	.B(n_360198_BAR),
+	.C(n_31032),
+	.Y(n_32414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g608318 (
+	.A(n_23041),
+	.B(n_305853_BAR),
+	.C(n_32175),
+	.Y(n_32405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g608319 (
+	.A(n_23042),
+	.B(n_305877_BAR),
+	.C(n_32174),
+	.Y(n_32396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g608320 (
+	.A1(brqrv_top_brqrv_dma_mem_addr[2]),
+	.A2(n_31187),
+	.B1(n_39135),
+	.B2(n_31193),
+	.C1(n_39258),
+	.X(n_31186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g608321 (
+	.A(n_23044),
+	.B(n_360208_BAR),
+	.C(n_32171),
+	.Y(n_31169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g608322 (
+	.A1(n_23040),
+	.A2(n_540),
+	.B1(n_315253_BAR),
+	.C1(n_315254_BAR),
+	.Y(n_31154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g608323 (
+	.A1(n_23039),
+	.A2(n_540),
+	.B1(n_361248_BAR),
+	.C1(n_361249_BAR),
+	.Y(n_31151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g608324 (
+	.A1(brqrv_top_brqrv_dma_dccm_req),
+	.A2(n_31167),
+	.B1(n_361884_BAR),
+	.C1(n_32126),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g608325 (
+	.A1(brqrv_top_brqrv_dma_dccm_req),
+	.A2(n_32392),
+	.B1(n_361908_BAR),
+	.C1(n_32121),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g608326 (
+	.A1(brqrv_top_brqrv_dma_dccm_req),
+	.A2(n_31163),
+	.B1(n_361904_BAR),
+	.C1(n_32122),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g608327 (
+	.A1(brqrv_top_brqrv_dma_dccm_req),
+	.A2(n_31164),
+	.B1(n_361900_BAR),
+	.C1(n_32123),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g608328 (
+	.A1(brqrv_top_brqrv_dma_dccm_req),
+	.A2(n_31165),
+	.B1(n_361896_BAR),
+	.C1(n_32124),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g608329 (
+	.A1(n_23038),
+	.A2(n_540),
+	.B1(n_315301_BAR),
+	.C1(n_315302_BAR),
+	.Y(n_31148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g608330 (
+	.A1(n_23036),
+	.A2(n_540),
+	.B1(n_315421_BAR),
+	.C1(n_315422_BAR),
+	.Y(n_31142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g608331 (
+	.A(n_23043),
+	.B(n_305901_BAR),
+	.C(n_32173),
+	.Y(n_31204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g608332 (
+	.A1(n_23037),
+	.A2(n_540),
+	.B1(n_315349_BAR),
+	.C1(n_315350_BAR),
+	.Y(n_31145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g608333 (
+	.A1(n_39135),
+	.A2(n_31190),
+	.B1(n_32166),
+	.C1(n_23032),
+	.X(n_32387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g608334 (
+	.A1(n_39135),
+	.A2(n_31199),
+	.B1(n_31028),
+	.C1(n_23033),
+	.X(n_32385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g608335 (
+	.A1(n_39135),
+	.A2(n_31173),
+	.B1(n_32168),
+	.C1(n_23030),
+	.X(n_32389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g608336 (
+	.A1(n_39135),
+	.A2(n_31217),
+	.B1(n_31027),
+	.C1(n_23029),
+	.X(n_32381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g608337 (
+	.A1(n_39135),
+	.A2(n_32409),
+	.B1(n_32164),
+	.C1(n_23034),
+	.X(n_32382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g608338 (
+	.A1(n_39135),
+	.A2(n_32400),
+	.B1(n_32165),
+	.C1(n_23035),
+	.X(n_32383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g608339 (
+	.A(n_23031),
+	.B(n_306236_BAR),
+	.C(n_32167),
+	.Y(n_31162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608340 (
+	.A1(brqrv_top_brqrv_dma_mem_addr[2]),
+	.A2(n_31211),
+	.B1(n_39135),
+	.B2(n_31220),
+	.Y(n_23045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608341 (
+	.A1(brqrv_top_brqrv_dma_mem_addr[2]),
+	.A2(n_31170),
+	.B1(n_39135),
+	.B2(n_31176),
+	.Y(n_23044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g608342 (
+	.A1(brqrv_top_brqrv_dma_dccm_req),
+	.A2(n_31166),
+	.B1(n_361888_BAR),
+	.C1(n_32125),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608343 (
+	.A1(brqrv_top_brqrv_dma_mem_addr[2]),
+	.A2(n_31205),
+	.B1(n_39135),
+	.B2(n_32394),
+	.Y(n_23043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608344 (
+	.A1(brqrv_top_brqrv_dma_mem_addr[2]),
+	.A2(n_32397),
+	.B1(n_39135),
+	.B2(n_32403),
+	.Y(n_23042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608345 (
+	.A1(brqrv_top_brqrv_dma_mem_addr[2]),
+	.A2(n_32406),
+	.B1(n_39135),
+	.B2(n_32412),
+	.Y(n_23041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g608346 (
+	.A1(n_39136),
+	.A2(n_39256),
+	.B1(n_1294),
+	.B2(n_32394),
+	.C1(n_39254),
+	.X(n_31160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g608347 (
+	.A1(n_23027),
+	.A2(n_540),
+	.B1(n_305972_BAR),
+	.C1(n_305973_BAR),
+	.Y(n_31178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g608348 (
+	.A(n_23040),
+	.Y(n_31155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g608349 (
+	.A(n_23039),
+	.Y(n_31152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g608350 (
+	.A(n_23038),
+	.Y(n_31149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g608351 (
+	.A1(n_39135),
+	.A2(n_32408),
+	.B1(n_23019),
+	.C1(n_23022),
+	.X(n_31164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g608352 (
+	.A1(n_1294),
+	.A2(n_31173),
+	.B1(n_23020),
+	.C1(n_39252),
+	.X(n_31167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g608353 (
+	.A1(n_39135),
+	.A2(n_31216),
+	.B1(n_1294),
+	.B2(n_31217),
+	.C1(n_23028),
+	.X(n_31163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g608354 (
+	.A1(n_1294),
+	.A2(n_31199),
+	.B1(n_39135),
+	.B2(n_31198),
+	.C1(n_23026),
+	.X(n_31165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g608355 (
+	.A1(n_1294),
+	.A2(n_32400),
+	.B1(n_23018),
+	.C1(n_39255),
+	.X(n_32392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608356 (
+	.A1(n_32915),
+	.A2(n_31157),
+	.B1(n_741),
+	.B2(n_31220),
+	.C1(n_22931),
+	.C2(n_31217),
+	.Y(n_23040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608357 (
+	.A1(n_32915),
+	.A2(n_31158),
+	.B1(n_741),
+	.B2(n_32412),
+	.C1(n_22931),
+	.C2(n_32409),
+	.Y(n_23039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608358 (
+	.A1(n_32915),
+	.A2(n_31159),
+	.B1(n_741),
+	.B2(n_32403),
+	.C1(n_22931),
+	.C2(n_32400),
+	.Y(n_23038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g608359 (
+	.A(n_23037),
+	.Y(n_31146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g608360 (
+	.A(n_23036),
+	.Y(n_31143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g608361 (
+	.A1(n_39136),
+	.A2(n_31159),
+	.B1(n_1294),
+	.B2(n_32403),
+	.X(n_23035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g608362 (
+	.A1(n_39136),
+	.A2(n_31158),
+	.B1(n_1294),
+	.B2(n_32412),
+	.X(n_23034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g608363 (
+	.A1(n_39136),
+	.A2(n_32386),
+	.B1(n_1294),
+	.B2(n_31202),
+	.X(n_23033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g608364 (
+	.A1(n_39136),
+	.A2(n_31161),
+	.B1(n_1294),
+	.B2(n_31193),
+	.X(n_23032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608365 (
+	.A1(n_39136),
+	.A2(n_32388),
+	.B1(n_39135),
+	.B2(n_31182),
+	.Y(n_23031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g608366 (
+	.A1(n_39136),
+	.A2(n_32391),
+	.B1(n_1294),
+	.B2(n_31176),
+	.X(n_23030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g608367 (
+	.A1(n_39136),
+	.A2(n_31157),
+	.B1(n_1294),
+	.B2(n_31220),
+	.X(n_23029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608368 (
+	.A1(n_32915),
+	.A2(n_32386),
+	.B1(n_741),
+	.B2(n_31202),
+	.C1(n_22931),
+	.C2(n_31199),
+	.Y(n_23037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608369 (
+	.A1(n_32915),
+	.A2(n_32391),
+	.B1(n_22931),
+	.B2(n_31173),
+	.C1(n_741),
+	.C2(n_31176),
+	.Y(n_23036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g608370 (
+	.A1(n_22939),
+	.A2(n_31215),
+	.B1(n_1293),
+	.B2(n_31220),
+	.C1(n_306135_BAR),
+	.X(n_23028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g608371 (
+	.A1(n_741),
+	.A2(n_32409),
+	.B1(n_22931),
+	.B2(n_32408),
+	.C1(n_23016),
+	.X(n_32406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g608372 (
+	.A1(n_741),
+	.A2(n_31217),
+	.B1(n_22931),
+	.B2(n_31216),
+	.C1(n_23015),
+	.X(n_31211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g608373 (
+	.A1(n_1294),
+	.A2(n_31182),
+	.B1(n_39135),
+	.B2(n_31181),
+	.C1(n_23024),
+	.X(n_31166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g608374 (
+	.A1(n_741),
+	.A2(n_31190),
+	.B1(n_22931),
+	.B2(n_31189),
+	.C1(n_23014),
+	.X(n_31187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g608375 (
+	.A(n_23027),
+	.Y(n_31179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g608376 (
+	.A1(n_22939),
+	.A2(n_31197),
+	.B1(n_1293),
+	.B2(n_31202),
+	.C1(n_360218_BAR),
+	.X(n_23026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g608377 (
+	.A1(n_741),
+	.A2(n_31199),
+	.B1(n_22931),
+	.B2(n_31198),
+	.C1(n_23010),
+	.X(n_31196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g608378 (
+	.A1(n_741),
+	.A2(n_31173),
+	.B1(n_22931),
+	.B2(n_31172),
+	.C1(n_23013),
+	.X(n_31170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608379 (
+	.A1(n_31180),
+	.A2(n_22933),
+	.B1(n_741),
+	.B2(n_31182),
+	.C1(n_22931),
+	.C2(n_31181),
+	.Y(n_23027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g608380 (
+	.A1(n_741),
+	.A2(n_32400),
+	.B1(n_22931),
+	.B2(n_32399),
+	.C1(n_23017),
+	.X(n_32397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g608381 (
+	.A1(n_22931),
+	.A2(n_31207),
+	.B1(n_741),
+	.B2(n_31208),
+	.C1(n_298112_BAR),
+	.X(n_31205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g608383 (
+	.A1(n_22939),
+	.A2(n_31180),
+	.B1(n_32170),
+	.X(n_23024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g608385 (
+	.A1(n_23007),
+	.A2(n_32416),
+	.B1(n_31029),
+	.Y(n_23022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g608387 (
+	.A1(n_22927),
+	.A2(n_31181),
+	.B1(n_32914),
+	.B2(n_31180),
+	.X(n_32388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g608389 (
+	.A1(n_22927),
+	.A2(n_31198),
+	.B1(n_32914),
+	.B2(n_31197),
+	.X(n_32386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g608390 (
+	.A1(n_1293),
+	.A2(n_31176),
+	.B1(n_22939),
+	.B2(n_31171),
+	.X(n_23020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g608391 (
+	.A1(n_1293),
+	.A2(n_32412),
+	.B1(n_22939),
+	.B2(n_32407),
+	.X(n_23019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g608392 (
+	.A1(n_1293),
+	.A2(n_32403),
+	.B1(n_22939),
+	.B2(n_32398),
+	.X(n_23018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g608393 (
+	.A1(n_22927),
+	.A2(n_31189),
+	.B1(n_32914),
+	.B2(n_31188),
+	.X(n_31161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g608394 (
+	.A1(n_22927),
+	.A2(n_31172),
+	.B1(n_32914),
+	.B2(n_31171),
+	.X(n_32391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g608395 (
+	.A1(n_22927),
+	.A2(n_31216),
+	.B1(n_32914),
+	.B2(n_31215),
+	.X(n_31157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g608396 (
+	.A1(n_22927),
+	.A2(n_32408),
+	.B1(n_32914),
+	.B2(n_32407),
+	.X(n_31158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g608397 (
+	.A1(n_22927),
+	.A2(n_32399),
+	.B1(n_32914),
+	.B2(n_32398),
+	.X(n_31159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g608398 (
+	.A(n_31214),
+	.B_N(n_32398),
+	.Y(n_23017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g608399 (
+	.A(n_31214),
+	.B_N(n_32407),
+	.Y(n_23016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g608400 (
+	.A(n_31214),
+	.B_N(n_31215),
+	.Y(n_23015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g608401 (
+	.A(n_31214),
+	.B_N(n_31188),
+	.Y(n_23014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g608402 (
+	.A(n_31214),
+	.B_N(n_31171),
+	.Y(n_23013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g608405 (
+	.A(n_31214),
+	.B_N(n_31197),
+	.Y(n_23010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g608408 (
+	.A(n_32409),
+	.Y(n_23007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608409 (
+	.A(n_23006),
+	.B(n_22973),
+	.Y(n_31189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608410 (
+	.A(n_23003),
+	.B(n_22976),
+	.Y(n_31193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608411 (
+	.A(n_23004),
+	.B(n_22978),
+	.Y(n_31190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608412 (
+	.A(n_22995),
+	.B(n_22969),
+	.Y(n_31182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608413 (
+	.A(n_22996),
+	.B(n_22971),
+	.Y(n_31181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608414 (
+	.A(n_22998),
+	.B(n_22974),
+	.Y(n_31216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608415 (
+	.A(n_23000),
+	.B(n_22980),
+	.Y(n_31197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608416 (
+	.A(n_22999),
+	.B(n_22972),
+	.Y(n_31180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608417 (
+	.A(n_23002),
+	.B(n_22977),
+	.Y(n_31202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608418 (
+	.A(n_22994),
+	.B(n_22967),
+	.Y(n_32403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608419 (
+	.A(n_22993),
+	.B(n_22958),
+	.Y(n_31176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608420 (
+	.A(n_22997),
+	.B(n_22962),
+	.Y(n_31217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608421 (
+	.A(n_22991),
+	.B(n_22964),
+	.Y(n_32400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608422 (
+	.A(n_23001),
+	.B(n_22979),
+	.Y(n_31220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608423 (
+	.A(n_23005),
+	.B(n_22975),
+	.Y(n_32409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608424 (
+	.A(n_22982),
+	.B(n_22952),
+	.Y(n_31207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g608425 (
+	.A(n_22981),
+	.B(n_32211),
+	.C(n_22951),
+	.D(n_22944),
+	.Y(n_31188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608426 (
+	.A(n_22984),
+	.B(n_22953),
+	.Y(n_32394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608427 (
+	.A(n_22986),
+	.B(n_22956),
+	.Y(n_31208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g608428 (
+	.A(n_22959),
+	.B(n_22945),
+	.C(n_22947),
+	.D(n_31046),
+	.Y(n_31171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608429 (
+	.A(n_22990),
+	.B(n_22961),
+	.Y(n_31172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608430 (
+	.A(n_22987),
+	.B(n_22957),
+	.Y(n_31198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g608431 (
+	.A(n_22970),
+	.B(n_22950),
+	.C(n_22949),
+	.D(n_32212),
+	.Y(n_32398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608432 (
+	.A(n_22983),
+	.B(n_22955),
+	.Y(n_32408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g608433 (
+	.A(n_22965),
+	.B(n_22946),
+	.C(n_22943),
+	.D(n_32213),
+	.Y(n_32407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g608434 (
+	.A(n_22960),
+	.B(n_22942),
+	.C(n_22941),
+	.D(n_32214),
+	.Y(n_31215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608435 (
+	.A(n_22989),
+	.B(n_22963),
+	.Y(n_32399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608436 (
+	.A(n_22988),
+	.B(n_22966),
+	.Y(n_32412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608437 (
+	.A(n_22992),
+	.B(n_22968),
+	.Y(n_31173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608438 (
+	.A(n_22985),
+	.B(n_22954),
+	.Y(n_31199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608439 (
+	.A1(brqrv_top_brqrv_dma_ctrl_n_1249),
+	.A2(n_463),
+	.B1(n_465),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[178]),
+	.C1(n_5424),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[306]),
+	.Y(n_23006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608440 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[238]),
+	.A2(n_464),
+	.B1(n_5457),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[110]),
+	.C1(n_465),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[174]),
+	.Y(n_23005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608441 (
+	.A1(brqrv_top_brqrv_dma_ctrl_n_1209),
+	.A2(n_463),
+	.B1(n_465),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[170]),
+	.C1(n_464),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[234]),
+	.Y(n_23004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608442 (
+	.A1(brqrv_top_brqrv_dma_ctrl_n_1169),
+	.A2(n_463),
+	.B1(n_5457),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[98]),
+	.C1(n_464),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[226]),
+	.Y(n_23003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608443 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[227]),
+	.A2(n_464),
+	.B1(n_5457),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[99]),
+	.C1(n_465),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[163]),
+	.Y(n_23002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608444 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[231]),
+	.A2(n_464),
+	.B1(n_5457),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[103]),
+	.C1(n_465),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[167]),
+	.Y(n_23001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608445 (
+	.A1(brqrv_top_brqrv_dma_ctrl_n_1294),
+	.A2(n_463),
+	.B1(n_5424),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[315]),
+	.C1(n_5457),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[123]),
+	.Y(n_23000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608446 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[313]),
+	.A2(n_5424),
+	.B1(n_464),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[249]),
+	.C1(n_465),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[185]),
+	.Y(n_22999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608447 (
+	.A1(brqrv_top_brqrv_dma_ctrl_n_1274),
+	.A2(n_463),
+	.B1(n_465),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[183]),
+	.C1(n_464),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[247]),
+	.Y(n_22998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608448 (
+	.A1(brqrv_top_brqrv_dma_ctrl_n_1234),
+	.A2(n_463),
+	.B1(n_464),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[239]),
+	.C1(n_5457),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[111]),
+	.Y(n_22997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608449 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[113]),
+	.A2(n_5457),
+	.B1(n_465),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[177]),
+	.C1(n_464),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[241]),
+	.Y(n_22996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608450 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[297]),
+	.A2(n_5424),
+	.B1(n_464),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[233]),
+	.C1(n_465),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[169]),
+	.Y(n_22995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608451 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[101]),
+	.A2(n_5457),
+	.B1(n_465),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[165]),
+	.C1(n_464),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[229]),
+	.Y(n_22994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608452 (
+	.A1(brqrv_top_brqrv_dma_ctrl_n_1159),
+	.A2(n_463),
+	.B1(n_465),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[160]),
+	.C1(n_5457),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[96]),
+	.Y(n_22993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608453 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[296]),
+	.A2(n_5424),
+	.B1(n_465),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[168]),
+	.C1(n_464),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[232]),
+	.Y(n_22992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608454 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[109]),
+	.A2(n_5457),
+	.B1(n_465),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[173]),
+	.C1(n_464),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[237]),
+	.Y(n_22991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608455 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[304]),
+	.A2(n_5424),
+	.B1(n_464),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[240]),
+	.C1(n_465),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[176]),
+	.Y(n_22990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608456 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[245]),
+	.A2(n_464),
+	.B1(n_5457),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[117]),
+	.C1(n_465),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[181]),
+	.Y(n_22989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608457 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[230]),
+	.A2(n_464),
+	.B1(n_5457),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[102]),
+	.C1(n_465),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[166]),
+	.Y(n_22988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608458 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[243]),
+	.A2(n_464),
+	.B1(n_5457),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[115]),
+	.C1(n_465),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[179]),
+	.Y(n_22987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608459 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[108]),
+	.A2(n_5457),
+	.B1(n_465),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[172]),
+	.C1(n_464),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[236]),
+	.Y(n_22986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608460 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[235]),
+	.A2(n_464),
+	.B1(n_5457),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[107]),
+	.C1(n_465),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[171]),
+	.Y(n_22985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608461 (
+	.A1(brqrv_top_brqrv_dma_ctrl_n_1179),
+	.A2(n_463),
+	.B1(n_5457),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[100]),
+	.C1(n_5424),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[292]),
+	.Y(n_22984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608462 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[118]),
+	.A2(n_5457),
+	.B1(n_465),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[182]),
+	.C1(n_464),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[246]),
+	.Y(n_22983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608463 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[308]),
+	.A2(n_5424),
+	.B1(n_464),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[244]),
+	.C1(n_465),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[180]),
+	.Y(n_22982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608464 (
+	.A1(n_5424),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[314]),
+	.B1(n_464),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[250]),
+	.Y(n_22981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608465 (
+	.A1(n_464),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[251]),
+	.B1(n_465),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[187]),
+	.Y(n_22980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608466 (
+	.A1(n_5424),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[295]),
+	.B1(n_463),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1194),
+	.Y(n_22979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608467 (
+	.A1(n_5424),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[298]),
+	.B1(n_5457),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[106]),
+	.Y(n_22978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608468 (
+	.A1(n_5424),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[291]),
+	.B1(n_463),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1174),
+	.Y(n_22977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608469 (
+	.A1(n_5424),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[290]),
+	.B1(n_465),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[162]),
+	.Y(n_22976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608470 (
+	.A1(n_5424),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[302]),
+	.B1(n_463),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1229),
+	.Y(n_22975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608471 (
+	.A1(n_5424),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[311]),
+	.B1(n_5457),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[119]),
+	.Y(n_22974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608472 (
+	.A1(n_464),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[242]),
+	.B1(n_5457),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[114]),
+	.Y(n_22973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608473 (
+	.A1(n_463),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1284),
+	.B1(n_5457),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[121]),
+	.Y(n_22972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608474 (
+	.A1(n_5424),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[305]),
+	.B1(n_463),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1244),
+	.Y(n_22971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608475 (
+	.A1(n_5424),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[317]),
+	.B1(n_465),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[189]),
+	.Y(n_22970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608476 (
+	.A1(n_463),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1204),
+	.B1(n_5457),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[105]),
+	.Y(n_22969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608477 (
+	.A1(n_463),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1199),
+	.B1(n_5457),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[104]),
+	.Y(n_22968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608478 (
+	.A1(n_5424),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[293]),
+	.B1(n_463),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1184),
+	.Y(n_22967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608479 (
+	.A1(n_5424),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[294]),
+	.B1(n_463),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1189),
+	.Y(n_22966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608480 (
+	.A1(n_464),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[254]),
+	.B1(n_5457),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[126]),
+	.Y(n_22965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608481 (
+	.A1(n_5424),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[301]),
+	.B1(n_463),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1224),
+	.Y(n_22964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608482 (
+	.A1(n_5424),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[309]),
+	.B1(n_463),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1264),
+	.Y(n_22963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608483 (
+	.A1(n_5424),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[303]),
+	.B1(n_465),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[175]),
+	.Y(n_22962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608484 (
+	.A1(n_463),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1239),
+	.B1(n_5457),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[112]),
+	.Y(n_22961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608485 (
+	.A1(n_5424),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[319]),
+	.B1(n_5457),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[127]),
+	.Y(n_22960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608486 (
+	.A1(n_5424),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[312]),
+	.B1(n_464),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[248]),
+	.Y(n_22959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608487 (
+	.A1(n_5424),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[288]),
+	.B1(n_464),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[224]),
+	.Y(n_22958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608488 (
+	.A1(n_5424),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[307]),
+	.B1(n_463),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1254),
+	.Y(n_22957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608489 (
+	.A1(n_5424),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[300]),
+	.B1(n_463),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1219),
+	.Y(n_22956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608490 (
+	.A1(n_5424),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[310]),
+	.B1(n_463),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1269),
+	.Y(n_22955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608491 (
+	.A1(n_5424),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[299]),
+	.B1(n_463),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1214),
+	.Y(n_22954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608492 (
+	.A1(n_464),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[228]),
+	.B1(n_465),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[164]),
+	.Y(n_22953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608493 (
+	.A1(n_463),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_1259),
+	.B1(n_5457),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[116]),
+	.Y(n_22952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608494 (
+	.A(n_465),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_data[186]),
+	.Y(n_22951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608495 (
+	.A(n_5457),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_data[125]),
+	.Y(n_22950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608496 (
+	.A(n_464),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_data[253]),
+	.Y(n_22949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608498 (
+	.A(n_5457),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_data[120]),
+	.Y(n_22947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608499 (
+	.A(n_465),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_data[190]),
+	.Y(n_22946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608500 (
+	.A(n_465),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_data[184]),
+	.Y(n_22945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608501 (
+	.A(n_5457),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_data[122]),
+	.Y(n_22944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608502 (
+	.A(n_5424),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_data[318]),
+	.Y(n_22943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608503 (
+	.A(n_465),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_data[191]),
+	.Y(n_22942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608504 (
+	.A(n_464),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_data[255]),
+	.Y(n_22941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g608506 (
+	.A(n_31168),
+	.Y(n_22939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g608508 (
+	.A(n_31579),
+	.Y(brqrv_top_brqrv_dma_dccm_req), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g608512 (
+	.A(n_31214),
+	.Y(n_22933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750734 (
+	.A(n_31212),
+	.Y(n_22931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750738 (
+	.A(n_32914),
+	.Y(n_22927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g750742 (
+	.A(brqrv_top_brqrv_lsu_trigger_n_703_BAR),
+	.B_N(brqrv_top_brqrv_lsu_trigger_lsu_trigger_data_match[3]),
+	.Y(brqrv_top_brqrv_lsu_trigger_match_m[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g750743 (
+	.A(brqrv_top_brqrv_lsu_trigger_n_626_BAR),
+	.B_N(brqrv_top_brqrv_lsu_trigger_lsu_trigger_data_match[2]),
+	.Y(brqrv_top_brqrv_lsu_trigger_match_m[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g750744 (
+	.A(brqrv_top_brqrv_lsu_trigger_n_472_BAR),
+	.B_N(brqrv_top_brqrv_lsu_trigger_lsu_trigger_data_match[0]),
+	.Y(brqrv_top_brqrv_lsu_trigger_match_m[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g750745 (
+	.A(brqrv_top_brqrv_lsu_trigger_n_549_BAR),
+	.B_N(brqrv_top_brqrv_lsu_trigger_lsu_trigger_data_match[1]),
+	.Y(brqrv_top_brqrv_lsu_trigger_match_m[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605749 (
+	.A(n_22875),
+	.B(n_22922),
+	.Y(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g605750 (
+	.A1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[2]),
+	.A2(n_32812),
+	.B1(n_31022),
+	.C1(n_22907),
+	.D1(n_22921),
+	.Y(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g605751 (
+	.A(n_22919),
+	.B(n_22916),
+	.C(n_31021),
+	.D(n_22877),
+	.Y(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g605752 (
+	.A(n_22914),
+	.B(n_22912),
+	.C(n_32157),
+	.D(n_22876),
+	.Y(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605753 (
+	.A(n_22906),
+	.B(n_22918),
+	.Y(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605754 (
+	.A(n_22917),
+	.B(n_39262),
+	.Y(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605755 (
+	.A(n_22913),
+	.B(n_39263),
+	.Y(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605756 (
+	.A(n_22911),
+	.B(n_39264),
+	.Y(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605757 (
+	.A(n_22897),
+	.B(n_22886),
+	.Y(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605758 (
+	.A(n_22889),
+	.B(n_22894),
+	.Y(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605759 (
+	.A(n_22898),
+	.B(n_22902),
+	.Y(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605760 (
+	.A(n_22905),
+	.B(n_22903),
+	.Y(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605761 (
+	.A(n_22900),
+	.B(n_39267),
+	.Y(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605762 (
+	.A(n_22895),
+	.B(n_22896),
+	.Y(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605763 (
+	.A(n_22904),
+	.B(n_22901),
+	.Y(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605764 (
+	.A(n_22893),
+	.B(n_39265),
+	.Y(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605765 (
+	.A(n_39266),
+	.B(n_22892),
+	.Y(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605766 (
+	.A(n_22899),
+	.B(n_39268),
+	.Y(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605767 (
+	.A(n_22910),
+	.B(n_22879),
+	.Y(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605768 (
+	.A(n_22884),
+	.B(n_39270),
+	.Y(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605769 (
+	.A(n_39269),
+	.B(n_22885),
+	.Y(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605770 (
+	.A(n_22880),
+	.B(n_22881),
+	.Y(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605771 (
+	.A(n_39261),
+	.B(n_22878),
+	.Y(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g605772 (
+	.A1(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[12]),
+	.A2(n_39272),
+	.B1(brqrv_top_brqrv_dec_tlu_flush_path_r[12]),
+	.B2(brqrv_top_brqrv_dec_tlu_flush_lower_r),
+	.C1(n_39271),
+	.C2(n_33725),
+	.Y(n_22922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g605773 (
+	.A1(n_7738),
+	.A2(n_31550),
+	.B1(n_7737),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[2]),
+	.Y(n_22921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g605775 (
+	.A1(n_39271),
+	.A2(n_33722),
+	.B1(n_7737),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[11]),
+	.Y(n_22919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g605776 (
+	.A1(n_39271),
+	.A2(n_33711),
+	.B1(brqrv_top_brqrv_exu_i0_flush_upper_d),
+	.B2(n_39304),
+	.Y(n_22918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g605777 (
+	.A1(n_39272),
+	.A2(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[21]),
+	.B1(n_7737),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[21]),
+	.Y(n_22917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g605778 (
+	.A1(n_7736),
+	.A2(n_34134),
+	.B1(n_39272),
+	.B2(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[11]),
+	.Y(n_22916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g605780 (
+	.A1(n_39271),
+	.A2(n_33729),
+	.B1(n_7737),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[6]),
+	.Y(n_22914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g605781 (
+	.A1(n_39272),
+	.A2(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[26]),
+	.B1(n_7737),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[26]),
+	.Y(n_22913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g605782 (
+	.A1(n_7738),
+	.A2(n_31464),
+	.B1(n_39272),
+	.B2(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[6]),
+	.Y(n_22912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g605783 (
+	.A1(n_39271),
+	.A2(n_33727),
+	.B1(n_7737),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[20]),
+	.Y(n_22911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g605784 (
+	.A1(n_39271),
+	.A2(n_33707),
+	.B1(n_7737),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[27]),
+	.C1(n_32969),
+	.Y(n_22910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g605787 (
+	.A1(n_7736),
+	.A2(n_34125),
+	.B1(n_39272),
+	.B2(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[2]),
+	.Y(n_22907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g605788 (
+	.A1(n_39272),
+	.A2(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[29]),
+	.B1(n_7737),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[29]),
+	.C1(n_32971),
+	.Y(n_22906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g605789 (
+	.A1(n_39272),
+	.A2(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[19]),
+	.B1(n_7737),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[19]),
+	.Y(n_22905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g605790 (
+	.A1(n_39272),
+	.A2(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[31]),
+	.B1(n_7737),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[31]),
+	.C1(n_32973),
+	.Y(n_22904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g605791 (
+	.A1(brqrv_top_brqrv_exu_i0_flush_upper_d),
+	.A2(n_39239),
+	.B1(n_39271),
+	.B2(n_33724),
+	.C1(n_32961),
+	.Y(n_22903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g605792 (
+	.A1(n_39272),
+	.A2(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[25]),
+	.B1(brqrv_top_brqrv_exu_i0_flush_upper_d),
+	.B2(n_39305),
+	.Y(n_22902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g605793 (
+	.A1(n_39271),
+	.A2(n_33715),
+	.B1(brqrv_top_brqrv_exu_i0_flush_upper_d),
+	.B2(brqrv_top_brqrv_exu_i0_flush_path_d[31]),
+	.Y(n_22901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g605794 (
+	.A1(n_39272),
+	.A2(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[18]),
+	.B1(n_7737),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[18]),
+	.Y(n_22900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g605795 (
+	.A1(n_39272),
+	.A2(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[15]),
+	.B1(n_7737),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[15]),
+	.Y(n_22899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g605796 (
+	.A1(n_39271),
+	.A2(n_33703),
+	.B1(n_7737),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[25]),
+	.C1(n_32967),
+	.Y(n_22898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g605797 (
+	.A1(n_39271),
+	.A2(n_33713),
+	.B1(n_7737),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[30]),
+	.Y(n_22897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g605798 (
+	.A1(n_39271),
+	.A2(n_33718),
+	.B1(brqrv_top_brqrv_exu_i0_flush_upper_d),
+	.B2(n_39236),
+	.Y(n_22896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g605799 (
+	.A1(n_39272),
+	.A2(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[17]),
+	.B1(n_7737),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[17]),
+	.C1(n_32959),
+	.Y(n_22895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g605800 (
+	.A1(n_39272),
+	.A2(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[28]),
+	.B1(brqrv_top_brqrv_exu_i0_flush_upper_d),
+	.B2(n_39240),
+	.Y(n_22894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g605801 (
+	.A1(n_39272),
+	.A2(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[24]),
+	.B1(n_7737),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[24]),
+	.Y(n_22893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g605802 (
+	.A1(n_39271),
+	.A2(n_33716),
+	.B1(brqrv_top_brqrv_exu_i0_flush_upper_d),
+	.B2(n_39232),
+	.Y(n_22892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g605805 (
+	.A1(n_39271),
+	.A2(n_33709),
+	.B1(n_7737),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[28]),
+	.C1(n_32970),
+	.Y(n_22889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g605808 (
+	.A1(brqrv_top_brqrv_exu_i0_flush_upper_d),
+	.A2(n_39238),
+	.B1(n_39272),
+	.B2(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[30]),
+	.C1(n_32972),
+	.Y(n_22886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g605809 (
+	.A1(n_39272),
+	.A2(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[23]),
+	.B1(brqrv_top_brqrv_exu_i0_flush_upper_d),
+	.B2(n_39306),
+	.Y(n_22885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g605810 (
+	.A1(n_39271),
+	.A2(n_33731),
+	.B1(n_7737),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[14]),
+	.Y(n_22884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g605813 (
+	.A1(n_39271),
+	.A2(n_33728),
+	.B1(brqrv_top_brqrv_exu_i0_flush_upper_d),
+	.B2(n_39243),
+	.Y(n_22881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g605814 (
+	.A1(n_39272),
+	.A2(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[13]),
+	.B1(n_7737),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[13]),
+	.C1(n_32955),
+	.Y(n_22880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g605815 (
+	.A1(n_39272),
+	.A2(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[27]),
+	.B1(brqrv_top_brqrv_exu_i0_flush_upper_d),
+	.B2(n_39233),
+	.Y(n_22879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g605816 (
+	.A1(n_39271),
+	.A2(n_33733),
+	.B1(brqrv_top_brqrv_exu_i0_flush_upper_d),
+	.B2(n_39307),
+	.Y(n_22878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605817 (
+	.A(n_7738),
+	.B(n_31466),
+	.Y(n_22877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605818 (
+	.A(n_7736),
+	.B(n_34129),
+	.Y(n_22876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g605819 (
+	.A1(brqrv_top_brqrv_exu_i0_flush_upper_d),
+	.A2(n_38494),
+	.B1(n_7737),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[12]),
+	.Y(n_22875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g605821 (
+	.A(n_39271),
+	.Y(n_32812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605822 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_d),
+	.B(n_31642),
+	.Y(n_31559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g605825 (
+	.A(n_39272),
+	.Y(n_32813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g605826 (
+	.A(n_31642),
+	.B(n_38492),
+	.Y(n_7736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g605828 (
+	.A(n_38492),
+	.Y(brqrv_top_brqrv_exu_i0_flush_upper_d), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g605829 (
+	.A(n_32602),
+	.Y(n_7737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g613362 (
+	.A1(n_31773),
+	.A2(n_22862),
+	.B1(n_22864),
+	.C1(n_22868),
+	.Y(n_32558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g613363 (
+	.A(n_31822),
+	.B(n_22867),
+	.Y(n_22868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g613364 (
+	.A1(n_31797),
+	.A2(n_22836),
+	.B1(brqrv_top_brqrv_lsu_lsu_pkt_m[7]),
+	.C1(n_22843),
+	.D1(n_22866),
+	.Y(n_22867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g613365 (
+	.A1(n_22834),
+	.A2(n_31789),
+	.A3(n_38493),
+	.B1(n_22865),
+	.Y(n_22866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g613366 (
+	.A1(n_31835),
+	.A2(n_22863),
+	.B1(n_31821),
+	.B2(n_22839),
+	.Y(n_22865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g613367 (
+	.A(n_22860),
+	.B(n_31781),
+	.C(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_ext_m[4]),
+	.D(n_31784),
+	.Y(n_22864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g613368 (
+	.A(n_22861),
+	.B(n_31775),
+	.C(n_31778),
+	.D(n_31777),
+	.Y(n_22863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g613369 (
+	.A(n_22859),
+	.B(n_31770),
+	.C(n_31772),
+	.D(n_31771),
+	.Y(n_22862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g613370 (
+	.A1(n_22858),
+	.A2(n_33354),
+	.B1(n_22833),
+	.B2(n_33354),
+	.C1(n_22845),
+	.Y(n_32779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g613371 (
+	.A_N(n_33370),
+	.B(n_31776),
+	.C(n_31774),
+	.X(n_22861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_1 g613372 (
+	.A_N(n_33372),
+	.B(n_31780),
+	.C(n_31779),
+	.D(n_32644),
+	.X(n_22860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g613373 (
+	.A(n_22857),
+	.B(n_22645),
+	.C(n_31778),
+	.Y(n_31776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_1 g613374 (
+	.A_N(n_33369),
+	.B(n_31769),
+	.C(n_31768),
+	.D(n_32529),
+	.X(n_22859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g613375 (
+	.A(n_22855),
+	.B(n_22616),
+	.C(n_31784),
+	.Y(n_31779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g613376 (
+	.A(n_22854),
+	.B(n_22624),
+	.C(n_31784),
+	.Y(n_31780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g613377 (
+	.A(n_22856),
+	.B(n_22621),
+	.C(n_31784),
+	.Y(n_31781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g613378 (
+	.A(n_22849),
+	.B(n_22623),
+	.C(n_31778),
+	.Y(n_31777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g613379 (
+	.A(n_22848),
+	.B(n_22770),
+	.C(n_22731),
+	.D(n_22747),
+	.Y(n_22858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g613380 (
+	.A(n_22851),
+	.B(n_22620),
+	.C(n_31772),
+	.Y(n_31770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g613381 (
+	.A(n_22850),
+	.B(n_22628),
+	.C(n_31778),
+	.Y(n_31775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g613382 (
+	.A(n_22853),
+	.B(n_22625),
+	.C(n_31772),
+	.Y(n_31771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g613383 (
+	.A(n_22852),
+	.B(n_22637),
+	.C(n_31772),
+	.Y(n_31769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g613384 (
+	.A1(n_31807),
+	.A2(n_22847),
+	.B1(n_22623),
+	.B2(n_31803),
+	.C1(n_22628),
+	.C2(n_31806),
+	.Y(n_22857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g613385 (
+	.A1(n_31813),
+	.A2(n_22844),
+	.B1(n_22616),
+	.B2(n_31811),
+	.C1(n_22624),
+	.C2(n_31809),
+	.Y(n_22856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g613386 (
+	.A1(n_31801),
+	.A2(n_22844),
+	.B1(n_22621),
+	.B2(n_32661),
+	.C1(n_22624),
+	.C2(n_31800),
+	.Y(n_22855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g613387 (
+	.A1(n_31807),
+	.A2(n_22844),
+	.B1(n_22616),
+	.B2(n_31806),
+	.C1(n_22621),
+	.C2(n_31803),
+	.Y(n_22854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g613388 (
+	.A(n_22809),
+	.B(n_22844),
+	.C(n_31784),
+	.Y(n_32644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g613389 (
+	.A(n_22795),
+	.B(n_22846),
+	.C(n_31772),
+	.Y(n_31768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g613390 (
+	.A1(n_31811),
+	.A2(n_22637),
+	.B1(n_22620),
+	.B2(n_31809),
+	.C1(n_22846),
+	.C2(n_31813),
+	.Y(n_22853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g613391 (
+	.A1(n_31801),
+	.A2(n_22846),
+	.B1(n_22625),
+	.B2(n_32661),
+	.C1(n_22620),
+	.C2(n_31800),
+	.Y(n_22852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g613392 (
+	.A1(n_31806),
+	.A2(n_22637),
+	.B1(n_22625),
+	.B2(n_31803),
+	.C1(n_22846),
+	.C2(n_31807),
+	.Y(n_22851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g613393 (
+	.A1(n_31801),
+	.A2(n_22847),
+	.B1(n_22623),
+	.B2(n_32661),
+	.C1(n_22645),
+	.C2(n_31800),
+	.Y(n_22850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g613394 (
+	.A1(n_31813),
+	.A2(n_22847),
+	.B1(n_22628),
+	.B2(n_31811),
+	.C1(n_22645),
+	.C2(n_31809),
+	.Y(n_22849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g613395 (
+	.A(n_22802),
+	.B(n_22847),
+	.C(n_31778),
+	.Y(n_31774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g613396 (
+	.A(n_22774),
+	.B(n_22784),
+	.C(n_22801),
+	.D(n_22842),
+	.Y(n_22848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g613397 (
+	.A1(n_22709),
+	.A2(n_22739),
+	.A3(n_22835),
+	.B1(brqrv_top_brqrv_dec_decode_any_csr_d),
+	.Y(n_22845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g613398 (
+	.A(n_31835),
+	.B(n_22841),
+	.Y(n_22847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g613399 (
+	.A(n_31773),
+	.B(n_22840),
+	.Y(n_22846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g613400 (
+	.A1(n_22832),
+	.A2(n_31792),
+	.A3(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_ext_m[2]),
+	.B1(brqrv_top_brqrv_lsu_is_sideeffects_m),
+	.Y(n_22843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g613401 (
+	.A1(brqrv_top_brqrv_dec_i0_predict_p_d[46]),
+	.A2(n_22736),
+	.B1(n_22752),
+	.C1(n_22782),
+	.D1(n_22838),
+	.Y(n_22842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g613402 (
+	.A(n_22837),
+	.B(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_ext_m[4]),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[0]),
+	.X(n_22844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g613403 (
+	.A(n_22837),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[1]),
+	.Y(n_22841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g613404 (
+	.A(n_22837),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[2]),
+	.Y(n_22840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g613405 (
+	.A(n_22825),
+	.B(n_31802),
+	.C(n_31820),
+	.D(n_32660),
+	.Y(n_22839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g613406 (
+	.A(n_22732),
+	.B(n_22539),
+	.C(n_22780),
+	.D(n_22824),
+	.Y(n_22838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g613407 (
+	.A(n_22826),
+	.B(n_31794),
+	.C(n_31796),
+	.D(n_31793),
+	.Y(n_22836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g613408 (
+	.A(n_22789),
+	.B(n_22815),
+	.C(n_22829),
+	.D(n_22830),
+	.Y(n_22835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g613409 (
+	.A(n_22713),
+	.B(n_22714),
+	.C(n_22831),
+	.D(n_31822),
+	.Y(n_22837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g613410 (
+	.A(n_22828),
+	.B(n_31788),
+	.C(n_31787),
+	.X(n_22834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g613411 (
+	.A(brqrv_top_brqrv_dec_decode_i0_dp_raw[73]),
+	.B(n_22773),
+	.C(brqrv_top_brqrv_dec_decode_i0_dp_raw[63]),
+	.D(brqrv_top_brqrv_dec_decode_i0_dp_raw[78]),
+	.Y(n_22833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g613412 (
+	.A(n_22827),
+	.B(n_31791),
+	.C(n_32649),
+	.X(n_22832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g613413 (
+	.A1(n_22549),
+	.A2(brqrv_top_brqrv_lsu_end_addr_m[2]),
+	.B1(n_31816),
+	.C1(n_22696),
+	.D1(n_22821),
+	.Y(n_22831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g613414 (
+	.A1(n_32581),
+	.A2(n_22741),
+	.B1(n_22778),
+	.C1(n_22822),
+	.Y(n_22830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g613415 (
+	.A1(n_22654),
+	.A2(n_22753),
+	.B1(n_32582),
+	.B2(n_22741),
+	.C1(n_22823),
+	.Y(n_22829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g613416 (
+	.A(n_32646),
+	.B(n_31786),
+	.C(n_32559),
+	.X(n_22828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g613417 (
+	.A(n_22817),
+	.B(n_22757),
+	.C(n_31753),
+	.D(n_22723),
+	.Y(brqrv_top_brqrv_dec_decode_i0_dp_raw[78]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_1 g613418 (
+	.A_N(n_33373),
+	.B(n_31790),
+	.C(n_32650),
+	.D(n_32654),
+	.X(n_22827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g613419 (
+	.A_N(n_33374),
+	.B(n_32652),
+	.C(n_31795),
+	.X(n_22826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g613420 (
+	.A_N(n_33376),
+	.B(n_32655),
+	.C(n_31808),
+	.X(n_22825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g613421 (
+	.A1(brqrv_top_brqrv_dec_dec_i0_instr_d[20]),
+	.A2(n_22775),
+	.B1(n_22819),
+	.C1(n_22820),
+	.Y(n_22824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g613422 (
+	.A1(n_22812),
+	.A2(n_32790),
+	.B1(n_22740),
+	.B2(n_22577),
+	.C1(n_22818),
+	.Y(n_22823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g613423 (
+	.A1(n_22740),
+	.A2(n_22588),
+	.B1(n_22537),
+	.B2(n_22631),
+	.C1(n_22816),
+	.Y(n_22822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g613424 (
+	.A(n_22601),
+	.B(n_22712),
+	.C(n_22785),
+	.D_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[0]),
+	.Y(n_22821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g613425 (
+	.A(n_22810),
+	.B(n_22629),
+	.C(n_31789),
+	.Y(n_31786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g613426 (
+	.A(n_22813),
+	.B(n_22647),
+	.C(n_31792),
+	.Y(n_32649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g613427 (
+	.A(n_22807),
+	.B(n_22618),
+	.C(n_31792),
+	.Y(n_31791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g613428 (
+	.A(n_22806),
+	.B(n_22619),
+	.C(n_31792),
+	.Y(n_32650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g613429 (
+	.A(n_22805),
+	.B(n_22617),
+	.C(n_31792),
+	.Y(n_31790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g613430 (
+	.A(n_22804),
+	.B(n_22626),
+	.C(n_31789),
+	.Y(n_31787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g613431 (
+	.A(n_22803),
+	.B(n_22627),
+	.C(n_31789),
+	.Y(n_31788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g613432 (
+	.A(n_22796),
+	.B(n_22615),
+	.C(n_31789),
+	.Y(n_32646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g613433 (
+	.A1(n_22749),
+	.A2(n_22538),
+	.B1(n_22814),
+	.Y(n_22820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g613434 (
+	.A(n_22792),
+	.B(n_22644),
+	.C(n_31796),
+	.Y(n_32652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g613435 (
+	.A(n_22797),
+	.B(n_22641),
+	.C(n_31820),
+	.Y(n_31808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g613436 (
+	.A(n_22794),
+	.B(n_22639),
+	.C(n_31796),
+	.Y(n_31793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g613437 (
+	.A(n_22793),
+	.B(n_22646),
+	.C(n_31796),
+	.Y(n_31794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g613438 (
+	.A(n_22798),
+	.B(n_22640),
+	.C(n_31820),
+	.Y(n_32655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g613439 (
+	.A(n_22791),
+	.B(n_22622),
+	.C(n_31796),
+	.Y(n_31795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g613440 (
+	.A(n_22800),
+	.B(n_22643),
+	.C(n_31820),
+	.Y(n_31802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g613441 (
+	.A(n_22799),
+	.B(n_22638),
+	.C(n_31820),
+	.Y(n_32660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g613442 (
+	.A1(n_22724),
+	.A2(brqrv_top_brqrv_dec_dec_i0_instr_d[14]),
+	.A3(n_22635),
+	.B1(n_22811),
+	.Y(n_22819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 g613443 (
+	.A1(n_32790),
+	.A2(n_32573),
+	.A3(n_32582),
+	.A4(n_22776),
+	.B1(n_22759),
+	.Y(n_22818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g613444 (
+	.A(n_22693),
+	.B(n_22695),
+	.C(n_22769),
+	.D(n_22808),
+	.Y(n_22817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g613445 (
+	.A1(n_32582),
+	.A2(n_22779),
+	.B1(n_32790),
+	.B2(n_22790),
+	.Y(n_22816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g613446 (
+	.A1(n_32578),
+	.A2(n_22790),
+	.B1(n_32577),
+	.B2(n_22744),
+	.Y(n_22815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g613447 (
+	.A1(brqrv_top_brqrv_dec_dec_i0_instr_d[14]),
+	.A2(n_22787),
+	.B1(brqrv_top_brqrv_dec_dec_i0_instr_d[21]),
+	.B2(n_22775),
+	.Y(n_22814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g613448 (
+	.A1(n_32659),
+	.A2(n_22617),
+	.B1(n_22619),
+	.B2(n_32656),
+	.C1(n_22618),
+	.C2(n_31799),
+	.Y(n_22813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 g613449 (
+	.A1(n_32577),
+	.A2(n_32574),
+	.A3(n_22671),
+	.A4(n_22654),
+	.B1(n_22786),
+	.Y(n_22812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g613450 (
+	.A(n_31832),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[46]),
+	.C(brqrv_top_brqrv_dec_dec_i0_instr_d[24]),
+	.D(n_22771),
+	.Y(n_22811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g613451 (
+	.A1(n_32659),
+	.A2(n_22615),
+	.B1(n_22626),
+	.B2(n_31799),
+	.C1(n_22627),
+	.C2(n_32656),
+	.Y(n_22810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g613452 (
+	.A1(n_32659),
+	.A2(n_22616),
+	.B1(n_22624),
+	.B2(n_31799),
+	.C1(n_22621),
+	.C2(n_32656),
+	.Y(n_22809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g613453 (
+	.A(n_22788),
+	.B(n_22700),
+	.Y(n_22808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g613454 (
+	.A1(n_31807),
+	.A2(n_22647),
+	.B1(n_22617),
+	.B2(n_31806),
+	.C1(n_22619),
+	.C2(n_31803),
+	.Y(n_22807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g613455 (
+	.A1(n_31813),
+	.A2(n_22647),
+	.B1(n_22617),
+	.B2(n_31811),
+	.C1(n_22618),
+	.C2(n_31809),
+	.Y(n_22806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g613456 (
+	.A1(n_31800),
+	.A2(n_22618),
+	.B1(n_22647),
+	.B2(n_31801),
+	.C1(n_22619),
+	.C2(n_32661),
+	.Y(n_22805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g613457 (
+	.A1(n_31807),
+	.A2(n_22629),
+	.B1(n_22615),
+	.B2(n_31806),
+	.C1(n_22627),
+	.C2(n_31803),
+	.Y(n_22804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g613458 (
+	.A1(n_31811),
+	.A2(n_22615),
+	.B1(n_22626),
+	.B2(n_31809),
+	.C1(n_22629),
+	.C2(n_31813),
+	.Y(n_22803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g613459 (
+	.A1(n_32659),
+	.A2(n_22628),
+	.B1(n_22623),
+	.B2(n_32656),
+	.C1(n_22645),
+	.C2(n_31799),
+	.Y(n_22802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g613460 (
+	.A1(brqrv_top_brqrv_dec_dec_i0_instr_d[20]),
+	.A2(brqrv_top_brqrv_dec_dec_i0_instr_d[13]),
+	.A3(n_22772),
+	.B1(brqrv_top_brqrv_dec_dec_i0_instr_d[6]),
+	.B2(n_22662),
+	.Y(n_22801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g613461 (
+	.A1(n_31807),
+	.A2(n_22640),
+	.B1(n_22641),
+	.B2(n_31803),
+	.C1(n_22638),
+	.C2(n_31806),
+	.Y(n_22800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g613462 (
+	.A1(n_31801),
+	.A2(n_22640),
+	.B1(n_22643),
+	.B2(n_31800),
+	.C1(n_22641),
+	.C2(n_32661),
+	.Y(n_22799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g613463 (
+	.A1(n_32659),
+	.A2(n_22638),
+	.B1(n_22641),
+	.B2(n_32656),
+	.C1(n_22643),
+	.C2(n_31799),
+	.Y(n_22798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g613464 (
+	.A1(n_31811),
+	.A2(n_22638),
+	.B1(n_22643),
+	.B2(n_31809),
+	.C1(n_22640),
+	.C2(n_31813),
+	.Y(n_22797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g613465 (
+	.A1(n_31801),
+	.A2(n_22629),
+	.B1(n_22626),
+	.B2(n_31800),
+	.C1(n_22627),
+	.C2(n_32661),
+	.Y(n_22796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g613466 (
+	.A1(n_32659),
+	.A2(n_22637),
+	.B1(n_22620),
+	.B2(n_31799),
+	.C1(n_22625),
+	.C2(n_32656),
+	.Y(n_22795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g613467 (
+	.A1(n_32659),
+	.A2(n_22646),
+	.B1(n_22644),
+	.B2(n_31799),
+	.C1(n_22622),
+	.C2(n_32656),
+	.Y(n_22794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g613468 (
+	.A1(n_32661),
+	.A2(n_22622),
+	.B1(n_22639),
+	.B2(n_31801),
+	.C1(n_22644),
+	.C2(n_31800),
+	.Y(n_22793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g613469 (
+	.A1(n_31806),
+	.A2(n_22646),
+	.B1(n_22639),
+	.B2(n_31807),
+	.C1(n_22622),
+	.C2(n_31803),
+	.Y(n_22792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g613470 (
+	.A1(n_31811),
+	.A2(n_22646),
+	.B1(n_22639),
+	.B2(n_31813),
+	.C1(n_22644),
+	.C2(n_31809),
+	.Y(n_22791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g613471 (
+	.A(n_32789),
+	.B(n_22781),
+	.Y(n_22789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g613472 (
+	.A1(n_22540),
+	.A2(n_22707),
+	.B1(n_22754),
+	.B2(brqrv_top_brqrv_dec_dec_i0_instr_d[24]),
+	.C1(n_22719),
+	.C2(brqrv_top_brqrv_dec_i0_predict_p_d[47]),
+	.Y(n_22788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g613473 (
+	.A1(n_22756),
+	.A2(n_32582),
+	.A3(n_32573),
+	.B1(n_22742),
+	.Y(n_22790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g613474 (
+	.A(n_31830),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[22]),
+	.C(n_31942),
+	.D(n_22760),
+	.X(n_22787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g613475 (
+	.A1(n_22756),
+	.A2(n_22557),
+	.A3(n_32578),
+	.B1(n_22783),
+	.Y(n_22786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g613476 (
+	.A(n_22768),
+	.B(n_22692),
+	.C(n_22610),
+	.D(n_22602),
+	.Y(n_22785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g613477 (
+	.A(n_22652),
+	.B(n_31744),
+	.C(n_22734),
+	.D(n_32642),
+	.Y(n_22784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g613478 (
+	.A(n_32579),
+	.B_N(n_22537),
+	.Y(n_22783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g613479 (
+	.A(n_22727),
+	.B(n_22750),
+	.C(n_22761),
+	.Y(n_22782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o311ai_1 g613480 (
+	.A1(n_31739),
+	.A2(n_31747),
+	.A3(n_22669),
+	.B1(n_22723),
+	.C1(n_22762),
+	.Y(brqrv_top_brqrv_dec_decode_i0_dp_raw[63]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g613481 (
+	.A1(n_22715),
+	.A2(n_22678),
+	.B1(n_22777),
+	.Y(n_22781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g613482 (
+	.A1(brqrv_top_brqrv_dec_i0_predict_p_d[46]),
+	.A2(n_22704),
+	.A3(n_22642),
+	.B1(n_31747),
+	.B2(n_22763),
+	.Y(n_22780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32a_1 g613483 (
+	.A1(n_22557),
+	.A2(n_32574),
+	.A3(n_22735),
+	.B1(n_32578),
+	.B2(n_22738),
+	.X(n_22779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g613484 (
+	.A1(n_22743),
+	.A2(n_32570),
+	.A3(n_32578),
+	.B1(n_22742),
+	.B2(n_32580),
+	.Y(n_22778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g613485 (
+	.A(n_32549),
+	.B_N(n_22756),
+	.Y(n_22777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g613486 (
+	.A(n_22756),
+	.B(n_22588),
+	.Y(n_22776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g613487 (
+	.A(n_22767),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[12]),
+	.Y(n_31807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g613488 (
+	.A(n_22767),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[8]),
+	.Y(n_31813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g613489 (
+	.A(n_22764),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[14]),
+	.Y(n_31803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g613490 (
+	.A(n_22765),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[13]),
+	.Y(n_31806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g613491 (
+	.A(n_22765),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[9]),
+	.Y(n_31811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g613492 (
+	.A(n_22766),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[7]),
+	.Y(n_31800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g613493 (
+	.A(n_22764),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[6]),
+	.Y(n_32661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g613494 (
+	.A(n_22767),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[4]),
+	.Y(n_31801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g613495 (
+	.A(n_22764),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[2]),
+	.Y(n_32656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g613496 (
+	.A(n_22766),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[3]),
+	.Y(n_31799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g613497 (
+	.A1(brqrv_top_brqrv_dec_dec_i0_instr_d[13]),
+	.A2(n_22720),
+	.B1(n_22689),
+	.B2(n_22710),
+	.C1(n_22697),
+	.Y(n_22774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g613499 (
+	.A(n_22751),
+	.B(n_31737),
+	.Y(n_22773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g613500 (
+	.A_N(n_22734),
+	.B(n_22745),
+	.C(n_22657),
+	.Y(n_22772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g613501 (
+	.A_N(brqrv_top_brqrv_dec_decode_n_1216),
+	.B(n_22718),
+	.C(n_22651),
+	.D(n_22683),
+	.Y(n_22771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g613502 (
+	.A1(n_22675),
+	.A2(n_22570),
+	.A3(n_22538),
+	.B1(n_22746),
+	.Y(n_22770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g613503 (
+	.A1(n_31754),
+	.A2(n_22658),
+	.B1(n_22755),
+	.Y(n_22769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g613504 (
+	.A1(brqrv_top_brqrv_lsu_end_addr_m[29]),
+	.A2(n_22561),
+	.B1(n_22748),
+	.Y(n_22768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g613505 (
+	.A(n_22758),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[24]),
+	.Y(n_22775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g613507 (
+	.A(n_22766),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[11]),
+	.Y(n_31809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g613508 (
+	.A(n_22765),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[1]),
+	.Y(n_32659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g613509 (
+	.A(n_22737),
+	.B(n_22683),
+	.Y(n_22763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g613510 (
+	.A1(n_22688),
+	.A2(brqrv_top_brqrv_dec_dec_i0_instr_d[14]),
+	.A3(brqrv_top_brqrv_dec_i0_predict_p_d[46]),
+	.B1(n_22733),
+	.Y(n_22762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4bb_1 g613511 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[21]),
+	.B(n_31942),
+	.C_N(n_22538),
+	.D_N(n_22701),
+	.Y(n_22761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g613512 (
+	.A_N(n_22726),
+	.B(n_22595),
+	.C(n_22673),
+	.D(n_22587),
+	.Y(n_22760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g613513 (
+	.A(n_22742),
+	.B(n_32579),
+	.Y(n_22759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g613514 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[22]),
+	.B(n_22728),
+	.Y(n_22758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g613515 (
+	.A(n_22708),
+	.B(n_22584),
+	.C(brqrv_top_brqrv_dec_dec_i0_instr_d[22]),
+	.Y(n_22757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g613516 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt),
+	.B_N(n_31814),
+	.Y(n_22767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g613517 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt),
+	.B_N(n_31810),
+	.Y(n_22766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g613518 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt),
+	.B_N(n_31812),
+	.Y(n_22765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g613519 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt),
+	.B_N(n_31804),
+	.Y(n_22764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g613520 (
+	.A_N(n_31722),
+	.B(n_22707),
+	.C(brqrv_top_brqrv_dec_dec_i0_instr_d[23]),
+	.D(brqrv_top_brqrv_dec_dec_i0_instr_d[24]),
+	.Y(n_22755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g613521 (
+	.A(n_31748),
+	.B(n_31877),
+	.C(n_31725),
+	.Y(n_22754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g613522 (
+	.A1_N(n_32789),
+	.A2_N(n_22716),
+	.B1(n_22578),
+	.B2(n_22715),
+	.Y(n_22753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g613523 (
+	.A1(n_22684),
+	.A2(n_22703),
+	.B1(n_22729),
+	.Y(n_22752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g613524 (
+	.A(brqrv_top_brqrv_dec_decode_i0_dp_raw[50]),
+	.B(brqrv_top_brqrv_dec_decode_i0_dp_raw[55]),
+	.C(brqrv_top_brqrv_dec_decode_i0_dp_raw[66]),
+	.D_N(n_31755),
+	.Y(n_22751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g613525 (
+	.A1(brqrv_top_brqrv_dec_dec_i0_instr_d[6]),
+	.A2(n_22722),
+	.B1(n_22650),
+	.B2(n_22694),
+	.Y(n_22750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g613526 (
+	.A1(brqrv_top_brqrv_dec_dec_i0_instr_d[20]),
+	.A2(n_31877),
+	.A3(n_22702),
+	.B1(brqrv_top_brqrv_dec_dec_i0_instr_d[24]),
+	.B2(n_22687),
+	.Y(n_22749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g613527 (
+	.A1(n_22561),
+	.A2(brqrv_top_brqrv_lsu_end_addr_m[29]),
+	.B1(n_22605),
+	.C1(n_22606),
+	.D1(n_22691),
+	.Y(n_22748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g613528 (
+	.A1(n_22653),
+	.A2(n_22677),
+	.B1(n_22730),
+	.Y(n_22747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g613529 (
+	.A1(n_22689),
+	.A2(n_22725),
+	.B1(n_22706),
+	.B2(n_22687),
+	.Y(n_22746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g613530 (
+	.A(n_32570),
+	.B(n_32581),
+	.C_N(n_22715),
+	.Y(n_22756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g613532 (
+	.A(n_22743),
+	.Y(n_22744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g613533 (
+	.A(n_22740),
+	.Y(n_22741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a41oi_1 g613534 (
+	.A1(n_22682),
+	.A2(n_32539),
+	.A3(n_32782),
+	.A4(n_32783),
+	.B1(brqrv_top_brqrv_dec_tlu_dbg_halted),
+	.Y(n_22739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g613535 (
+	.A_N(n_22654),
+	.B(n_22715),
+	.Y(n_22738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g613536 (
+	.A1(n_31832),
+	.A2(n_22702),
+	.B1(n_22591),
+	.Y(n_22737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g613537 (
+	.A(n_22680),
+	.B(n_22586),
+	.C(n_22543),
+	.D(n_22635),
+	.Y(n_22736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g613538 (
+	.A1(n_32579),
+	.A2(n_32790),
+	.B1(n_32571),
+	.C1(n_32581),
+	.D1(n_22672),
+	.Y(n_22735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 g613539 (
+	.A(n_22585),
+	.B(n_31805),
+	.C_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_5511),
+	.X(n_31804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g613540 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[21]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[22]),
+	.C(n_31942),
+	.D(n_22681),
+	.Y(n_22745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 g613541 (
+	.A(n_22585),
+	.B(n_31864),
+	.C_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_5337),
+	.X(n_31814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g613542 (
+	.A(n_32551),
+	.B(n_22716),
+	.Y(n_22743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g613543 (
+	.A(n_22548),
+	.B(n_22717),
+	.Y(n_22742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g613544 (
+	.A(n_32784),
+	.B(n_22716),
+	.Y(n_22740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g613545 (
+	.A1(n_32639),
+	.A2(n_22665),
+	.B1(n_22721),
+	.Y(n_22733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g613546 (
+	.A(n_31832),
+	.B(n_22676),
+	.C(brqrv_top_brqrv_dec_i0_predict_p_d[44]),
+	.D_N(n_22684),
+	.Y(n_22732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g613547 (
+	.A1(brqrv_top_brqrv_dec_dec_i0_instr_d[14]),
+	.A2(n_22683),
+	.A3(n_22653),
+	.B1(n_22711),
+	.Y(n_22731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g613548 (
+	.A(n_31832),
+	.B(n_31747),
+	.C(n_22699),
+	.Y(n_22730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g613549 (
+	.A(n_22690),
+	.B(n_31731),
+	.C(n_31747),
+	.D(n_22642),
+	.Y(n_22729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g613550 (
+	.A(n_22701),
+	.B(n_22583),
+	.C(n_22571),
+	.Y(n_22728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g613551 (
+	.A(n_512),
+	.B(n_22706),
+	.C(n_22642),
+	.Y(n_22727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 g613552 (
+	.A(n_22585),
+	.B(n_31866),
+	.C_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_5601),
+	.X(n_31810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 g613553 (
+	.A(n_22585),
+	.B(n_31865),
+	.C_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_5424),
+	.X(n_31812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g613554 (
+	.A_N(brqrv_top_brqrv_dec_decode_n_1216),
+	.B(n_22677),
+	.C(n_22583),
+	.D(n_387),
+	.Y(n_22734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g613555 (
+	.A1(brqrv_top_brqrv_dec_i0_predict_p_d[46]),
+	.A2(n_31731),
+	.A3(n_31727),
+	.B1(n_22667),
+	.B2(n_32641),
+	.Y(brqrv_top_brqrv_dec_decode_i0_dp_raw[73]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g613556 (
+	.A(n_22705),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[24]),
+	.C(brqrv_top_brqrv_dec_i0_predict_p_d[47]),
+	.Y(n_31737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g613557 (
+	.A(n_22724),
+	.Y(n_22725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g613558 (
+	.A_N(n_22689),
+	.B(n_22684),
+	.C(brqrv_top_brqrv_dec_i0_predict_p_d[42]),
+	.Y(n_22722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g613559 (
+	.A(n_22668),
+	.B(n_22634),
+	.C(brqrv_top_brqrv_dec_dec_i0_instr_d[12]),
+	.Y(n_22721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g613560 (
+	.A(n_22683),
+	.B(n_22583),
+	.C(n_387),
+	.Y(n_22720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g613561 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[24]),
+	.B_N(n_22705),
+	.Y(n_22719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4bb_1 g613562 (
+	.A(n_32631),
+	.B(n_31823),
+	.C_N(n_22673),
+	.D_N(n_22657),
+	.Y(n_22718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g613563 (
+	.A(brqrv_top_brqrv_dec_i0_predict_p_d[47]),
+	.B(n_32622),
+	.X(n_31725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g613564 (
+	.A(n_22698),
+	.B(n_22571),
+	.Y(n_22726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g613565 (
+	.A(brqrv_top_brqrv_dec_i0_predict_p_d[44]),
+	.B(n_31879),
+	.C(n_22687),
+	.Y(n_22724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g613566 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[13]),
+	.B(n_22634),
+	.C(brqrv_top_brqrv_dec_i0_predict_p_d[47]),
+	.D(n_22540),
+	.Y(n_22723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g613569 (
+	.A1(n_22566),
+	.A2(brqrv_top_brqrv_lsu_end_addr_m[14]),
+	.B1(n_22593),
+	.C1(n_22614),
+	.D1(n_22663),
+	.Y(n_22714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g613570 (
+	.A1(n_22560),
+	.A2(brqrv_top_brqrv_lsu_end_addr_m[10]),
+	.B1(n_22594),
+	.C1(n_22592),
+	.D1(n_22664),
+	.Y(n_22713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g613571 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[6]),
+	.A2(n_22553),
+	.B1(n_22600),
+	.C1(n_22611),
+	.D1(n_22666),
+	.Y(n_22712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g613572 (
+	.A(n_31881),
+	.B(n_22686),
+	.C(n_32641),
+	.Y(n_22711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g613573 (
+	.A1(n_22685),
+	.A2(brqrv_top_brqrv_dec_dec_i0_instr_d[6]),
+	.B1(n_22583),
+	.Y(n_22710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g613574 (
+	.A1_N(brqrv_top_brqrv_dec_dec_csr_wen_unq_d),
+	.A2_N(n_22679),
+	.B1(n_6028),
+	.B2(n_32780),
+	.Y(n_22709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g613575 (
+	.A(n_31766),
+	.B(n_39127),
+	.C(n_32622),
+	.Y(n_22708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g613576 (
+	.A(n_22672),
+	.B(n_32570),
+	.C(n_32573),
+	.Y(n_22717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g613577 (
+	.A(n_22548),
+	.B(n_6031),
+	.C(n_22674),
+	.X(n_22716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g613578 (
+	.A(n_32571),
+	.B(n_32574),
+	.C(n_22674),
+	.Y(n_22715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g613579 (
+	.A(n_22670),
+	.B(n_38493),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteen[3]),
+	.Y(n_31789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g613580 (
+	.A(n_22670),
+	.B(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_ext_m[2]),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteen[2]),
+	.Y(n_31792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g613581 (
+	.A(n_22703),
+	.Y(n_22704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g613582 (
+	.A(n_22702),
+	.Y(n_22701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g613583 (
+	.A(n_22576),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[14]),
+	.C(brqrv_top_brqrv_dec_i0_predict_p_d[44]),
+	.D(n_515),
+	.Y(n_22700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g613584 (
+	.A(n_22684),
+	.B(n_22683),
+	.Y(n_22699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g613585 (
+	.A(n_22686),
+	.B(brqrv_top_brqrv_dec_decode_n_1216),
+	.Y(n_22698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g613586 (
+	.A_N(n_22690),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[2]),
+	.Y(n_22697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g613587 (
+	.A(n_31829),
+	.B(n_32632),
+	.Y(n_22707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g613588 (
+	.A_N(n_22676),
+	.B(n_22573),
+	.Y(n_22706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g613589 (
+	.A(n_22540),
+	.B(n_32518),
+	.Y(brqrv_top_brqrv_dec_decode_i0_dp_raw[66]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g613590 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[2]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[14]),
+	.C(n_31747),
+	.D_N(n_22573),
+	.Y(n_22705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g613591 (
+	.A(n_22636),
+	.B(n_32643),
+	.C(n_512),
+	.Y(n_22703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g613592 (
+	.A(n_22540),
+	.B(n_32632),
+	.X(n_32622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g613593 (
+	.A(n_22675),
+	.B(n_362),
+	.Y(n_22702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g613594 (
+	.A(n_22579),
+	.B(n_22596),
+	.C(n_22597),
+	.D(n_22598),
+	.Y(n_22696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g613595 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[12]),
+	.B(n_32639),
+	.C(n_31828),
+	.Y(n_22695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g613596 (
+	.A1_N(n_31767),
+	.A2_N(n_31879),
+	.B1(n_22653),
+	.B2(n_22572),
+	.Y(n_22694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g613597 (
+	.A(brqrv_top_brqrv_dec_i0_predict_p_d[44]),
+	.B(n_31752),
+	.C(n_31829),
+	.Y(n_22693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g613598 (
+	.A(n_22603),
+	.B(n_22599),
+	.C(n_22604),
+	.D(n_22612),
+	.Y(n_22692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g613599 (
+	.A(n_22607),
+	.B(n_22608),
+	.C(n_22613),
+	.D(n_22609),
+	.Y(n_22691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4b_1 g613600 (
+	.A(n_31832),
+	.B(n_31879),
+	.C(n_31756),
+	.D_N(n_22570),
+	.X(n_31755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g613601 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag1[0]),
+	.A2(n_22661),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag0[0]),
+	.B2(n_22575),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_5337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g613602 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag1[0]),
+	.A2(n_22655),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag0[0]),
+	.B2(n_22590),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_5511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g613603 (
+	.A1(n_22656),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag1[0]),
+	.B1(n_22589),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag0[0]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_5601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g613604 (
+	.A1(n_22660),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag1[0]),
+	.B1(n_22574),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag0[0]),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_5424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g613605 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[12]),
+	.B(n_22541),
+	.C(n_31752),
+	.Y(brqrv_top_brqrv_dec_decode_i0_dp_raw[50]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g613606 (
+	.A(n_22688),
+	.Y(n_31727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g613607 (
+	.A(n_22686),
+	.Y(n_22685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g613608 (
+	.A(n_22632),
+	.B_N(n_32781),
+	.Y(n_22682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g613609 (
+	.A_N(n_22652),
+	.B(n_22651),
+	.Y(n_22681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g613610 (
+	.A(n_31824),
+	.B_N(n_22651),
+	.Y(n_22680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g613611 (
+	.A1(n_32571),
+	.A2(n_22580),
+	.B1(n_32541),
+	.Y(n_22679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g613612 (
+	.A(n_32784),
+	.B(n_22633),
+	.Y(n_22678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g613613 (
+	.A(n_22650),
+	.B(n_31831),
+	.X(n_22690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g613614 (
+	.A(n_31752),
+	.B(n_32640),
+	.X(n_32518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g613615 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[13]),
+	.B(n_22635),
+	.Y(n_22689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g613616 (
+	.A(n_31739),
+	.B(n_31738),
+	.X(n_32632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g613617 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[13]),
+	.B(n_22659),
+	.Y(n_22688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g613618 (
+	.A(brqrv_top_brqrv_dec_i0_predict_p_d[47]),
+	.B(n_22642),
+	.X(n_22687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g613620 (
+	.A(n_22648),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[5]),
+	.Y(n_22686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g613621 (
+	.A(brqrv_top_brqrv_dec_i0_predict_p_d[43]),
+	.B(n_22652),
+	.Y(n_22684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g613622 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[3]),
+	.B_N(n_22648),
+	.Y(n_22683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g613623 (
+	.A(n_22672),
+	.Y(n_22671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g613624 (
+	.A1(n_22540),
+	.A2(n_31880),
+	.B1(brqrv_top_brqrv_dec_i0_predict_p_d[44]),
+	.B2(n_31766),
+	.X(n_22669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g613625 (
+	.A(n_31720),
+	.B(n_31830),
+	.Y(n_22668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g613626 (
+	.A(n_31763),
+	.B(n_22659),
+	.X(n_22667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g613627 (
+	.A1(n_22567),
+	.A2(brqrv_top_brqrv_lsu_end_addr_m[8]),
+	.B1(n_22553),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[6]),
+	.C1(n_22563),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[8]),
+	.Y(n_22666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g613628 (
+	.A(n_22630),
+	.B_N(n_22658),
+	.Y(n_22665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g613629 (
+	.A1(n_22560),
+	.A2(brqrv_top_brqrv_lsu_end_addr_m[10]),
+	.B1(brqrv_top_brqrv_lsu_end_addr_m[11]),
+	.B2(n_22562),
+	.C1(n_22554),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[11]),
+	.Y(n_22664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g613630 (
+	.A1(n_22566),
+	.A2(brqrv_top_brqrv_lsu_end_addr_m[14]),
+	.B1(brqrv_top_brqrv_lsu_end_addr_m[16]),
+	.B2(n_22550),
+	.C1(n_22564),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[16]),
+	.Y(n_22663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 g613631 (
+	.A(n_31881),
+	.B(n_31878),
+	.C_N(n_22648),
+	.X(n_22662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g613632 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[2]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[3]),
+	.C(brqrv_top_brqrv_dec_dec_i0_instr_d[1]),
+	.X(n_22677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g613633 (
+	.A(n_22649),
+	.B(n_22543),
+	.Y(n_22676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g613634 (
+	.A(brqrv_top_brqrv_dec_i0_predict_p_d[43]),
+	.B(n_22541),
+	.C_N(n_22586),
+	.Y(n_22675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g613635 (
+	.A_N(n_32569),
+	.B(n_32572),
+	.C(brqrv_top_brqrv_dec_i0_predict_p_d[46]),
+	.Y(n_22674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g613636 (
+	.A1(n_532),
+	.A2(brqrv_top_brqrv_dec_dec_i0_instr_d[22]),
+	.B1(brqrv_top_brqrv_dec_dec_i0_instr_d[20]),
+	.B2(n_531),
+	.C1(n_32631),
+	.Y(n_31722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g613637 (
+	.A(n_22543),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[47]),
+	.C(brqrv_top_brqrv_dec_dec_i0_instr_d[31]),
+	.D_N(brqrv_top_brqrv_dec_i0_predict_p_d[45]),
+	.Y(n_22673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g613638 (
+	.A(n_22541),
+	.B(n_32569),
+	.C(n_32572),
+	.Y(n_22672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g613639 (
+	.A(n_22581),
+	.B(n_32954),
+	.C(n_31822),
+	.Y(n_22670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g613640 (
+	.A(n_22660),
+	.Y(n_22661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g613641 (
+	.A(n_22655),
+	.Y(n_22656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g613642 (
+	.A(n_22649),
+	.Y(n_22650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g613643 (
+	.A(n_22636),
+	.Y(n_22635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g613644 (
+	.A(n_22634),
+	.Y(n_31752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g613645 (
+	.A1(n_32581),
+	.A2(n_32579),
+	.B1(n_32578),
+	.Y(n_22633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g613646 (
+	.A1(n_32785),
+	.A2(n_32547),
+	.B1(n_32548),
+	.Y(n_22632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g613647 (
+	.A1(n_32577),
+	.A2(n_32790),
+	.B1(n_32550),
+	.Y(n_22631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g613648 (
+	.A(n_31824),
+	.B_N(n_22570),
+	.Y(n_22630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g613649 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag1[1]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag1[2]),
+	.C_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_merge),
+	.Y(n_22660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g613650 (
+	.A_N(n_2723),
+	.B(n_30578),
+	.Y(n_33370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g613651 (
+	.A(n_22569),
+	.B(n_22570),
+	.Y(n_31720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 g613652 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[5]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[3]),
+	.C_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[4]),
+	.X(n_31865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g613653 (
+	.A(n_31731),
+	.B_N(n_22576),
+	.Y(brqrv_top_brqrv_dec_decode_i0_dp_raw[55]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g613654 (
+	.A(n_31765),
+	.B(n_31825),
+	.X(n_22659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g613655 (
+	.A_N(n_2619),
+	.B(n_30811),
+	.Y(n_33374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 g613656 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[8]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[6]),
+	.C_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[7]),
+	.X(n_31805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g613657 (
+	.A(n_515),
+	.B(n_512),
+	.C(n_31824),
+	.X(n_22658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g613658 (
+	.A(n_32681),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[16]),
+	.C(n_31750),
+	.Y(n_22657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g613659 (
+	.A_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag1[2]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_merge),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag1[1]),
+	.Y(n_22655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 g613660 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[0]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[2]),
+	.C_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[1]),
+	.X(n_31864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g613661 (
+	.A(n_22568),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[5]),
+	.Y(n_32639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g613662 (
+	.A_N(n_32538),
+	.B(n_32581),
+	.Y(n_22654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g613663 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[5]),
+	.B(n_31765),
+	.X(n_31739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g613664 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[4]),
+	.B(n_22591),
+	.Y(n_22653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g613665 (
+	.A(n_22586),
+	.B(n_22569),
+	.Y(n_22652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g613666 (
+	.A(n_22587),
+	.B(n_22540),
+	.X(n_22651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g613667 (
+	.A(n_22582),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[3]),
+	.Y(n_22649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g613668 (
+	.A(n_22582),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[2]),
+	.Y(n_22648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g613669 (
+	.A_N(n_31815),
+	.B(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_ext_m[2]),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[2]),
+	.X(n_22647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g613670 (
+	.A(n_31797),
+	.B(n_31819),
+	.C_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[5]),
+	.Y(n_22646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g613671 (
+	.A(n_31835),
+	.B(n_31783),
+	.C_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[13]),
+	.Y(n_22645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g613672 (
+	.A(n_31797),
+	.B(n_31817),
+	.C_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[13]),
+	.Y(n_22644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g613673 (
+	.A(n_31821),
+	.B(n_31817),
+	.C_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[12]),
+	.Y(n_22643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g613674 (
+	.A(n_22586),
+	.B(n_22587),
+	.Y(n_22642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g613675 (
+	.A(n_31821),
+	.B(n_31818),
+	.C_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[8]),
+	.Y(n_22641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g613676 (
+	.A(n_31821),
+	.B(n_31815),
+	.C_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[0]),
+	.Y(n_22640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g613677 (
+	.A(n_31797),
+	.B(n_31815),
+	.C_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[1]),
+	.Y(n_22639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g613678 (
+	.A(n_31821),
+	.B(n_31819),
+	.C_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[4]),
+	.Y(n_22638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g613679 (
+	.A(n_31773),
+	.B(n_31782),
+	.C_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[6]),
+	.Y(n_22637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g613680 (
+	.A(n_22571),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[1]),
+	.Y(n_22636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g613681 (
+	.A(n_32643),
+	.B(n_31765),
+	.Y(n_22634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g613682 (
+	.A_N(n_31785),
+	.B(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_ext_m[4]),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteen[0]),
+	.Y(n_31784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 g613683 (
+	.A(n_31835),
+	.B(n_31785),
+	.C_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteen[1]),
+	.X(n_31778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g613684 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[17]),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[17]),
+	.Y(n_22614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g613685 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[25]),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[25]),
+	.X(n_22613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g613686 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[19]),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[19]),
+	.X(n_22612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g613687 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[9]),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[9]),
+	.Y(n_22611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g613688 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[26]),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[26]),
+	.Y(n_22610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g613689 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[22]),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[22]),
+	.X(n_22609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g613690 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[23]),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[23]),
+	.X(n_22608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g613691 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[24]),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[24]),
+	.X(n_22607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g613692 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[28]),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[28]),
+	.Y(n_22606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g613693 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[27]),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[27]),
+	.Y(n_22605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g613694 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[18]),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[18]),
+	.X(n_22604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g613695 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[20]),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[20]),
+	.X(n_22603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g613696 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[31]),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[31]),
+	.Y(n_22602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g613697 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[30]),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[30]),
+	.X(n_22601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g613698 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[7]),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[7]),
+	.Y(n_22600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g613699 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[21]),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[21]),
+	.X(n_22599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g613700 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[4]),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[4]),
+	.X(n_22598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g613701 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[3]),
+	.A2(n_22551),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[5]),
+	.B2(n_22565),
+	.Y(n_22597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g613702 (
+	.A1_N(n_22549),
+	.A2_N(brqrv_top_brqrv_lsu_end_addr_m[2]),
+	.B1(n_22552),
+	.B2(brqrv_top_brqrv_lsu_end_addr_m[3]),
+	.Y(n_22596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g613703 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[19]),
+	.B(n_32679),
+	.C(n_31724),
+	.D(n_31751),
+	.Y(n_22595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g613704 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[12]),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[12]),
+	.Y(n_22594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g613705 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[15]),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[15]),
+	.Y(n_22593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g613706 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[13]),
+	.B(brqrv_top_brqrv_lsu_end_addr_m[13]),
+	.Y(n_22592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 g613707 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[9]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[11]),
+	.C_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[10]),
+	.X(n_31866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g613708 (
+	.A_N(n_31815),
+	.B(n_38493),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[3]),
+	.X(n_22629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g613709 (
+	.A(n_31835),
+	.B(n_31782),
+	.C_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[5]),
+	.Y(n_22628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g613710 (
+	.A_N(n_31818),
+	.B(n_38493),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[11]),
+	.X(n_22627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g613711 (
+	.A_N(n_31817),
+	.B(n_38493),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[15]),
+	.X(n_22626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g613712 (
+	.A(n_31773),
+	.B(n_32645),
+	.C_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[10]),
+	.Y(n_22625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g613713 (
+	.A_N(n_31783),
+	.B(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_ext_m[4]),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[12]),
+	.X(n_22624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g613714 (
+	.A(n_31835),
+	.B(n_32645),
+	.C_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[9]),
+	.Y(n_22623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g613715 (
+	.A(n_31797),
+	.B(n_31818),
+	.C_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[9]),
+	.Y(n_22622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g613716 (
+	.A_N(n_32645),
+	.B(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_ext_m[4]),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[8]),
+	.X(n_22621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g613717 (
+	.A(n_31773),
+	.B(n_31783),
+	.C_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[14]),
+	.Y(n_22620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g613718 (
+	.A_N(n_31818),
+	.B(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_ext_m[2]),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[10]),
+	.X(n_22619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g613719 (
+	.A_N(n_31817),
+	.B(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_ext_m[2]),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[14]),
+	.X(n_22618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g613720 (
+	.A_N(n_31819),
+	.B(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_ext_m[2]),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[6]),
+	.X(n_22617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g613721 (
+	.A_N(n_31782),
+	.B(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_ext_m[4]),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[4]),
+	.X(n_22616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g613722 (
+	.A_N(n_31819),
+	.B(n_38493),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[7]),
+	.X(n_22615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 g613723 (
+	.A(n_31773),
+	.B(n_31785),
+	.C_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteen[2]),
+	.X(n_31772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g613724 (
+	.A(n_22589),
+	.Y(n_22590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g613726 (
+	.A(n_22584),
+	.Y(n_31942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g613728 (
+	.A(n_22582),
+	.Y(brqrv_top_brqrv_dec_dec_i0_instr_d[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g613729 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_valid),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_write),
+	.Y(n_22581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g613730 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_predpipe_x[5]),
+	.Y(n_29875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g613731 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_i0_predict_p_x[53]),
+	.X(brqrv_top_brqrv_exu_mp_pkt[53]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g613732 (
+	.A(n_32552),
+	.B(n_6031),
+	.X(n_22580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g613733 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_predpipe_x[0]),
+	.X(brqrv_top_brqrv_exu_mp_btag[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g613734 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_predpipe_x[4]),
+	.Y(n_29873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g613735 (
+	.A(brqrv_top_brqrv_lsu_end_addr_m[5]),
+	.B_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[5]),
+	.Y(n_22579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g613736 (
+	.A(n_32540),
+	.B(n_6028),
+	.Y(n_22578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g613737 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_predpipe_x[2]),
+	.X(brqrv_top_brqrv_exu_mp_btag[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g613738 (
+	.A(n_32790),
+	.B(n_32577),
+	.Y(n_22577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g613739 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_i0_predict_p_x[52]),
+	.X(brqrv_top_brqrv_exu_mp_pkt[52]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g613740 (
+	.A_N(n_32570),
+	.B(n_32573),
+	.Y(n_32538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g613741 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[6]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[5]),
+	.Y(n_22591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g613742 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag0[2]),
+	.B_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag0[1]),
+	.Y(n_22589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g613743 (
+	.A(n_32579),
+	.B(n_32578),
+	.Y(n_22588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g613744 (
+	.A(n_32582),
+	.B(n_32790),
+	.Y(n_32789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g613745 (
+	.A(brqrv_top_brqrv_dec_i0_predict_p_d[43]),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[42]),
+	.Y(n_22587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g613746 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[31]),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[45]),
+	.Y(n_22586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g613747 (
+	.A(n_539),
+	.B(n_22543),
+	.Y(n_31879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g613748 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_valid),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_enQ),
+	.Y(n_22585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g613749 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[24]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[23]),
+	.Y(n_22584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g613750 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[5]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[6]),
+	.Y(n_22583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g613751 (
+	.A(n_31721),
+	.B(brqrv_top_brqrv_ifu_aln_uncompress0[1]),
+	.Y(n_22582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g613752 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[5]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[4]),
+	.Y(n_31832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g613753 (
+	.A(n_22574),
+	.Y(n_22575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g613754 (
+	.A(n_22572),
+	.Y(n_31744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g613755 (
+	.A(n_22569),
+	.Y(n_31880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g613756 (
+	.A(n_22568),
+	.Y(n_31765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g613757 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_predpipe_x[1]),
+	.X(brqrv_top_brqrv_exu_mp_btag[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g613758 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_predpipe_x[3]),
+	.X(brqrv_top_brqrv_exu_mp_btag[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g613759 (
+	.A(n_31754),
+	.B(n_31826),
+	.Y(n_22576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g613760 (
+	.A(n_31754),
+	.B(n_31762),
+	.X(n_31753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g613761 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag0[1]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag0[2]),
+	.Y(n_22574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g613762 (
+	.A(n_31797),
+	.B(n_32471),
+	.Y(n_2619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g613763 (
+	.A(n_31835),
+	.B(n_32469),
+	.Y(n_2723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g613765 (
+	.A(n_31767),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[44]),
+	.Y(n_22573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g613766 (
+	.A(n_512),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[42]),
+	.Y(n_32640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g613767 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[12]),
+	.B(n_22543),
+	.Y(n_31825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g613768 (
+	.A(n_512),
+	.B(n_539),
+	.Y(n_31878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g613769 (
+	.A(brqrv_top_brqrv_dec_i0_predict_p_d[46]),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[44]),
+	.Y(n_31763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g613772 (
+	.A(n_515),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[46]),
+	.Y(n_31766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g613773 (
+	.A(brqrv_top_brqrv_dec_decode_any_csr_d),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[45]),
+	.Y(n_32569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g613774 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[12]),
+	.B(n_32641),
+	.Y(n_22572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g613775 (
+	.A(n_387),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[3]),
+	.Y(n_22571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g613776 (
+	.A(n_22540),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[46]),
+	.Y(n_31830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g613777 (
+	.A(brqrv_top_brqrv_dec_i0_predict_p_d[47]),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[46]),
+	.Y(n_31829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g613778 (
+	.A(brqrv_top_brqrv_dec_i0_predict_p_d[42]),
+	.B(n_22540),
+	.Y(n_22570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g613779 (
+	.A(brqrv_top_brqrv_dec_i0_predict_p_d[47]),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[44]),
+	.Y(n_31731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g613780 (
+	.A(brqrv_top_brqrv_dec_i0_predict_p_d[46]),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[47]),
+	.Y(n_22569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g613781 (
+	.A(n_387),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[2]),
+	.Y(n_22568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g613782 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[8]),
+	.Y(n_22567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g613783 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[14]),
+	.Y(n_22566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g613787 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[11]),
+	.Y(n_22562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g613788 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[29]),
+	.Y(n_22561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g613789 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[10]),
+	.Y(n_22560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g613793 (
+	.A(n_32574),
+	.Y(n_6031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g613794 (
+	.A(n_32573),
+	.Y(n_22557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g613801 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[3]),
+	.Y(n_22552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g613803 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[16]),
+	.Y(n_22550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g613804 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[2]),
+	.Y(n_22549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g613805 (
+	.A(n_32571),
+	.Y(n_22548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g613807 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[4]),
+	.Y(n_387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g613811 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[6]),
+	.Y(n_22543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g613813 (
+	.A(brqrv_top_brqrv_dec_i0_predict_p_d[46]),
+	.Y(n_22541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g613814 (
+	.A(brqrv_top_brqrv_dec_i0_predict_p_d[44]),
+	.Y(n_22540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g750748 (
+	.A(n_32680),
+	.B(n_31750),
+	.C(n_22726),
+	.D_N(n_22745),
+	.Y(n_22539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g613815 (
+	.A(n_22636),
+	.B_N(n_22583),
+	.Y(n_22538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g613816 (
+	.A_N(brqrv_top_brqrv_dec_dec_i0_instr_d[13]),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[44]),
+	.Y(n_31828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g613817 (
+	.A_N(n_31821),
+	.B(n_22670),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteen[0]),
+	.Y(n_31820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g613818 (
+	.A_N(n_31747),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[14]),
+	.Y(n_31738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g613819 (
+	.A_N(n_31797),
+	.B(n_22670),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteen[1]),
+	.Y(n_31796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g613820 (
+	.A(n_6031),
+	.B(n_32581),
+	.C(n_22717),
+	.D_N(n_32582),
+	.Y(n_22537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g604705 (
+	.A(n_262607_BAR),
+	.B(n_32621),
+	.C(n_22520),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g604706 (
+	.A(n_352552_BAR),
+	.B(n_22532),
+	.C(n_31589),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g604707 (
+	.A(n_273293_BAR),
+	.B(n_22536),
+	.C(n_32378),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g604708 (
+	.A(n_273308_BAR),
+	.B(n_22534),
+	.C(n_32377),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g604709 (
+	.A(n_273428_BAR),
+	.B(n_22531),
+	.C(n_32368),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g604710 (
+	.A(n_273413_BAR),
+	.B(n_22533),
+	.C(n_32369),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g604711 (
+	.A(n_351520_BAR),
+	.B(n_22530),
+	.C(n_31591),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g604712 (
+	.A(n_273383_BAR),
+	.B(n_32371),
+	.C(n_22529),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g604713 (
+	.A(n_268781_BAR),
+	.B(n_31590),
+	.C(n_22535),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g604714 (
+	.A(n_273353_BAR),
+	.B(n_22519),
+	.C(n_32373),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g604715 (
+	.A(n_273263_BAR),
+	.B(n_32380),
+	.C(n_22526),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g604716 (
+	.A(n_352910_BAR),
+	.B(n_31588),
+	.C(n_22521),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g604717 (
+	.A(n_273443_BAR),
+	.B(n_22523),
+	.C(n_32367),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g604718 (
+	.A(n_273368_BAR),
+	.B(n_22524),
+	.C(n_32372),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g604719 (
+	.A(n_273323_BAR),
+	.B(n_32376),
+	.C(n_22525),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g604720 (
+	.A(n_273398_BAR),
+	.B(n_32370),
+	.C(n_22522),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g604721 (
+	.A(n_273278_BAR),
+	.B(n_22528),
+	.C(n_32379),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g604722 (
+	.A(n_273338_BAR),
+	.B(n_22527),
+	.C(n_32374),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g604725 (
+	.A(n_265364_BAR),
+	.B(n_33682),
+	.Y(n_22536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g604728 (
+	.A(n_33687),
+	.B(n_265364_BAR),
+	.Y(n_22535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g604729 (
+	.A(n_265364_BAR),
+	.B(n_33676),
+	.Y(n_22534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g604735 (
+	.A(n_22518),
+	.B(n_30515),
+	.Y(n_32972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g604736 (
+	.A(n_265364_BAR),
+	.B(n_33675),
+	.Y(n_22533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g604738 (
+	.A(n_265364_BAR),
+	.B(n_33686),
+	.Y(n_22532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g604739 (
+	.A(n_265364_BAR),
+	.B(n_33681),
+	.Y(n_22531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g604740 (
+	.A(n_265364_BAR),
+	.B(n_33684),
+	.Y(n_22530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g604741 (
+	.A(n_265364_BAR),
+	.B(n_33674),
+	.Y(n_22529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g604742 (
+	.A(n_265364_BAR),
+	.B(n_33678),
+	.Y(n_22528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g604743 (
+	.A(n_265364_BAR),
+	.B(n_33680),
+	.Y(n_22527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g604744 (
+	.A(n_265364_BAR),
+	.B(n_33672),
+	.Y(n_22526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g604745 (
+	.A(n_265364_BAR),
+	.B(n_33671),
+	.Y(n_22525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g604746 (
+	.A(n_265364_BAR),
+	.B(n_33679),
+	.Y(n_22524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g604747 (
+	.A(n_265364_BAR),
+	.B(n_33683),
+	.Y(n_22523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g604748 (
+	.A(n_265364_BAR),
+	.B(n_33670),
+	.Y(n_22522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g604749 (
+	.A(brqrv_top_brqrv_dec_tlu_flush_path_r[11]),
+	.B(brqrv_top_brqrv_dec_tlu_flush_lower_r),
+	.Y(n_31021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g604750 (
+	.A(n_265364_BAR),
+	.B(n_33685),
+	.Y(n_22521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g604751 (
+	.A(n_265364_BAR),
+	.B(n_33673),
+	.Y(n_22520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g604752 (
+	.A(n_22518),
+	.B(n_29904),
+	.Y(n_32970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g604753 (
+	.A(n_22518),
+	.B_N(brqrv_top_brqrv_dec_tlu_flush_path_r[29]),
+	.Y(n_32971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g604754 (
+	.A(n_22518),
+	.B_N(brqrv_top_brqrv_dec_tlu_flush_path_r[31]),
+	.Y(n_32973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g604755 (
+	.A(n_22518),
+	.B_N(brqrv_top_brqrv_dec_tlu_flush_path_r[25]),
+	.Y(n_32967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g604756 (
+	.A(n_22518),
+	.B_N(brqrv_top_brqrv_dec_tlu_flush_path_r[27]),
+	.Y(n_32969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g604757 (
+	.A(n_22518),
+	.B_N(brqrv_top_brqrv_dec_tlu_flush_path_r[19]),
+	.Y(n_32961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g604758 (
+	.A(n_265364_BAR),
+	.B(n_33677),
+	.Y(n_22519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g604759 (
+	.A(n_22518),
+	.B_N(brqrv_top_brqrv_dec_tlu_flush_path_r[13]),
+	.Y(n_32955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g604760 (
+	.A(n_22518),
+	.B_N(brqrv_top_brqrv_dec_tlu_flush_path_r[17]),
+	.Y(n_32959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g604761 (
+	.A(brqrv_top_brqrv_dec_tlu_flush_lower_r),
+	.Y(n_22518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g609204 (
+	.A(\brqrv_top_mem_iccm.iccm_addr_bank [19]),
+	.B(n_22517),
+	.X(\brqrv_top_mem_iccm.iccm_addr_bank [11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g609205 (
+	.A(\brqrv_top_mem_iccm.iccm_addr_bank [18]),
+	.B(n_22516),
+	.COUT(n_22517),
+	.SUM(\brqrv_top_mem_iccm.iccm_addr_bank [10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g609206 (
+	.A(\brqrv_top_mem_iccm.iccm_addr_bank [17]),
+	.B(n_22515),
+	.COUT(n_22516),
+	.SUM(\brqrv_top_mem_iccm.iccm_addr_bank [9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g609207 (
+	.A(\brqrv_top_mem_iccm.iccm_addr_bank [16]),
+	.B(n_22514),
+	.COUT(n_22515),
+	.SUM(\brqrv_top_mem_iccm.iccm_addr_bank [8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g609208 (
+	.A(\brqrv_top_mem_iccm.iccm_addr_bank [15]),
+	.B(n_22513),
+	.COUT(n_22514),
+	.SUM(\brqrv_top_mem_iccm.iccm_addr_bank [7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g609209 (
+	.A(\brqrv_top_mem_iccm.iccm_addr_bank [14]),
+	.B(n_22512),
+	.COUT(n_22513),
+	.SUM(\brqrv_top_mem_iccm.iccm_addr_bank [6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g609210 (
+	.A(\brqrv_top_mem_iccm.iccm_addr_bank [13]),
+	.B(n_22511),
+	.COUT(n_22512),
+	.SUM(\brqrv_top_mem_iccm.iccm_addr_bank [5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31a_1 g609211 (
+	.A1(n_22441),
+	.A2(n_22460),
+	.A3(n_22510),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_fetch_bf_f_c1_clken),
+	.X(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_final_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g609212 (
+	.A(\brqrv_top_mem_iccm.iccm_addr_bank [12]),
+	.B(n_22505),
+	.COUT(n_22511),
+	.SUM(\brqrv_top_mem_iccm.iccm_addr_bank [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g609213 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[13]),
+	.A2(n_22429),
+	.B1(n_22509),
+	.Y(n_22510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g609214 (
+	.A(n_22508),
+	.B(n_31473),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5_wr_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g609215 (
+	.A(n_22508),
+	.B(n_31472),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc5h_wr_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g609216 (
+	.A1(n_22429),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[13]),
+	.B1(n_22507),
+	.Y(n_22509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g609217 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc_inc_r[2]),
+	.B(n_31105),
+	.Y(n_22508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g609218 (
+	.A(n_22459),
+	.B(n_22458),
+	.C(n_22486),
+	.D(n_22502),
+	.X(n_22507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g609219 (
+	.A1(n_22435),
+	.A2(n_22504),
+	.B1(\brqrv_top_mem_iccm.iccm_n_373 ),
+	.X(\brqrv_top_mem_iccm.iccm_n_372 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31a_1 g609220 (
+	.A1(n_22352),
+	.A2(n_22394),
+	.A3(n_22498),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_fetch_bf_f_c1_clken),
+	.X(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_final_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g609221 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc_inc_r[2]),
+	.Y(n_32249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g609222 (
+	.A1(n_22499),
+	.A2(n_369086_BAR),
+	.A3(n_369309_BAR),
+	.B1(brqrv_top_brqrv_dec_tlu_mcountinhibit[5]),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc_inc_r[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g609223 (
+	.A(\brqrv_top_mem_iccm.iccm_n_373 ),
+	.B(n_22434),
+	.C(brqrv_top_n_975),
+	.X(n_22505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g609224 (
+	.A(n_22503),
+	.B(n_31483),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4_wr_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g609225 (
+	.A(n_22503),
+	.B(n_32510),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc4h_wr_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g609226 (
+	.A1(brqrv_top_icm_clk_override),
+	.A2(n_22496),
+	.B1(n_22329),
+	.Y(\brqrv_top_mem_iccm.iccm_n_395 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g609227 (
+	.A1(brqrv_top_icm_clk_override),
+	.A2(n_22497),
+	.B1(n_22329),
+	.Y(\brqrv_top_mem_iccm.iccm_n_385 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g609228 (
+	.A1(brqrv_top_icm_clk_override),
+	.A2(n_22494),
+	.B1(n_22329),
+	.Y(\brqrv_top_mem_iccm.iccm_n_405 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g609229 (
+	.A1(n_31462),
+	.A2(brqrv_top_brqrv_ifu_ifc_n_451),
+	.B1(n_32154),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_uncacheable_miss_in), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g609230 (
+	.A(n_22501),
+	.B(n_31470),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6_wr_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g609231 (
+	.A(n_22501),
+	.B(n_31469),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc6h_wr_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g609232 (
+	.A(n_22500),
+	.B(n_31475),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3h_wr_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g609233 (
+	.A(brqrv_top_icm_clk_override),
+	.B(n_22495),
+	.Y(n_22504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g609234 (
+	.A(n_22500),
+	.B(n_31476),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc3_wr_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g609235 (
+	.A(n_22495),
+	.B(n_31546),
+	.Y(\brqrv_top_mem_iccm.iccm_n_373 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g609236 (
+	.A(n_22494),
+	.B(n_31546),
+	.Y(\brqrv_top_mem_iccm.iccm_n_406 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g609237 (
+	.A(n_22497),
+	.B(n_31546),
+	.Y(\brqrv_top_mem_iccm.iccm_n_386 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g609238 (
+	.A(n_22496),
+	.B(n_31546),
+	.Y(\brqrv_top_mem_iccm.iccm_n_396 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g609239 (
+	.A(n_22493),
+	.B(n_22376),
+	.C(n_22379),
+	.Y(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_final_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g609240 (
+	.A(n_22490),
+	.B(n_22479),
+	.C(n_22442),
+	.D(n_22452),
+	.Y(n_22502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g609241 (
+	.A(n_276416_BAR),
+	.B(n_31106),
+	.X(n_22503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g609242 (
+	.A1(n_22414),
+	.A2(n_22351),
+	.B1(n_32250),
+	.C1(n_22488),
+	.Y(n_22499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g609243 (
+	.A(n_22480),
+	.B(n_22475),
+	.C(n_22371),
+	.D(n_22372),
+	.Y(n_22498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g609244 (
+	.A1(n_22395),
+	.A2(n_22485),
+	.B1_N(brqrv_top_brqrv_dec_tlu_mcountinhibit[4]),
+	.Y(n_31106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g609245 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc_inc_r[3]),
+	.B(n_32280),
+	.Y(n_22501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g609246 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc_inc_r[0]),
+	.B(n_32271),
+	.Y(n_22500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g609247 (
+	.A1(n_22333),
+	.A2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[14]),
+	.B1(n_22489),
+	.Y(n_22493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g609248 (
+	.A1(n_22472),
+	.A2(\brqrv_top_mem_iccm.iccm_addr_bank_inc [3]),
+	.B1(n_22420),
+	.B2(brqrv_top_n_975),
+	.Y(n_22497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g609249 (
+	.A1(\brqrv_top_mem_iccm.iccm_addr_bank_inc [2]),
+	.A2(n_22483),
+	.B1(brqrv_top_n_974),
+	.B2(n_22419),
+	.Y(n_22496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g609250 (
+	.A1(\brqrv_top_mem_iccm.iccm_addr_bank_inc [2]),
+	.A2(\brqrv_top_mem_iccm.iccm_addr_bank_inc [3]),
+	.B1(brqrv_top_n_974),
+	.B2(brqrv_top_n_975),
+	.Y(n_22495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g609251 (
+	.A1(\brqrv_top_mem_iccm.iccm_addr_bank_inc [3]),
+	.A2(\brqrv_top_mem_iccm.iccm_addr_bank_inc [2]),
+	.B1(brqrv_top_n_975),
+	.B2(brqrv_top_n_974),
+	.X(n_22494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g609252 (
+	.A1(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[31]),
+	.A2(n_22487),
+	.B1(n_22332),
+	.B2(n_22484),
+	.Y(brqrv_top_brqrv_ifu_ifc_n_451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g609253 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc_inc_r[0]),
+	.Y(n_32263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g609254 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc_inc_r[3]),
+	.Y(n_32272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g609255 (
+	.A1(n_22477),
+	.A2(n_276409_BAR),
+	.A3(n_368911_BAR),
+	.B1(brqrv_top_brqrv_dec_tlu_mcountinhibit[3]),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc_inc_r[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g609256 (
+	.A1(n_22476),
+	.A2(n_355339_BAR),
+	.A3(n_368917_BAR),
+	.B1(brqrv_top_brqrv_dec_tlu_mcountinhibit[6]),
+	.Y(brqrv_top_brqrv_dec_tlu_mhpmc_inc_r[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g609257 (
+	.A1(n_22426),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[27]),
+	.B1(n_22482),
+	.Y(n_22490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g609258 (
+	.A(n_22481),
+	.B(n_22470),
+	.C(n_22365),
+	.D(n_22377),
+	.Y(n_22489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g609259 (
+	.A1(n_31103),
+	.A2(n_22471),
+	.B1(n_30904),
+	.C1(n_39312),
+	.D1(n_369310_BAR),
+	.Y(n_22488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g609260 (
+	.A1(n_22357),
+	.A2(n_22342),
+	.A3(brqrv_top_brqrv_dec_tlu_mrac_ff_0[0]),
+	.B1(n_22478),
+	.Y(n_22487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g609261 (
+	.A_N(n_22457),
+	.B(n_22463),
+	.C(n_22467),
+	.D(n_22456),
+	.Y(n_22486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g609262 (
+	.A1(n_30920),
+	.A2(n_31485),
+	.B1(n_369314_BAR),
+	.C1(n_39185),
+	.Y(n_22485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a311oi_1 g609263 (
+	.A1(n_22357),
+	.A2(n_22342),
+	.A3(brqrv_top_brqrv_dec_tlu_mrac_ff_0[16]),
+	.B1(n_22468),
+	.C1(n_22462),
+	.Y(n_22484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g609264 (
+	.A(\brqrv_top_mem_iccm.iccm_addr_bank_inc [3]),
+	.Y(n_22483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g609265 (
+	.A(n_22444),
+	.B(n_22445),
+	.C(n_22446),
+	.D(n_22443),
+	.Y(n_22482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g609266 (
+	.A1(n_22345),
+	.A2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[23]),
+	.B1(n_22473),
+	.Y(n_22481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g609267 (
+	.A1(n_22345),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[23]),
+	.B1(n_22474),
+	.Y(n_22480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g609268 (
+	.A(n_22450),
+	.B(n_22451),
+	.C(n_22454),
+	.D(n_22453),
+	.Y(n_22479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g609269 (
+	.A1_N(n_22419),
+	.A2_N(n_22434),
+	.B1(n_22419),
+	.B2(n_22434),
+	.Y(\brqrv_top_mem_iccm.iccm_addr_bank_inc [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g609270 (
+	.A1(n_22359),
+	.A2(n_22408),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[28]),
+	.B2(n_22433),
+	.C1(n_22461),
+	.Y(n_22478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g609271 (
+	.A(n_30898),
+	.B(n_22410),
+	.C(n_22465),
+	.Y(n_22477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g609272 (
+	.A(n_30901),
+	.B(n_22391),
+	.C(n_22466),
+	.Y(n_22476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g609273 (
+	.A(n_22405),
+	.B(n_22387),
+	.C(n_22404),
+	.D(n_22432),
+	.Y(n_22475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g609274 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[13]),
+	.A2(n_22347),
+	.B1(n_22431),
+	.C1(n_22447),
+	.D1(n_22448),
+	.Y(n_22474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g609275 (
+	.A1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[14]),
+	.A2(n_22333),
+	.B1(n_22430),
+	.C1(n_22455),
+	.D1(n_22449),
+	.Y(n_22473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g609276 (
+	.A(\brqrv_top_mem_iccm.iccm_addr_bank_inc [2]),
+	.Y(n_22472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g609277 (
+	.A1(n_368752_BAR),
+	.A2(n_22437),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpme5[9]),
+	.Y(n_22471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g609278 (
+	.A(n_22398),
+	.B(n_22400),
+	.C(n_22397),
+	.D(n_22396),
+	.Y(n_22470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g609280 (
+	.A1(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[28]),
+	.A2(n_22436),
+	.B1(n_22359),
+	.B2(n_22409),
+	.Y(n_22468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g609281 (
+	.A1(n_22421),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[18]),
+	.B1(n_22423),
+	.B2(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[24]),
+	.Y(n_22467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g609282 (
+	.A1(n_31069),
+	.A2(n_22416),
+	.B1(n_369100_BAR),
+	.Y(n_22466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g609283 (
+	.A1(n_31066),
+	.A2(n_39277),
+	.B1(n_369109_BAR),
+	.Y(n_22465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g609284 (
+	.A1(n_32257),
+	.A2(n_22418),
+	.B1(n_30907),
+	.Y(n_22464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g609285 (
+	.A1(n_22422),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[19]),
+	.B1(n_22438),
+	.Y(n_22463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g609286 (
+	.A1(n_22361),
+	.A2(n_22407),
+	.B1(n_22342),
+	.B2(n_22413),
+	.Y(n_22462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g609287 (
+	.A1(n_22357),
+	.A2(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[28]),
+	.A3(brqrv_top_brqrv_dec_tlu_mrac_ff_0[2]),
+	.B1(n_22406),
+	.B2(n_22360),
+	.Y(n_22461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g609288 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[14]),
+	.A2(n_22428),
+	.B1(n_22440),
+	.Y(n_22460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g609289 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[25]),
+	.A2(n_22424),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[26]),
+	.B2(n_22425),
+	.Y(n_22459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g609290 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[16]),
+	.A2(n_22427),
+	.B1(n_22439),
+	.Y(n_22458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g609291 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[18]),
+	.A2(n_22421),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[19]),
+	.B2(n_22422),
+	.Y(n_22457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g609292 (
+	.A1(n_22424),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[25]),
+	.B1(n_22425),
+	.B2(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[26]),
+	.Y(n_22456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g609294 (
+	.A1(n_22420),
+	.A2(n_22358),
+	.B1(n_22434),
+	.Y(\brqrv_top_mem_iccm.iccm_addr_bank_inc [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g609295 (
+	.A(n_22378),
+	.B(n_22375),
+	.C(n_22374),
+	.D(n_22399),
+	.Y(n_22455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g609296 (
+	.A1_N(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[23]),
+	.A2_N(brqrv_top_brqrv_ifu_mem_ctl_imb_in[23]),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[23]),
+	.B2(brqrv_top_brqrv_ifu_mem_ctl_imb_in[23]),
+	.Y(n_22454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g609297 (
+	.A1_N(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[21]),
+	.A2_N(brqrv_top_brqrv_ifu_mem_ctl_imb_in[21]),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[21]),
+	.B2(brqrv_top_brqrv_ifu_mem_ctl_imb_in[21]),
+	.Y(n_22453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g609298 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[17]),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_imb_in[17]),
+	.Y(n_22452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g609299 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[20]),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_imb_in[20]),
+	.X(n_22451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g609300 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[22]),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_imb_in[22]),
+	.X(n_22450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g609301 (
+	.A(n_22388),
+	.B(n_22402),
+	.C(n_22390),
+	.D(n_22392),
+	.Y(n_22449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g609302 (
+	.A(n_22389),
+	.B(n_22411),
+	.C(n_22403),
+	.D(n_22393),
+	.Y(n_22448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g609303 (
+	.A(n_22401),
+	.B(n_22382),
+	.C(n_22381),
+	.D(n_22380),
+	.Y(n_22447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g609304 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[29]),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_imb_in[29]),
+	.Y(n_22446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g609305 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[30]),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_imb_in[30]),
+	.Y(n_22445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g609306 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[31]),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_imb_in[31]),
+	.Y(n_22444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g609307 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[28]),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_imb_in[28]),
+	.Y(n_22443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g609308 (
+	.A1_N(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[27]),
+	.A2_N(n_22426),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[16]),
+	.B2(n_22427),
+	.Y(n_22442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g609309 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[15]),
+	.B_N(n_38495),
+	.Y(n_22441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g609310 (
+	.A_N(n_38495),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[15]),
+	.Y(n_22440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g609311 (
+	.A(n_22428),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[14]),
+	.Y(n_22439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g609312 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[24]),
+	.B(n_22423),
+	.Y(n_22438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g609313 (
+	.A(n_31099),
+	.B_N(n_39278),
+	.Y(n_22437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g609314 (
+	.A1(brqrv_top_brqrv_dec_tlu_mrac_ff_0[24]),
+	.A2(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[29]),
+	.B1(brqrv_top_brqrv_dec_tlu_mrac_ff_0[28]),
+	.B2(n_22343),
+	.C1(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[30]),
+	.Y(n_22436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g609315 (
+	.A1(brqrv_top_brqrv_dec_tlu_mrac_ff_0[8]),
+	.A2(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[29]),
+	.B1(brqrv_top_brqrv_dec_tlu_mrac_ff_0[12]),
+	.B2(n_22343),
+	.C1(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[30]),
+	.Y(n_22433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g609316 (
+	.A1(n_22346),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[18]),
+	.B1(n_22340),
+	.B2(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[19]),
+	.C1(n_22386),
+	.X(n_22432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g609317 (
+	.A(n_22384),
+	.B(n_22385),
+	.Y(n_22431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g609318 (
+	.A(n_22383),
+	.B(n_22373),
+	.Y(n_22430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g609319 (
+	.A(brqrv_top_icm_clk_override),
+	.B(n_22417),
+	.Y(n_22435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g609320 (
+	.A(n_22358),
+	.B(n_22420),
+	.Y(n_22434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g609321 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_imb_in[13]),
+	.Y(n_22429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g609322 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_imb_in[14]),
+	.Y(n_22428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g609323 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_imb_in[16]),
+	.Y(n_22427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g609324 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_imb_in[27]),
+	.Y(n_22426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g609325 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_imb_in[26]),
+	.Y(n_22425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g609326 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_imb_in[25]),
+	.Y(n_22424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g609327 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_imb_in[24]),
+	.Y(n_22423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g609328 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_imb_in[19]),
+	.Y(n_22422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g609329 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_imb_in[18]),
+	.Y(n_22421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g609330 (
+	.A(n_22420),
+	.Y(brqrv_top_n_974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g609331 (
+	.A(n_22419),
+	.Y(brqrv_top_n_975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g609332 (
+	.A1(n_32258),
+	.A2(n_31075),
+	.B1(n_30928),
+	.X(n_22418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g609337 (
+	.A1(n_31563),
+	.A2(n_22341),
+	.B1(n_32352),
+	.Y(n_22417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g609338 (
+	.A1(n_32275),
+	.A2(n_31075),
+	.B1(n_30925),
+	.X(n_22416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g609340 (
+	.A1(n_31063),
+	.A2(n_31485),
+	.B1(n_30927),
+	.Y(n_22414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g609341 (
+	.A(n_22357),
+	.B(brqrv_top_brqrv_dec_tlu_mrac_ff_0[18]),
+	.Y(n_22413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g609343 (
+	.A(n_22370),
+	.B(n_30910),
+	.Y(\brqrv_top_mem_iccm.iccm_addr_bank [17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g609344 (
+	.A(n_22369),
+	.B(n_30912),
+	.Y(\brqrv_top_mem_iccm.iccm_addr_bank [16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g609345 (
+	.A(n_22368),
+	.B(n_30913),
+	.Y(\brqrv_top_mem_iccm.iccm_addr_bank [15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g609346 (
+	.A(n_22353),
+	.B(n_30917),
+	.Y(\brqrv_top_mem_iccm.iccm_addr_bank [19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g609347 (
+	.A(n_22354),
+	.B(n_30911),
+	.Y(\brqrv_top_mem_iccm.iccm_addr_bank [18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g609348 (
+	.A1(n_31462),
+	.A2(n_22347),
+	.B1(n_32178),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_imb_in[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g609349 (
+	.A1(n_31462),
+	.A2(n_22333),
+	.B1(n_32179),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_imb_in[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g609350 (
+	.A(n_22366),
+	.B(n_30916),
+	.Y(\brqrv_top_mem_iccm.iccm_addr_bank [12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g609352 (
+	.A1(n_31462),
+	.A2(n_22339),
+	.B1(n_31033),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_imb_in[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g609353 (
+	.A1(n_31462),
+	.A2(n_22331),
+	.B1(n_32190),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_imb_in[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g609354 (
+	.A1(n_31462),
+	.A2(n_22343),
+	.B1(n_32189),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_imb_in[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g609355 (
+	.A1(n_31462),
+	.A2(n_22342),
+	.B1(n_32188),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_imb_in[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g609356 (
+	.A1(n_31462),
+	.A2(n_22334),
+	.B1(n_32187),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_imb_in[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g609357 (
+	.A1(n_31462),
+	.A2(n_22335),
+	.B1(n_32186),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_imb_in[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g609358 (
+	.A1(n_31462),
+	.A2(n_22344),
+	.B1(n_32185),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_imb_in[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g609359 (
+	.A1(n_31462),
+	.A2(n_22337),
+	.B1(n_32184),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_imb_in[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g609360 (
+	.A1(n_31462),
+	.A2(n_22332),
+	.B1(n_31038),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_imb_in[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g609361 (
+	.A1(n_31462),
+	.A2(n_22345),
+	.B1(n_32183),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_imb_in[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g609362 (
+	.A1(n_31462),
+	.A2(n_22348),
+	.B1(n_32182),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_imb_in[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g609363 (
+	.A1(n_31462),
+	.A2(n_22349),
+	.B1(n_32181),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_imb_in[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g609364 (
+	.A1(n_31462),
+	.A2(n_22338),
+	.B1(n_31037),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_imb_in[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g609365 (
+	.A1(n_31462),
+	.A2(n_22340),
+	.B1(n_31036),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_imb_in[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g609366 (
+	.A1(n_31462),
+	.A2(n_22346),
+	.B1(n_31035),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_imb_in[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g609367 (
+	.A1(n_31462),
+	.A2(n_22350),
+	.B1(n_31034),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_imb_in[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g609368 (
+	.A(n_22367),
+	.B(n_30915),
+	.Y(\brqrv_top_mem_iccm.iccm_addr_bank [13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g609369 (
+	.A(n_22363),
+	.B(n_30914),
+	.Y(\brqrv_top_mem_iccm.iccm_addr_bank [14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g609370 (
+	.A1(brqrv_top_iccm_rw_addr[2]),
+	.A2(brqrv_top_core_rst),
+	.B1_N(n_30908),
+	.Y(n_22420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g609371 (
+	.A1(brqrv_top_iccm_rw_addr[3]),
+	.A2(brqrv_top_core_rst),
+	.B1_N(n_30909),
+	.Y(n_22419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g609372 (
+	.A1_N(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[29]),
+	.A2_N(n_22343),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[29]),
+	.B2(n_22343),
+	.Y(n_22411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g609373 (
+	.A1(n_30924),
+	.A2(n_31485),
+	.B1(n_30923),
+	.C1(n_30894),
+	.Y(n_22410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g609374 (
+	.A1(n_22343),
+	.A2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[26]),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[29]),
+	.B2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[30]),
+	.Y(n_22409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g609375 (
+	.A1(n_22343),
+	.A2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[10]),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[29]),
+	.B2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[14]),
+	.Y(n_22408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g609376 (
+	.A1(n_22342),
+	.A2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[20]),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[28]),
+	.B2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[22]),
+	.Y(n_22407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g609377 (
+	.A1(n_22342),
+	.A2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[4]),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[28]),
+	.B2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[6]),
+	.X(n_22406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g609378 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[18]),
+	.A2(n_22346),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[19]),
+	.B2(n_22340),
+	.Y(n_22405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g609379 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[22]),
+	.A2(n_22348),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[23]),
+	.B2(n_22345),
+	.Y(n_22404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g609380 (
+	.A1_N(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[31]),
+	.A2_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[31]),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[31]),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[31]),
+	.Y(n_22403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g609381 (
+	.A1_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[30]),
+	.A2_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[30]),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[30]),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[30]),
+	.Y(n_22402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g609382 (
+	.A1_N(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[25]),
+	.A2_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[25]),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[25]),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[25]),
+	.Y(n_22401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g609383 (
+	.A1_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[19]),
+	.A2_N(n_22340),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[20]),
+	.B2(n_22338),
+	.Y(n_22400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g609384 (
+	.A1_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[26]),
+	.A2_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[26]),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[26]),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[26]),
+	.Y(n_22399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g609385 (
+	.A1_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[16]),
+	.A2_N(n_22339),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[19]),
+	.B2(n_22340),
+	.Y(n_22398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g609386 (
+	.A1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[22]),
+	.A2(n_22348),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[23]),
+	.B2(n_22345),
+	.Y(n_22397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g609387 (
+	.A1_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[20]),
+	.A2_N(n_22338),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[16]),
+	.B2(n_22339),
+	.Y(n_22396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g609388 (
+	.A(n_39279),
+	.B(n_369316_BAR),
+	.C(n_30919),
+	.D(n_369092_BAR),
+	.Y(n_22395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g609389 (
+	.A1_N(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[13]),
+	.A2_N(n_22347),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[14]),
+	.B2(n_22333),
+	.Y(n_22394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g609390 (
+	.A1_N(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[28]),
+	.A2_N(n_22342),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[28]),
+	.B2(n_22342),
+	.Y(n_22393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g609391 (
+	.A1_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[28]),
+	.A2_N(n_22342),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[28]),
+	.B2(n_22342),
+	.Y(n_22392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g609392 (
+	.A1(n_30922),
+	.A2(n_31485),
+	.B1(n_30921),
+	.C1(n_30905),
+	.Y(n_22391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g609393 (
+	.A1_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[29]),
+	.A2_N(n_22343),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[29]),
+	.B2(n_22343),
+	.Y(n_22390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g609394 (
+	.A1_N(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[30]),
+	.A2_N(n_22331),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[30]),
+	.B2(n_22331),
+	.Y(n_22389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g609395 (
+	.A1_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[31]),
+	.A2_N(n_22332),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[31]),
+	.B2(n_22332),
+	.Y(n_22388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g609396 (
+	.A1_N(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[17]),
+	.A2_N(n_22350),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[17]),
+	.B2(n_22350),
+	.Y(n_22387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g609397 (
+	.A1_N(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[21]),
+	.A2_N(n_22349),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[21]),
+	.B2(n_22349),
+	.Y(n_22386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g609398 (
+	.A1_N(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[20]),
+	.A2_N(n_22338),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[20]),
+	.B2(n_22338),
+	.Y(n_22385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g609399 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[16]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[16]),
+	.X(n_22384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g609400 (
+	.A1_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[17]),
+	.A2_N(n_22350),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[17]),
+	.B2(n_22350),
+	.Y(n_22383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g609401 (
+	.A1_N(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[26]),
+	.A2_N(n_22335),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[26]),
+	.B2(n_22335),
+	.Y(n_22382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g609402 (
+	.A1_N(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[27]),
+	.A2_N(n_22334),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[27]),
+	.B2(n_22334),
+	.Y(n_22381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g609403 (
+	.A1_N(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[24]),
+	.A2_N(n_22337),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[24]),
+	.B2(n_22337),
+	.Y(n_22380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g609404 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[13]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[13]),
+	.Y(n_22379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g609405 (
+	.A1_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[25]),
+	.A2_N(n_22344),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[25]),
+	.B2(n_22344),
+	.Y(n_22378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g609406 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[18]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[18]),
+	.Y(n_22377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g609407 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[15]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[15]),
+	.Y(n_22376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g609408 (
+	.A1_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[27]),
+	.A2_N(n_22334),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[27]),
+	.B2(n_22334),
+	.Y(n_22375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g609409 (
+	.A1_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[24]),
+	.A2_N(n_22337),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[24]),
+	.B2(n_22337),
+	.Y(n_22374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g609410 (
+	.A1_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[21]),
+	.A2_N(n_22349),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[21]),
+	.B2(n_22349),
+	.Y(n_22373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g609411 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[15]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[15]),
+	.Y(n_22372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g609412 (
+	.A(n_22348),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[22]),
+	.Y(n_22371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g609413 (
+	.A(brqrv_top_iccm_rw_addr[9]),
+	.B(brqrv_top_core_rst),
+	.Y(n_22370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g609414 (
+	.A(brqrv_top_iccm_rw_addr[8]),
+	.B(brqrv_top_core_rst),
+	.Y(n_22369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g609415 (
+	.A(brqrv_top_iccm_rw_addr[7]),
+	.B(brqrv_top_core_rst),
+	.Y(n_22368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g609416 (
+	.A(brqrv_top_iccm_rw_addr[5]),
+	.B(brqrv_top_core_rst),
+	.Y(n_22367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g609417 (
+	.A(brqrv_top_iccm_rw_addr[4]),
+	.B(brqrv_top_core_rst),
+	.Y(n_22366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g609418 (
+	.A(n_22348),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[22]),
+	.Y(n_22365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g609420 (
+	.A(brqrv_top_iccm_rw_addr[6]),
+	.B(brqrv_top_core_rst),
+	.Y(n_22363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g609422 (
+	.A(n_22360),
+	.Y(n_22361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g609425 (
+	.A(brqrv_top_iccm_rw_addr[10]),
+	.B(brqrv_top_core_rst),
+	.Y(n_22354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g609426 (
+	.A(brqrv_top_iccm_rw_addr[11]),
+	.B(brqrv_top_core_rst),
+	.Y(n_22353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g609427 (
+	.A(brqrv_top_brqrv_ifu_ifc_iccm_access_bf),
+	.B_N(\brqrv_top_brqrv_ifu_ifc_genblk2.iccm_acc_in_region_bf ),
+	.Y(brqrv_top_brqrv_ifu_ifc_region_acc_fault_bf), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g609428 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[14]),
+	.B_N(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[14]),
+	.Y(n_22352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g609429 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[30]),
+	.B(n_22343),
+	.Y(n_22360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g609430 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[28]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[30]),
+	.Y(n_22359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g609431 (
+	.A(brqrv_top_n_973),
+	.B_N(n_31549),
+	.Y(n_22358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g609432 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[29]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[30]),
+	.Y(n_22357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g609433 (
+	.A(n_31103),
+	.Y(n_22351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g609434 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[17]),
+	.Y(n_22350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g609435 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[21]),
+	.Y(n_22349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g609436 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[22]),
+	.Y(n_22348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g609437 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[13]),
+	.Y(n_22347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g609438 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[18]),
+	.Y(n_22346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g609439 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[23]),
+	.Y(n_22345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g609440 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[25]),
+	.Y(n_22344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g609441 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[29]),
+	.Y(n_22343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g609442 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[28]),
+	.Y(n_22342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g609444 (
+	.A(brqrv_top_brqrv_ifu_ifc_iccm_access_bf),
+	.Y(n_22341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g609445 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[19]),
+	.Y(n_22340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g609446 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[16]),
+	.Y(n_22339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g609447 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[20]),
+	.Y(n_22338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g609448 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[24]),
+	.Y(n_22337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g609450 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[26]),
+	.Y(n_22335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g609451 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[27]),
+	.Y(n_22334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g609452 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[14]),
+	.Y(n_22333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g609454 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[31]),
+	.Y(n_22332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g609455 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[30]),
+	.Y(n_22331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g750749 (
+	.A_N(n_31546),
+	.B(n_22435),
+	.Y(n_22329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g604337 (
+	.A1(n_22326),
+	.A2(brqrv_top_brqrv_dec_tlu_flush_lower_r),
+	.B1(n_30467),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_leak_one_f ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g604338 (
+	.A1(brqrv_top_brqrv_dec_tlu_resume_ack),
+	.A2(brqrv_top_brqrv_dec_tlu_dcsr_single_step_running),
+	.B1(brqrv_top_brqrv_dec_tlu_dcsr[2]),
+	.C1(n_22328),
+	.D1(brqrv_top_brqrv_dec_tlu_flush_lower_r),
+	.Y(n_30467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g604339 (
+	.A(n_22328),
+	.Y(brqrv_top_brqrv_dec_tlu_flush_noredir_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g604340 (
+	.A(brqrv_top_brqrv_dec_tlu_trigger_hit_dmode_r),
+	.B(n_22327),
+	.C(n_32657),
+	.D_N(n_30466),
+	.Y(n_22328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g604341 (
+	.A(n_38505),
+	.B_N(brqrv_top_brqrv_dec_tlu_internal_dbg_halt_mode),
+	.Y(n_22327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g604342 (
+	.A_N(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B(brqrv_top_brqrv_dec_tlu_dec_tlu_wr_pause_r_d1),
+	.Y(n_30466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g604343 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_leak_one_f_d1 ),
+	.Y(n_22326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g605443 (
+	.A(n_22325),
+	.B(n_22323),
+	.C(n_22321),
+	.Y(brqrv_top_brqrv_ifu_aln_bundle2ff_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g605444 (
+	.A(n_22317),
+	.B(n_22324),
+	.Y(n_22325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605445 (
+	.A(n_22322),
+	.B(n_22319),
+	.Y(n_22324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g605446 (
+	.A(n_22294),
+	.B(n_22312),
+	.C(n_22314),
+	.D(n_22316),
+	.Y(n_22323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g605447 (
+	.A1(n_22306),
+	.A2(brqrv_top_brqrv_ifu_aln_f1val[0]),
+	.B1(n_22320),
+	.Y(n_22322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g605448 (
+	.A1(n_22318),
+	.A2(brqrv_top_brqrv_ifu_aln_f2val[1]),
+	.B1(n_22313),
+	.B2(brqrv_top_brqrv_ifu_aln_f1val[1]),
+	.Y(n_22321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g605449 (
+	.A(brqrv_top_brqrv_ifu_aln_f2val[1]),
+	.B(n_22318),
+	.Y(n_22320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g605450 (
+	.A_N(brqrv_top_brqrv_ifu_aln_f0val_in[1]),
+	.B(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.Y(n_22319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g605451 (
+	.A(brqrv_top_brqrv_ifu_aln_f2val_in[1]),
+	.Y(n_22318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g605452 (
+	.A(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.B_N(brqrv_top_brqrv_ifu_aln_f0val_in[1]),
+	.Y(n_22317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g605453 (
+	.A1(n_31040),
+	.A2(n_22307),
+	.B1(n_22315),
+	.Y(brqrv_top_brqrv_ifu_aln_f2val_in[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g605454 (
+	.A(brqrv_top_brqrv_ifu_aln_f1val[1]),
+	.B(n_22313),
+	.Y(n_22316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g605455 (
+	.A1(n_31040),
+	.A2(n_22299),
+	.B1(n_32343),
+	.B2(n_22265),
+	.C1(n_22311),
+	.Y(brqrv_top_brqrv_ifu_aln_f0val_in[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g605456 (
+	.A_N(n_22265),
+	.B(n_22307),
+	.C(n_31121),
+	.D(n_32343),
+	.Y(n_22315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g605457 (
+	.A1(n_22266),
+	.A2(brqrv_top_brqrv_ifu_aln_f2val_in[0]),
+	.B1(n_22310),
+	.Y(n_22314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g605458 (
+	.A(n_22309),
+	.B(n_30897),
+	.Y(n_369316_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g605459 (
+	.A(n_22296),
+	.B(n_22285),
+	.C(n_362397_BAR),
+	.D(n_30899),
+	.Y(n_369109_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g605460 (
+	.A(n_22297),
+	.B(n_22286),
+	.C(n_362404_BAR),
+	.D(n_30902),
+	.Y(n_369100_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g605461 (
+	.A(n_22313),
+	.Y(brqrv_top_brqrv_ifu_aln_f1val_in[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g605462 (
+	.A1(brqrv_top_brqrv_ifu_aln_f0val[0]),
+	.A2(n_22304),
+	.B1(brqrv_top_brqrv_ifu_aln_f1val[0]),
+	.B2(n_22306),
+	.Y(n_22312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g605463 (
+	.A1(brqrv_top_brqrv_exu_flush_final),
+	.A2(n_22302),
+	.B1(n_31123),
+	.B2(n_22282),
+	.X(n_22311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g605464 (
+	.A1(n_22298),
+	.A2(n_22281),
+	.A3(n_31123),
+	.B1(n_22308),
+	.Y(n_22313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605465 (
+	.A(n_22304),
+	.B(brqrv_top_brqrv_ifu_aln_f0val[0]),
+	.Y(n_22310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g605466 (
+	.A(n_22305),
+	.B(n_369305_BAR),
+	.Y(n_369309_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g605467 (
+	.A1(n_32269),
+	.A2(n_22290),
+	.B1(n_30900),
+	.Y(n_30898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g605468 (
+	.A1(n_32278),
+	.A2(n_22293),
+	.B1(n_30903),
+	.Y(n_30901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g605469 (
+	.A1(n_32163),
+	.A2(n_22287),
+	.A3(n_31064),
+	.B1(n_32260),
+	.Y(n_22309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g605470 (
+	.A1(n_31947),
+	.A2(brqrv_top_brqrv_exu_flush_final),
+	.B1(n_22307),
+	.Y(brqrv_top_brqrv_ifu_aln_f2val_in[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g605472 (
+	.A(n_363368_BAR),
+	.B(n_30998),
+	.C(n_22269),
+	.D(n_22289),
+	.Y(n_276409_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g605473 (
+	.A(n_22295),
+	.B(n_31001),
+	.C(n_364298_BAR),
+	.D(n_355334_BAR),
+	.X(n_355339_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g605474 (
+	.A(n_32252),
+	.B(n_31945),
+	.C(n_22300),
+	.Y(n_369310_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g605475 (
+	.A(n_325423_BAR),
+	.B(n_32255),
+	.C(n_22301),
+	.Y(n_369314_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g605476 (
+	.A1(n_31040),
+	.A2(n_22298),
+	.B1(n_31121),
+	.B2(n_22265),
+	.Y(n_22308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g605477 (
+	.A(brqrv_top_brqrv_ifu_aln_f1val_in[0]),
+	.Y(n_22306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g605478 (
+	.A1(n_22288),
+	.A2(n_31063),
+	.B1(n_31102),
+	.Y(n_22305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o311ai_1 g605479 (
+	.A1(n_31101),
+	.A2(n_32254),
+	.A3(n_22267),
+	.B1(n_364288_BAR),
+	.C1(n_22283),
+	.Y(n_32250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g605480 (
+	.A1(brqrv_top_brqrv_ifu_ic_fetch_val_f[0]),
+	.A2(n_22268),
+	.B1(n_22294),
+	.Y(n_22307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g605481 (
+	.A1(n_356189_BAR),
+	.A2(brqrv_top_brqrv_exu_flush_final),
+	.B1(n_22298),
+	.Y(brqrv_top_brqrv_ifu_aln_f1val_in[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g605482 (
+	.A(brqrv_top_brqrv_ifu_aln_f0val_in[0]),
+	.Y(n_22304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o311ai_1 g605483 (
+	.A1(n_32259),
+	.A2(n_32256),
+	.A3(n_22267),
+	.B1(n_22273),
+	.C1(n_31003),
+	.Y(n_22303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g605484 (
+	.A_N(n_31122),
+	.B(n_22299),
+	.C(n_30518),
+	.D(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.Y(n_22302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g605485 (
+	.A(n_22291),
+	.B(n_31944),
+	.C(n_342677_BAR),
+	.Y(n_22301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g605486 (
+	.A(n_369306_BAR),
+	.B(n_22292),
+	.C(n_31946),
+	.Y(n_22300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g605487 (
+	.A1(n_356190_BAR),
+	.A2(brqrv_top_brqrv_exu_flush_final),
+	.B1(n_22299),
+	.Y(brqrv_top_brqrv_ifu_aln_f0val_in[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g605488 (
+	.A1(n_32161),
+	.A2(n_22274),
+	.B1(n_31058),
+	.Y(n_22297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g605489 (
+	.A1(n_32162),
+	.A2(n_22276),
+	.B1(n_31057),
+	.Y(n_22296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g605490 (
+	.A1(n_31110),
+	.A2(n_22280),
+	.B1(n_363375_BAR),
+	.Y(n_22295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g605491 (
+	.A(brqrv_top_brqrv_ifu_ic_fetch_val_f[0]),
+	.B(n_22277),
+	.C(n_22266),
+	.Y(n_22299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605492 (
+	.A(brqrv_top_brqrv_ifu_ic_fetch_val_f[0]),
+	.B(n_22284),
+	.Y(n_22298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g605493 (
+	.A1(brqrv_top_brqrv_ifu_pmu_ic_miss),
+	.A2(n_22271),
+	.B1_N(n_31068),
+	.Y(n_22293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g605494 (
+	.A1(brqrv_top_brqrv_ifu_pmu_bus_error),
+	.A2(n_22278),
+	.B1(n_325459_BAR),
+	.Y(n_22292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g605495 (
+	.A1(brqrv_top_brqrv_ifu_pmu_bus_error),
+	.A2(n_22279),
+	.B1(n_342675_BAR),
+	.Y(n_22291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g605496 (
+	.A1(brqrv_top_brqrv_ifu_pmu_ic_miss),
+	.A2(n_22270),
+	.B1_N(n_31065),
+	.Y(n_22290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g605497 (
+	.A(brqrv_top_brqrv_ifu_ic_fetch_val_f[0]),
+	.B(n_31575),
+	.C(n_31573),
+	.D(n_22266),
+	.X(n_22294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g605498 (
+	.A(n_32268),
+	.B(n_32264),
+	.C(n_22267),
+	.Y(n_22289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605499 (
+	.A(brqrv_top_brqrv_ifu_pmu_ic_miss),
+	.B(n_22275),
+	.Y(n_22288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605500 (
+	.A(brqrv_top_brqrv_ifu_pmu_ic_miss),
+	.B(n_22272),
+	.Y(n_22287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g605501 (
+	.A(n_362406_BAR),
+	.B(n_276345_BAR),
+	.C(n_32104),
+	.D(n_32273),
+	.Y(n_22286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g605502 (
+	.A(n_362399_BAR),
+	.B(n_276403_BAR),
+	.C(n_32105),
+	.D(n_32265),
+	.Y(n_22285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g605503 (
+	.A1(brqrv_top_brqrv_ifu_aln_f2val[0]),
+	.A2(n_356182_BAR),
+	.B1(n_32343),
+	.Y(n_22284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g605504 (
+	.A(n_363339_BAR),
+	.B(n_364286_BAR),
+	.C(n_32251),
+	.D(n_363337_BAR),
+	.Y(n_22283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g605505 (
+	.A(n_22281),
+	.Y(n_22282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g605506 (
+	.A(n_32277),
+	.B(n_31067),
+	.Y(n_22280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g605507 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_req_f),
+	.B(brqrv_top_brqrv_exu_flush_final),
+	.X(brqrv_top_brqrv_ifu_ifc_fetch_bf_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605508 (
+	.A(n_31462),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[27]),
+	.Y(n_32187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g605510 (
+	.A(n_32259),
+	.B(n_31108),
+	.Y(n_22279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605511 (
+	.A(n_31462),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_uncacheable_miss_ff),
+	.Y(n_32154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g605513 (
+	.A(n_31101),
+	.B(n_32253),
+	.Y(n_22278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g605514 (
+	.A(n_31573),
+	.B(n_31575),
+	.Y(n_22277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g605516 (
+	.A_N(n_32266),
+	.B(brqrv_top_brqrv_ifu_pmu_bus_error),
+	.Y(n_22276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g605517 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme5[2]),
+	.B(n_31104),
+	.Y(n_22275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g605518 (
+	.A_N(n_32274),
+	.B(brqrv_top_brqrv_ifu_pmu_bus_error),
+	.Y(n_22274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605519 (
+	.A(n_31462),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[20]),
+	.Y(n_31037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g605520 (
+	.A(n_363331_BAR),
+	.B_N(n_364293_BAR),
+	.Y(n_22273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g605521 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme4[2]),
+	.B(n_32262),
+	.Y(n_22272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605522 (
+	.A(n_31462),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[17]),
+	.Y(n_31034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g605523 (
+	.A(n_32176),
+	.B(brqrv_top_brqrv_exu_flush_final),
+	.Y(n_22281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605525 (
+	.A(n_31462),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[28]),
+	.Y(n_32188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605526 (
+	.A(n_31462),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[30]),
+	.Y(n_32190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605527 (
+	.A(n_31462),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[22]),
+	.Y(n_32182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605528 (
+	.A(n_31462),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[31]),
+	.Y(n_31038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605529 (
+	.A(n_31462),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[29]),
+	.Y(n_32189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605530 (
+	.A(n_31462),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[13]),
+	.Y(n_32178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605531 (
+	.A(n_31462),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[14]),
+	.Y(n_32179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605532 (
+	.A(n_31462),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[26]),
+	.Y(n_32186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605533 (
+	.A(n_31462),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[25]),
+	.Y(n_32185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g605534 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme6[2]),
+	.B(n_32279),
+	.Y(n_22271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605535 (
+	.A(n_31462),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[21]),
+	.Y(n_32181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605536 (
+	.A(n_31462),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[23]),
+	.Y(n_32183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605537 (
+	.A(n_31462),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[19]),
+	.Y(n_31036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605538 (
+	.A(n_31462),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[18]),
+	.Y(n_31035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605539 (
+	.A(n_31462),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[24]),
+	.Y(n_32184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605540 (
+	.A(n_31462),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[16]),
+	.Y(n_31033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g605543 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme3[2]),
+	.B(n_32270),
+	.Y(n_22270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605544 (
+	.A(n_31002),
+	.B(n_276407_BAR),
+	.Y(n_22269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g605545 (
+	.A(n_31121),
+	.Y(n_22268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g605546 (
+	.A(n_31110),
+	.Y(n_22267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g605548 (
+	.A(brqrv_top_brqrv_ifu_aln_f2val[0]),
+	.Y(n_22266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g750750 (
+	.A_N(brqrv_top_brqrv_exu_flush_final),
+	.B(brqrv_top_brqrv_ifu_aln_f2val[1]),
+	.Y(n_22265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g750751 (
+	.A(n_31396),
+	.B(n_31387),
+	.Y(n_366756_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g750752 (
+	.A(n_31395),
+	.B(n_31388),
+	.X(n_31387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g750753 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [4]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [5]),
+	.C(n_32494),
+	.X(n_31388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g750754 (
+	.A(n_31053),
+	.B(n_32495),
+	.X(n_32494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g604403 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [8]),
+	.B(n_30997),
+	.X(n_32495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g604404 (
+	.A(n_31394),
+	.B(n_32496),
+	.X(n_30997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g604405 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [12]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [13]),
+	.C(n_31071),
+	.X(n_32496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g604406 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [14]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [15]),
+	.C(n_32497),
+	.X(n_31071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g604407 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [16]),
+	.B(n_32498),
+	.X(n_32497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g604408 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [17]),
+	.B(n_31948),
+	.X(n_32498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g604409 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [18]),
+	.B(n_32499),
+	.X(n_31948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g604410 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [19]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [20]),
+	.C(n_31389),
+	.X(n_32499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g604411 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [21]),
+	.B(n_32094),
+	.X(n_31389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g604412 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [22]),
+	.B(n_31390),
+	.X(n_32094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g604413 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [23]),
+	.B(n_31391),
+	.X(n_31390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g604414 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [24]),
+	.B(n_32177),
+	.X(n_31391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g604415 (
+	.A(n_31393),
+	.B(n_31392),
+	.X(n_32177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g604416 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [30]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [31]),
+	.C(n_31059),
+	.X(n_31392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g604417 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [10]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [11]),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [9]),
+	.X(n_31394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g604418 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [26]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [27]),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [25]),
+	.X(n_31393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g604419 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [0]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [1]),
+	.X(n_31396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g750755 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [2]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [3]),
+	.X(n_31395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g750756 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [6]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [7]),
+	.X(n_31053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g750757 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [28]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [29]),
+	.X(n_31059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g750758 (
+	.A1(n_22264),
+	.A2(n_32295),
+	.B1(n_32296),
+	.C1(n_32297),
+	.X(n_32294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750759 (
+	.A(n_32298),
+	.Y(n_22264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g607385 (
+	.A1(n_32298),
+	.A2(n_22263),
+	.B1(n_32300),
+	.X(n_32297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g607386 (
+	.A(n_32299),
+	.Y(n_22263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g607387 (
+	.A1(n_32301),
+	.A2(n_22262),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder3_out [35]),
+	.C1(n_22209),
+	.Y(n_32298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g607388 (
+	.A1(n_32301),
+	.A2(n_22259),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder4_out [36]),
+	.C1(n_22211),
+	.Y(n_32299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g607389 (
+	.A1(n_32301),
+	.A2(n_22261),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder6_out [36]),
+	.C1(n_22203),
+	.Y(n_32296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g607390 (
+	.A1(n_32301),
+	.A2(n_22260),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder7_out [36]),
+	.C1(n_22201),
+	.Y(n_32300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g607391 (
+	.A(n_33873),
+	.B(n_33874),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder3_out [35]),
+	.D(n_22256),
+	.X(n_22262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g607392 (
+	.A(n_33973),
+	.B(n_33974),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder6_out [36]),
+	.D(n_22257),
+	.X(n_22261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g607393 (
+	.A(n_33800),
+	.B(n_33801),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder7_out [36]),
+	.D(n_22258),
+	.X(n_22260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g607394 (
+	.A(n_34008),
+	.B(n_34009),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder4_out [36]),
+	.D(n_22255),
+	.X(n_22259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g607395 (
+	.A(n_33802),
+	.B(n_33803),
+	.C(n_33804),
+	.D(n_22253),
+	.X(n_22258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g607396 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [30]),
+	.B(n_33975),
+	.C(n_33976),
+	.D(n_22254),
+	.X(n_22257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g607397 (
+	.A(n_22251),
+	.B(n_22225),
+	.C(n_22206),
+	.Y(n_22256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g607398 (
+	.A(n_22252),
+	.B(n_22215),
+	.C(n_22208),
+	.Y(n_22255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g607399 (
+	.A(n_33977),
+	.B(n_33982),
+	.C(n_22220),
+	.D(n_22250),
+	.X(n_22254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g607400 (
+	.A(n_33805),
+	.B(n_33810),
+	.C(n_22222),
+	.D(n_22247),
+	.X(n_22253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g607401 (
+	.A(n_32355),
+	.B(n_34010),
+	.C(n_22249),
+	.Y(n_22252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g607402 (
+	.A(n_33872),
+	.B(n_33875),
+	.C(n_22248),
+	.Y(n_22251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g607403 (
+	.A(n_22244),
+	.B(n_22224),
+	.C(n_22234),
+	.D(n_22214),
+	.Y(n_22250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g607404 (
+	.A(n_22245),
+	.B(n_22231),
+	.C(n_22233),
+	.D(n_22212),
+	.Y(n_22249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g607405 (
+	.A(n_22243),
+	.B(n_22221),
+	.C(n_22223),
+	.D(n_22205),
+	.Y(n_22248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g607406 (
+	.A(n_22246),
+	.B(n_22216),
+	.C(n_22219),
+	.D(n_22207),
+	.Y(n_22247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g607407 (
+	.A(n_33817),
+	.B(n_33822),
+	.C(n_22242),
+	.Y(n_22246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g607408 (
+	.A(n_34023),
+	.B(n_34028),
+	.C(n_22241),
+	.Y(n_22245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g607409 (
+	.A(n_33989),
+	.B(n_33994),
+	.C(n_22239),
+	.Y(n_22244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g607410 (
+	.A(n_33888),
+	.B(n_33893),
+	.C(n_22240),
+	.Y(n_22243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g607411 (
+	.A(n_22237),
+	.B(n_22228),
+	.C(n_22238),
+	.D(n_22210),
+	.Y(n_22242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g607412 (
+	.A(n_22227),
+	.B(n_22236),
+	.C(n_22229),
+	.D(n_22202),
+	.Y(n_22241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g607413 (
+	.A(n_22217),
+	.B(n_22235),
+	.C(n_22226),
+	.D(n_22204),
+	.Y(n_22240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g607414 (
+	.A(n_22230),
+	.B(n_22232),
+	.C(n_22218),
+	.D(n_22213),
+	.Y(n_22239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g607415 (
+	.A(n_33824),
+	.B(n_33825),
+	.C(n_33826),
+	.D(n_33827),
+	.Y(n_22238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g607416 (
+	.A(n_33829),
+	.B(n_33834),
+	.C(n_33835),
+	.Y(n_22237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g607417 (
+	.A(n_34035),
+	.B(n_34038),
+	.C(n_34040),
+	.Y(n_22236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g607418 (
+	.A(n_33900),
+	.B(n_33903),
+	.C(n_33905),
+	.Y(n_22235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g607419 (
+	.A(n_33984),
+	.B(n_33985),
+	.C(n_33986),
+	.D(n_33987),
+	.Y(n_22234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g607420 (
+	.A(n_34018),
+	.B(n_34019),
+	.C(n_34020),
+	.D(n_34021),
+	.Y(n_22233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g607421 (
+	.A(n_34001),
+	.B(n_34004),
+	.C(n_34006),
+	.Y(n_22232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g607422 (
+	.A(n_34024),
+	.B(n_34025),
+	.C(n_34026),
+	.D(n_34027),
+	.Y(n_22231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g607423 (
+	.A(n_34002),
+	.B(n_34003),
+	.C(n_34005),
+	.D(n_34007),
+	.Y(n_22230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g607424 (
+	.A(n_34030),
+	.B(n_34031),
+	.C(n_34032),
+	.D(n_34033),
+	.Y(n_22229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g607425 (
+	.A(n_33830),
+	.B(n_33831),
+	.C(n_33832),
+	.D(n_33833),
+	.Y(n_22228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g607426 (
+	.A(n_34036),
+	.B(n_34037),
+	.C(n_34039),
+	.D(n_34041),
+	.Y(n_22227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g607427 (
+	.A(n_33895),
+	.B(n_33896),
+	.C(n_33897),
+	.D(n_33898),
+	.Y(n_22226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g607428 (
+	.A(n_33876),
+	.B(n_33877),
+	.C(n_33878),
+	.D(n_33881),
+	.Y(n_22225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g607429 (
+	.A(n_33990),
+	.B(n_33991),
+	.C(n_33992),
+	.D(n_33993),
+	.Y(n_22224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g607430 (
+	.A(n_33883),
+	.B(n_33884),
+	.C(n_33885),
+	.D(n_33886),
+	.Y(n_22223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g607431 (
+	.A(n_33806),
+	.B(n_33807),
+	.C(n_33808),
+	.D(n_33809),
+	.X(n_22222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g607432 (
+	.A(n_33889),
+	.B(n_33890),
+	.C(n_33891),
+	.D(n_33892),
+	.Y(n_22221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g607433 (
+	.A(n_33978),
+	.B(n_33979),
+	.C(n_33980),
+	.D(n_33981),
+	.X(n_22220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g607434 (
+	.A(n_33812),
+	.B(n_33813),
+	.C(n_33814),
+	.D(n_33815),
+	.Y(n_22219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g607435 (
+	.A(n_33996),
+	.B(n_33997),
+	.C(n_33998),
+	.D(n_33999),
+	.Y(n_22218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g607436 (
+	.A(n_33901),
+	.B(n_33902),
+	.C(n_33904),
+	.D(n_33906),
+	.Y(n_22217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g607437 (
+	.A(n_33818),
+	.B(n_33819),
+	.C(n_33820),
+	.D(n_33821),
+	.Y(n_22216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g607438 (
+	.A(n_34011),
+	.B(n_34012),
+	.C(n_34013),
+	.D(n_34016),
+	.Y(n_22215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g607439 (
+	.A(n_33983),
+	.B(n_33988),
+	.Y(n_22214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g607440 (
+	.A(n_33995),
+	.B(n_34000),
+	.Y(n_22213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g607441 (
+	.A(n_34017),
+	.B(n_34022),
+	.Y(n_22212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g607442 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder4_out [36]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.Y(n_22211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g607443 (
+	.A(n_33823),
+	.B(n_33828),
+	.Y(n_22210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g607444 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder3_out [35]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.Y(n_22209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g607445 (
+	.A(n_34014),
+	.B(n_34015),
+	.Y(n_22208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g607446 (
+	.A(n_33811),
+	.B(n_33816),
+	.Y(n_22207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g607447 (
+	.A(n_33879),
+	.B(n_33880),
+	.Y(n_22206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g607448 (
+	.A(n_33882),
+	.B(n_33887),
+	.Y(n_22205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g607449 (
+	.A(n_33894),
+	.B(n_33899),
+	.Y(n_22204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g607450 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder6_out [36]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.Y(n_22203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g607451 (
+	.A(n_34029),
+	.B(n_34034),
+	.Y(n_22202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g607452 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder7_out [36]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.Y(n_22201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g750760 (
+	.A(n_22200),
+	.B(n_30995),
+	.C(n_31386),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750761 (
+	.A(n_32294),
+	.B(n_8251),
+	.Y(n_22200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32o_1 g604324 (
+	.A1(brqrv_top_brqrv_dec_i0_decode_d),
+	.A2(brqrv_top_brqrv_ifu_aln_first2B),
+	.A3(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.B1(n_30518),
+	.B2(brqrv_top_brqrv_ifu_aln_f0val[0]),
+	.X(n_31573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_2 g604325 (
+	.A0(brqrv_top_brqrv_ifu_aln_f1val[1]),
+	.A1(brqrv_top_brqrv_ifu_aln_f1val[0]),
+	.S(n_31576),
+	.X(n_31575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g750762 (
+	.A(brqrv_top_brqrv_dec_decode_n_1983_BAR),
+	.B(n_30519),
+	.Y(brqrv_top_brqrv_dec_decode_d_t[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750763 (
+	.A(brqrv_top_brqrv_dec_tlu_flush_path_r[2]),
+	.B(brqrv_top_brqrv_dec_tlu_flush_lower_r),
+	.Y(n_31022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750764 (
+	.A(brqrv_top_brqrv_dec_tlu_flush_path_r[6]),
+	.B(brqrv_top_brqrv_dec_tlu_flush_lower_r),
+	.Y(n_32157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4b_2 g750765 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[13]),
+	.B(n_30785),
+	.C(n_30522),
+	.D_N(n_30787),
+	.X(n_30780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g750766 (
+	.A(n_22198),
+	.B(n_22195),
+	.C(n_22168),
+	.D(n_22192),
+	.Y(n_30787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g750767 (
+	.A(n_32639),
+	.B(n_32640),
+	.C(n_31824),
+	.D(n_32777),
+	.Y(brqrv_top_brqrv_exu_n_254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g750768 (
+	.A(n_22197),
+	.B(n_33354),
+	.C(n_32778),
+	.X(n_32777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g750769 (
+	.A(n_22173),
+	.B(n_22184),
+	.C(n_22189),
+	.D(n_22193),
+	.Y(n_22198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g750770 (
+	.A(n_22185),
+	.B(n_22191),
+	.C(n_22196),
+	.D_N(n_31737),
+	.Y(n_22197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g750771 (
+	.A1(n_22182),
+	.A2(n_31725),
+	.B1(n_31755),
+	.C1(n_22190),
+	.D1(n_22180),
+	.Y(n_22196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_2 g750772 (
+	.A(n_30786),
+	.B(n_30781),
+	.C_N(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[12]),
+	.X(n_30522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g750773 (
+	.A1(n_22161),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[8]),
+	.B1(n_22162),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[10]),
+	.C1(n_22194),
+	.Y(n_22195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g750774 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[10]),
+	.A2(n_22162),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_picm_wren_ff),
+	.C1(n_22174),
+	.D1(n_22178),
+	.Y(n_22194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g750775 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[5]),
+	.A2(n_22166),
+	.B1(n_22176),
+	.C1(n_22175),
+	.D1(n_22188),
+	.Y(n_22193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g750776 (
+	.A(n_22172),
+	.B(n_22169),
+	.C(n_22186),
+	.D(n_22187),
+	.Y(n_22192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g750777 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[9]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[8]),
+	.C(n_22181),
+	.X(n_30786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o311ai_1 g750778 (
+	.A1(n_31752),
+	.A2(n_31731),
+	.A3(n_555),
+	.B1(n_32518),
+	.C1(n_22183),
+	.Y(n_22191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g750779 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[24]),
+	.B(n_31763),
+	.C(n_22171),
+	.D(n_32632),
+	.X(n_22190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g750780 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[1]),
+	.A2(n_22158),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.B2(n_22164),
+	.C1(n_22177),
+	.Y(n_22189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g750781 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[5]),
+	.A2(n_22166),
+	.B1(n_22156),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[6]),
+	.C1(n_22163),
+	.C2(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[6]),
+	.Y(n_22188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g750782 (
+	.A(n_30784),
+	.B(n_30782),
+	.X(n_30781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g750783 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[12]),
+	.A2(n_22165),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[14]),
+	.B2(n_22160),
+	.C1(n_22179),
+	.Y(n_22187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g750784 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_rden_ff),
+	.A2(n_22167),
+	.B1(n_22170),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[30]),
+	.Y(n_22186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g750785 (
+	.A1(n_512),
+	.A2(n_31754),
+	.A3(n_31763),
+	.B1(n_31720),
+	.B2(n_31727),
+	.Y(n_22185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g750786 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.A2_N(n_22164),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[0]),
+	.B2(n_22157),
+	.Y(n_22184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 g750787 (
+	.A(n_31763),
+	.B(n_32632),
+	.C_N(n_31722),
+	.X(n_22183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g750788 (
+	.A1(brqrv_top_brqrv_dec_dec_i0_instr_d[24]),
+	.A2(brqrv_top_brqrv_dec_dec_i0_instr_d[20]),
+	.B1(brqrv_top_brqrv_dec_dec_i0_instr_d[23]),
+	.Y(n_22182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g750789 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[7]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[11]),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[10]),
+	.X(n_22181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g750790 (
+	.A(n_31829),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[23]),
+	.C(n_32622),
+	.X(n_22180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g750791 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[4]),
+	.B(n_30783),
+	.X(n_30782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g750792 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[14]),
+	.B(n_30523),
+	.X(n_30785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g750793 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[13]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[13]),
+	.Y(n_22179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g750794 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[9]),
+	.A2(n_22159),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[8]),
+	.B2(n_22161),
+	.X(n_22178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g750795 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[3]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[3]),
+	.Y(n_22177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g750796 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[7]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[7]),
+	.Y(n_22176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g750797 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[4]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[4]),
+	.Y(n_22175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g750798 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[11]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[11]),
+	.Y(n_22174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g750799 (
+	.A1(n_22157),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[0]),
+	.B1(n_22158),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[1]),
+	.X(n_22173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g750800 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[12]),
+	.B_N(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[12]),
+	.Y(n_22172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g750801 (
+	.A_N(n_32654),
+	.B(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_ext_m[2]),
+	.Y(n_32537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g750802 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[23]),
+	.B_N(brqrv_top_brqrv_dec_dec_i0_instr_d[20]),
+	.Y(n_22171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g750803 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[3]),
+	.X(n_30784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750804 (
+	.A(n_30523),
+	.Y(n_22170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g750805 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[14]),
+	.B_N(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[14]),
+	.Y(n_22169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750806 (
+	.A(n_22159),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[9]),
+	.Y(n_22168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g750807 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[31]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[30]),
+	.Y(n_22167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g750808 (
+	.A_N(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[5]),
+	.B(n_22156),
+	.Y(n_30783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750809 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_rden_ff),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[31]),
+	.Y(n_30523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750810 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[5]),
+	.Y(n_22166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750811 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[12]),
+	.Y(n_22165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750812 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[2]),
+	.Y(n_22164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750813 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[6]),
+	.Y(n_22163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750814 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[10]),
+	.Y(n_22162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750815 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[8]),
+	.Y(n_22161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750816 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[14]),
+	.Y(n_22160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750817 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[9]),
+	.Y(n_22159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g750818 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[1]),
+	.Y(n_22158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750819 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[0]),
+	.Y(n_22157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750820 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[6]),
+	.Y(n_22156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750821 (
+	.A(brqrv_top_brqrv_ifu_ifu_bp_hist1_f[1]),
+	.B(n_39182),
+	.Y(n_32106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g750822 (
+	.A(n_22155),
+	.B(n_32107),
+	.C(n_22154),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_hist1_f[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g604327 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.sv2v_tmp_BEF15 [3]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.sv2v_tmp_BEF15 [2]),
+	.Y(n_22155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g604328 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank1_rd_data_f [1]),
+	.B(n_12545),
+	.Y(n_22154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g604649 (
+	.A1(n_32241),
+	.A2(n_33076),
+	.B1(n_32242),
+	.B2(n_33077),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.sv2v_tmp_F09CF [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g604650 (
+	.A1(n_32241),
+	.A2(n_33078),
+	.B1(n_32242),
+	.B2(n_33079),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.sv2v_tmp_F09CF [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g604651 (
+	.A(n_32108),
+	.B(n_32246),
+	.Y(n_32241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g604652 (
+	.A(n_32247),
+	.B(n_22153),
+	.Y(n_32242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g604653 (
+	.A_N(n_30472),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[1]),
+	.Y(n_32108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g604654 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[1]),
+	.B(n_30471),
+	.X(n_32247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g604655 (
+	.A_N(n_30473),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[1]),
+	.Y(n_22153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g604656 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[1]),
+	.B(n_30470),
+	.X(n_32246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g604657 (
+	.A_N(n_32236),
+	.B(n_22152),
+	.Y(n_30472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g604658 (
+	.A_N(n_30469),
+	.B(n_32237),
+	.Y(n_30471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g604659 (
+	.A(n_32237),
+	.B(n_30469),
+	.X(n_30473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g604660 (
+	.A(n_22152),
+	.B(n_32236),
+	.Y(n_30470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g604661 (
+	.A(n_22151),
+	.B(n_32110),
+	.C(n_32238),
+	.Y(n_22152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g604662 (
+	.A(n_22151),
+	.B(n_32109),
+	.C(n_32238),
+	.X(n_30469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g604663 (
+	.A(n_30263),
+	.B(n_22147),
+	.C(n_22149),
+	.D(n_22150),
+	.Y(n_22151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g604664 (
+	.A(n_22142),
+	.B(n_22143),
+	.C(n_22148),
+	.D(n_22145),
+	.Y(n_22150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g604665 (
+	.A(n_22146),
+	.B(n_22144),
+	.Y(n_22149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g604666 (
+	.A1_N(brqrv_top_brqrv_exu_i0_br_index_r[5]),
+	.A2_N(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [5]),
+	.B1(brqrv_top_brqrv_exu_i0_br_index_r[5]),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [5]),
+	.Y(n_22148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g604667 (
+	.A1_N(brqrv_top_brqrv_exu_i0_br_index_r[6]),
+	.A2_N(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [6]),
+	.B1(brqrv_top_brqrv_exu_i0_br_index_r[6]),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [6]),
+	.Y(n_22147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g604668 (
+	.A(brqrv_top_brqrv_exu_i0_br_index_r[8]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [8]),
+	.Y(n_22146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g604669 (
+	.A(brqrv_top_brqrv_exu_i0_br_index_r[2]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [2]),
+	.Y(n_22145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g604670 (
+	.A(brqrv_top_brqrv_exu_i0_br_index_r[7]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [7]),
+	.Y(n_22144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g604671 (
+	.A(brqrv_top_brqrv_exu_i0_br_index_r[3]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [3]),
+	.Y(n_22143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g604672 (
+	.A(brqrv_top_brqrv_exu_i0_br_index_r[4]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [4]),
+	.Y(n_22142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g703373 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [1]),
+	.A2(n_22123),
+	.B1(n_22127),
+	.C1(n_22137),
+	.D1(n_22141),
+	.Y(n_32109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g703374 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [1]),
+	.A2(n_22115),
+	.B1(n_22126),
+	.C1(n_22133),
+	.D1(n_22140),
+	.Y(n_32110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g703375 (
+	.A(n_22135),
+	.B(n_22132),
+	.C(n_22138),
+	.D(n_22134),
+	.Y(n_22141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g703376 (
+	.A(n_22136),
+	.B(n_22130),
+	.C(n_22139),
+	.D(n_22131),
+	.Y(n_22140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g703377 (
+	.A1(n_22071),
+	.A2(n_22111),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [5]),
+	.B2(n_22124),
+	.C1(n_22128),
+	.Y(n_22139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g703378 (
+	.A1(n_22034),
+	.A2(n_22110),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [4]),
+	.B2(n_22125),
+	.C1(n_22129),
+	.Y(n_22138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g703379 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [2]),
+	.B(n_22120),
+	.Y(n_22137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g703380 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [4]),
+	.B(n_22122),
+	.Y(n_22136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g703381 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [3]),
+	.B(n_22121),
+	.Y(n_22135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g703382 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [0]),
+	.B(n_22118),
+	.Y(n_22134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g703383 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [2]),
+	.B(n_22119),
+	.Y(n_22133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g703384 (
+	.A1_N(n_22116),
+	.A2_N(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_f [4]),
+	.B1(n_22116),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_f [4]),
+	.Y(n_32237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g703385 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [5]),
+	.B(n_22117),
+	.Y(n_22132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g703386 (
+	.A1_N(n_22114),
+	.A2_N(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_f [4]),
+	.B1(n_22114),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_f [4]),
+	.Y(n_32236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g703387 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [0]),
+	.B(n_22113),
+	.Y(n_22131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g703388 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [3]),
+	.B(n_22112),
+	.Y(n_22130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g703389 (
+	.A(n_22125),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [4]),
+	.Y(n_22129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g703390 (
+	.A(n_22124),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [5]),
+	.Y(n_22128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g703391 (
+	.A(n_22123),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [1]),
+	.Y(n_22127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g703392 (
+	.A(n_22115),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [1]),
+	.Y(n_22126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703393 (
+	.A(n_22108),
+	.B(n_22077),
+	.C(n_21987),
+	.D(n_21988),
+	.Y(n_33079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g703394 (
+	.A(n_21989),
+	.B(n_21986),
+	.C(n_22079),
+	.D(n_22109),
+	.Y(n_22122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g703395 (
+	.A(n_21956),
+	.B(n_21949),
+	.C(n_22066),
+	.D(n_22106),
+	.Y(n_22121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g703396 (
+	.A(n_21947),
+	.B(n_21951),
+	.C(n_22073),
+	.D(n_22105),
+	.Y(n_22125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g703397 (
+	.A(n_21919),
+	.B(n_21920),
+	.C(n_22080),
+	.D(n_22104),
+	.Y(n_22124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703398 (
+	.A(n_22107),
+	.B(n_22085),
+	.C(n_21734),
+	.D(n_22008),
+	.Y(n_22120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g703399 (
+	.A(n_21890),
+	.B(n_21891),
+	.C(n_22063),
+	.D(n_22103),
+	.Y(n_22123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703400 (
+	.A(n_22102),
+	.B(n_22082),
+	.C(n_21889),
+	.D(n_21888),
+	.Y(n_22119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g703401 (
+	.A(n_21832),
+	.B(n_21848),
+	.C(n_22064),
+	.D(n_22100),
+	.Y(n_22118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g703402 (
+	.A(n_21829),
+	.B(n_21837),
+	.C(n_22057),
+	.D(n_22094),
+	.Y(n_22117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703403 (
+	.A(n_22089),
+	.B(n_22025),
+	.C(n_21677),
+	.D(n_21679),
+	.Y(n_33077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703404 (
+	.A(n_22091),
+	.B(n_22030),
+	.C(n_21707),
+	.D(n_21708),
+	.Y(n_33076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703405 (
+	.A(n_22093),
+	.B(n_22084),
+	.C(n_21736),
+	.D(n_21738),
+	.Y(n_33078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703406 (
+	.A(n_22096),
+	.B(n_22083),
+	.C(n_21797),
+	.D(n_21799),
+	.Y(n_22116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g703407 (
+	.A(n_21769),
+	.B(n_21771),
+	.C(n_22042),
+	.D(n_22095),
+	.Y(n_22113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g703408 (
+	.A(n_21831),
+	.B(n_21827),
+	.C(n_22053),
+	.D(n_22097),
+	.Y(n_22115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703409 (
+	.A(n_22101),
+	.B(n_22056),
+	.C(n_21859),
+	.D(n_21860),
+	.Y(n_22114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g703410 (
+	.A(n_21706),
+	.B(n_21709),
+	.C(n_22081),
+	.D(n_22090),
+	.Y(n_22112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703411 (
+	.A(n_22099),
+	.B(n_22040),
+	.C(n_21767),
+	.D(n_21768),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_f [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703412 (
+	.A(n_22098),
+	.B(n_22037),
+	.C(n_21828),
+	.D(n_21826),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_f [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703413 (
+	.A(n_22086),
+	.B(n_22088),
+	.C(n_21946),
+	.D(n_21948),
+	.Y(n_22111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703414 (
+	.A(n_22092),
+	.B(n_21714),
+	.C(n_20391),
+	.D(n_21512),
+	.Y(n_22110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703415 (
+	.A(n_22075),
+	.B(n_22072),
+	.C(n_21964),
+	.D(n_21967),
+	.Y(n_22109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g703416 (
+	.A(n_21976),
+	.B(n_21977),
+	.C(n_22074),
+	.D(n_22087),
+	.Y(n_22108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g703417 (
+	.A(n_21757),
+	.B(n_21725),
+	.C(n_22035),
+	.D(n_22068),
+	.Y(n_22107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703418 (
+	.A(n_22069),
+	.B(n_22061),
+	.C(n_21905),
+	.D(n_21914),
+	.Y(n_22106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703419 (
+	.A(n_22067),
+	.B(n_22070),
+	.C(n_21927),
+	.D(n_21932),
+	.Y(n_22105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703420 (
+	.A(n_22065),
+	.B(n_22062),
+	.C(n_21906),
+	.D(n_21910),
+	.Y(n_22104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703421 (
+	.A(n_22055),
+	.B(n_22059),
+	.C(n_21865),
+	.D(n_21870),
+	.Y(n_22103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g703422 (
+	.A(n_21875),
+	.B(n_21879),
+	.C(n_22060),
+	.D(n_22058),
+	.Y(n_22102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g703423 (
+	.A(n_21845),
+	.B(n_21847),
+	.C(n_22052),
+	.D(n_22054),
+	.Y(n_22101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703424 (
+	.A(n_22049),
+	.B(n_22036),
+	.C(n_22017),
+	.D(n_21755),
+	.Y(n_22100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g703425 (
+	.A(n_21840),
+	.B(n_21756),
+	.C(n_22039),
+	.D(n_22051),
+	.Y(n_22099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g703426 (
+	.A(n_21818),
+	.B(n_21814),
+	.C(n_22046),
+	.D(n_22050),
+	.Y(n_22098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703427 (
+	.A(n_22048),
+	.B(n_22045),
+	.C(n_21804),
+	.D(n_21806),
+	.Y(n_22097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g703428 (
+	.A(n_21786),
+	.B(n_21788),
+	.C(n_22044),
+	.D(n_22041),
+	.Y(n_22096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703429 (
+	.A(n_22038),
+	.B(n_22033),
+	.C(n_21746),
+	.D(n_21743),
+	.Y(n_22095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703430 (
+	.A(n_22043),
+	.B(n_22047),
+	.C(n_21784),
+	.D(n_21792),
+	.Y(n_22094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g703431 (
+	.A(n_21726),
+	.B(n_21724),
+	.C(n_22032),
+	.D(n_22031),
+	.Y(n_22093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g703432 (
+	.A(n_21670),
+	.B(n_22003),
+	.C(n_22076),
+	.D(n_22029),
+	.Y(n_22092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g703433 (
+	.A(n_21698),
+	.B(n_21696),
+	.C(n_22026),
+	.D(n_22028),
+	.Y(n_22091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703434 (
+	.A(n_22024),
+	.B(n_22027),
+	.C(n_21681),
+	.D(n_21687),
+	.Y(n_22090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g703435 (
+	.A(n_22006),
+	.B(n_22007),
+	.C(n_22023),
+	.D(n_22078),
+	.Y(n_22089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g703436 (
+	.A(n_21942),
+	.B(n_22019),
+	.C(n_21945),
+	.D(n_21943),
+	.Y(n_22088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703437 (
+	.A(n_22022),
+	.B(n_21984),
+	.C(n_21985),
+	.D(n_21980),
+	.Y(n_22087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g703438 (
+	.A(n_21934),
+	.B(n_21931),
+	.C(n_22021),
+	.D(n_22020),
+	.Y(n_22086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g703439 (
+	.A(n_21929),
+	.B(n_22013),
+	.C(n_21758),
+	.D(n_21909),
+	.Y(n_22085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g703440 (
+	.A(n_21745),
+	.B(n_21741),
+	.C(n_21742),
+	.D(n_22016),
+	.Y(n_22084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g703441 (
+	.A(n_21803),
+	.B(n_21801),
+	.C(n_21802),
+	.D(n_22015),
+	.Y(n_22083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g703442 (
+	.A(n_21895),
+	.B(n_21894),
+	.C(n_22018),
+	.D(n_21892),
+	.Y(n_22082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703443 (
+	.A(n_22014),
+	.B(n_21719),
+	.C(n_21715),
+	.D(n_21723),
+	.Y(n_22081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703444 (
+	.A(n_22012),
+	.B(n_21923),
+	.C(n_21921),
+	.D(n_21925),
+	.Y(n_22080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703445 (
+	.A(n_22005),
+	.B(n_21997),
+	.C(n_22000),
+	.D(n_21990),
+	.Y(n_22079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703446 (
+	.A(n_21999),
+	.B(n_22002),
+	.C(n_21998),
+	.D(n_22001),
+	.Y(n_22078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g703447 (
+	.A(n_21991),
+	.B(n_21993),
+	.C(n_21995),
+	.D(n_21992),
+	.Y(n_22077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703448 (
+	.A(n_21981),
+	.B(n_21994),
+	.C(n_21973),
+	.D(n_21983),
+	.Y(n_22076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g703449 (
+	.A(n_21982),
+	.B(n_21979),
+	.C(n_21970),
+	.D(n_21974),
+	.Y(n_22075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703450 (
+	.A(n_21969),
+	.B(n_21968),
+	.C(n_21971),
+	.D(n_21972),
+	.Y(n_22074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703451 (
+	.A(n_21961),
+	.B(n_21965),
+	.C(n_21959),
+	.D(n_21955),
+	.Y(n_22073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g703452 (
+	.A(n_21962),
+	.B(n_21963),
+	.C(n_21960),
+	.D(n_21958),
+	.Y(n_22072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703453 (
+	.A(n_21953),
+	.B(n_21950),
+	.C(n_21952),
+	.D(n_21954),
+	.Y(n_22071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g703454 (
+	.A(n_21935),
+	.B(n_21941),
+	.C(n_21944),
+	.D(n_21937),
+	.Y(n_22070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g703455 (
+	.A(n_21940),
+	.B(n_21939),
+	.C(n_21930),
+	.D(n_21924),
+	.Y(n_22069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703456 (
+	.A(n_21928),
+	.B(n_21880),
+	.C(n_21817),
+	.D(n_21849),
+	.Y(n_22068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g703457 (
+	.A(n_21917),
+	.B(n_21922),
+	.C(n_21918),
+	.D(n_21913),
+	.Y(n_22067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703458 (
+	.A(n_22004),
+	.B(n_21975),
+	.C(n_21966),
+	.D(n_21996),
+	.Y(n_22066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g703459 (
+	.A(n_21912),
+	.B(n_21911),
+	.C(n_21916),
+	.D(n_21915),
+	.Y(n_22065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703460 (
+	.A(n_21908),
+	.B(n_21900),
+	.C(n_21868),
+	.D(n_21878),
+	.Y(n_22064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703461 (
+	.A(n_21902),
+	.B(n_21899),
+	.C(n_21907),
+	.D(n_21896),
+	.Y(n_22063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g703462 (
+	.A(n_21903),
+	.B(n_21904),
+	.C(n_21898),
+	.D(n_21901),
+	.Y(n_22062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g703463 (
+	.A(n_21886),
+	.B(n_21877),
+	.C(n_21897),
+	.D(n_21893),
+	.Y(n_22061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703464 (
+	.A(n_21887),
+	.B(n_21882),
+	.C(n_21884),
+	.D(n_21881),
+	.Y(n_22060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g703465 (
+	.A(n_21872),
+	.B(n_21876),
+	.C(n_21885),
+	.D(n_21883),
+	.Y(n_22059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703466 (
+	.A(n_21874),
+	.B(n_21871),
+	.C(n_21873),
+	.D(n_21869),
+	.Y(n_22058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703467 (
+	.A(n_21866),
+	.B(n_21854),
+	.C(n_21844),
+	.D(n_21853),
+	.Y(n_22057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g703468 (
+	.A(n_21861),
+	.B(n_21863),
+	.C(n_21864),
+	.D(n_21867),
+	.Y(n_22056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g703469 (
+	.A(n_21852),
+	.B(n_21862),
+	.C(n_21856),
+	.D(n_21858),
+	.Y(n_22055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703470 (
+	.A(n_21850),
+	.B(n_21851),
+	.C(n_21857),
+	.D(n_21855),
+	.Y(n_22054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703471 (
+	.A(n_21835),
+	.B(n_21839),
+	.C(n_21846),
+	.D(n_21843),
+	.Y(n_22053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703472 (
+	.A(n_21842),
+	.B(n_21841),
+	.C(n_21838),
+	.D(n_21754),
+	.Y(n_22052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703473 (
+	.A(n_21747),
+	.B(n_21750),
+	.C(n_21748),
+	.D(n_21749),
+	.Y(n_22051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703474 (
+	.A(n_21822),
+	.B(n_21819),
+	.C(n_21825),
+	.D(n_21823),
+	.Y(n_22050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g703475 (
+	.A(n_21787),
+	.B(n_21776),
+	.C(n_21816),
+	.D(n_21808),
+	.Y(n_22049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g703476 (
+	.A(n_21824),
+	.B(n_21812),
+	.C(n_21820),
+	.D(n_21815),
+	.Y(n_22048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g703477 (
+	.A(n_21821),
+	.B(n_21813),
+	.C(n_21807),
+	.D(n_21793),
+	.Y(n_22047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703478 (
+	.A(n_21811),
+	.B(n_21810),
+	.C(n_21805),
+	.D(n_21809),
+	.Y(n_22046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g703479 (
+	.A(n_21795),
+	.B(n_21790),
+	.C(n_21798),
+	.D(n_21800),
+	.Y(n_22045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703480 (
+	.A(n_21791),
+	.B(n_21789),
+	.C(n_21796),
+	.D(n_21794),
+	.Y(n_22044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g703481 (
+	.A(n_21777),
+	.B(n_21762),
+	.C(n_21765),
+	.D(n_21752),
+	.Y(n_22043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703482 (
+	.A(n_21778),
+	.B(n_21785),
+	.C(n_21774),
+	.D(n_21781),
+	.Y(n_22042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703483 (
+	.A(n_21779),
+	.B(n_21780),
+	.C(n_21783),
+	.D(n_21782),
+	.Y(n_22041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g703484 (
+	.A(n_21775),
+	.B(n_21770),
+	.C(n_21772),
+	.D(n_21773),
+	.Y(n_22040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703485 (
+	.A(n_21761),
+	.B(n_21766),
+	.C(n_21760),
+	.D(n_21764),
+	.Y(n_22039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g703486 (
+	.A(n_21759),
+	.B(n_21753),
+	.C(n_21751),
+	.D(n_21763),
+	.Y(n_22038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g703487 (
+	.A(n_21834),
+	.B(n_21833),
+	.C(n_21836),
+	.D(n_21830),
+	.Y(n_22037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g703488 (
+	.A(n_21688),
+	.B(n_21699),
+	.C(n_21727),
+	.D(n_21717),
+	.Y(n_22036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703489 (
+	.A(n_21672),
+	.B(n_21978),
+	.C(n_21933),
+	.D(n_21957),
+	.Y(n_22035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703490 (
+	.A(n_21731),
+	.B(n_21744),
+	.C(n_21722),
+	.D(n_21737),
+	.Y(n_22034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g703491 (
+	.A(n_21739),
+	.B(n_21740),
+	.C(n_21729),
+	.D(n_21733),
+	.Y(n_22033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703492 (
+	.A(n_21728),
+	.B(n_21732),
+	.C(n_21730),
+	.D(n_21735),
+	.Y(n_22032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703493 (
+	.A(n_21721),
+	.B(n_21720),
+	.C(n_21716),
+	.D(n_21718),
+	.Y(n_22031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g703494 (
+	.A(n_21710),
+	.B(n_21711),
+	.C(n_21712),
+	.D(n_21713),
+	.Y(n_22030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703495 (
+	.A(n_21694),
+	.B(n_21686),
+	.C(n_21678),
+	.D(n_21701),
+	.Y(n_22029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703496 (
+	.A(n_21705),
+	.B(n_21704),
+	.C(n_21702),
+	.D(n_21700),
+	.Y(n_22028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g703497 (
+	.A(n_21697),
+	.B(n_21703),
+	.C(n_21695),
+	.D(n_21691),
+	.Y(n_22027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703498 (
+	.A(n_21689),
+	.B(n_21692),
+	.C(n_21693),
+	.D(n_21690),
+	.Y(n_22026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g703499 (
+	.A(n_21683),
+	.B(n_21684),
+	.C(n_21682),
+	.D(n_21685),
+	.Y(n_22025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g703500 (
+	.A(n_21676),
+	.B(n_21680),
+	.C(n_21673),
+	.D(n_22009),
+	.Y(n_22024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703501 (
+	.A(n_21675),
+	.B(n_21674),
+	.C(n_21669),
+	.D(n_21671),
+	.Y(n_22023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703502 (
+	.A1(n_20232),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [48]),
+	.B1(n_20199),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [71]),
+	.C1(n_21926),
+	.Y(n_22022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703503 (
+	.A(n_21936),
+	.B(n_21488),
+	.C(n_21180),
+	.D(n_21183),
+	.Y(n_22021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703504 (
+	.A(n_21938),
+	.B(n_21489),
+	.C(n_21194),
+	.D(n_21197),
+	.Y(n_22020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703505 (
+	.A(n_21479),
+	.B(n_21478),
+	.C(n_21204),
+	.D(n_21207),
+	.Y(n_22019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703506 (
+	.A(n_21473),
+	.B(n_21472),
+	.C(n_21036),
+	.D(n_21032),
+	.Y(n_22018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703507 (
+	.A1(n_20232),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [63]),
+	.B1(n_20199),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [86]),
+	.C1(n_22011),
+	.Y(n_22017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703508 (
+	.A(n_21458),
+	.B(n_21457),
+	.C(n_20496),
+	.D(n_20500),
+	.Y(n_22016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703509 (
+	.A(n_21464),
+	.B(n_21463),
+	.C(n_20713),
+	.D(n_20711),
+	.Y(n_22015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703510 (
+	.A1(n_20256),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [112]),
+	.B1(n_20157),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [135]),
+	.C1(n_22010),
+	.Y(n_22014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703511 (
+	.A(n_21454),
+	.B(n_21462),
+	.C(n_20675),
+	.D(n_20701),
+	.Y(n_22013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703512 (
+	.A1(n_11398),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1356]),
+	.B1(n_20226),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1379]),
+	.C1(n_21623),
+	.Y(n_22012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703513 (
+	.A(n_21455),
+	.B(n_21456),
+	.C(n_20484),
+	.Y(n_22011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703514 (
+	.A(n_21451),
+	.B(n_21453),
+	.C(n_20405),
+	.Y(n_22010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703515 (
+	.A(n_21153),
+	.B(n_21441),
+	.C(n_21438),
+	.D(n_21445),
+	.Y(n_22009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703516 (
+	.A1(n_20246),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [203]),
+	.B1(n_20253),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [226]),
+	.C1(n_21631),
+	.Y(n_22008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703517 (
+	.A(n_21440),
+	.B(n_21437),
+	.C(n_21444),
+	.D(n_21442),
+	.Y(n_22007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703518 (
+	.A(n_21435),
+	.B(n_21436),
+	.C(n_21432),
+	.D(n_21434),
+	.Y(n_22006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703519 (
+	.A1(n_20187),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2919]),
+	.B1(n_20250),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2942]),
+	.C1(n_21667),
+	.Y(n_22005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703520 (
+	.A1(n_20233),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1722]),
+	.B1(n_11469),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1745]),
+	.C1(n_21664),
+	.Y(n_22004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703521 (
+	.A(n_21485),
+	.B(n_21484),
+	.C(n_21415),
+	.D(n_21410),
+	.Y(n_22003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703522 (
+	.A1(n_20187),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2899]),
+	.B1(n_20250),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2922]),
+	.C1(n_21666),
+	.Y(n_22002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703523 (
+	.A1(n_11453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2669]),
+	.B1(n_11488),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2692]),
+	.C1(n_21665),
+	.Y(n_22001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703524 (
+	.A1(n_20238),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2229]),
+	.B1(n_20239),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2252]),
+	.C1(n_21663),
+	.Y(n_22000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703525 (
+	.A1(n_11459),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [599]),
+	.B1(n_11581),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [622]),
+	.C1(n_21662),
+	.Y(n_21999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703526 (
+	.A1(n_20153),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [369]),
+	.B1(n_20202),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [392]),
+	.C1(n_21661),
+	.Y(n_21998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703527 (
+	.A1(n_20140),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2735]),
+	.B1(n_11644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2758]),
+	.C1(n_21660),
+	.Y(n_21997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703528 (
+	.A1(n_11435),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [480]),
+	.B1(n_20158),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [503]),
+	.C1(n_21655),
+	.Y(n_21996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703529 (
+	.A(n_21406),
+	.B(n_21405),
+	.C(n_21409),
+	.D(n_20322),
+	.Y(n_21995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703530 (
+	.A1(n_11398),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1334]),
+	.B1(n_20226),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1357]),
+	.C1(n_21659),
+	.Y(n_21994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703531 (
+	.A(n_21403),
+	.B(n_21397),
+	.C(n_21400),
+	.D(n_21402),
+	.Y(n_21993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703532 (
+	.A(n_21393),
+	.B(n_21396),
+	.C(n_21395),
+	.D(n_21390),
+	.Y(n_21992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703533 (
+	.A(n_21385),
+	.B(n_21387),
+	.C(n_21382),
+	.D(n_21384),
+	.Y(n_21991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703534 (
+	.A1(n_11470),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1677]),
+	.B1(n_11445),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1700]),
+	.C1(n_21658),
+	.Y(n_21990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703535 (
+	.A(n_21378),
+	.B(n_21374),
+	.C(n_21375),
+	.D(n_21381),
+	.Y(n_21989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703536 (
+	.A1(n_11453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2670]),
+	.B1(n_11488),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2693]),
+	.C1(n_21657),
+	.Y(n_21988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703537 (
+	.A1(n_20196),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2394]),
+	.B1(n_20161),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2417]),
+	.C1(n_21656),
+	.Y(n_21987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703538 (
+	.A(n_21368),
+	.B(n_21366),
+	.C(n_21364),
+	.D(n_21370),
+	.Y(n_21986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703539 (
+	.A1(n_20170),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2072]),
+	.B1(n_20176),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2095]),
+	.C1(n_21653),
+	.Y(n_21985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703540 (
+	.A1(n_20245),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1934]),
+	.B1(n_20229),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1957]),
+	.C1(n_21652),
+	.Y(n_21984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703541 (
+	.A1(n_11640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1150]),
+	.B1(n_11483),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1173]),
+	.C1(n_21654),
+	.Y(n_21983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703542 (
+	.A(n_21354),
+	.B(n_21357),
+	.C(n_21350),
+	.D(n_21351),
+	.Y(n_21982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703543 (
+	.A1(n_20170),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2070]),
+	.B1(n_20176),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2093]),
+	.C1(n_21650),
+	.Y(n_21981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703544 (
+	.A1(n_20237),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [232]),
+	.B1(n_20240),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [255]),
+	.C1(n_21651),
+	.Y(n_21980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703545 (
+	.A(n_21347),
+	.B(n_21336),
+	.C(n_21339),
+	.D(n_21344),
+	.Y(n_21979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703546 (
+	.A1(n_20255),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2365]),
+	.B1(n_20249),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2388]),
+	.C1(n_21642),
+	.Y(n_21978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703547 (
+	.A(n_21343),
+	.B(n_21340),
+	.C(n_21346),
+	.D(n_21342),
+	.Y(n_21977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703548 (
+	.A(n_21338),
+	.B(n_21337),
+	.C(n_21334),
+	.D(n_21333),
+	.Y(n_21976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703549 (
+	.A1(n_11404),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [664]),
+	.B1(n_20244),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [687]),
+	.C1(n_21649),
+	.Y(n_21975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703550 (
+	.A(n_21330),
+	.B(n_21325),
+	.C(n_21328),
+	.D(n_20529),
+	.Y(n_21974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703551 (
+	.A1(n_20245),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1932]),
+	.B1(n_20229),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1955]),
+	.C1(n_21646),
+	.Y(n_21973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703552 (
+	.A1(n_20203),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1382]),
+	.B1(n_20210),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1405]),
+	.C1(n_21648),
+	.Y(n_21972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703553 (
+	.A1(n_11432),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1198]),
+	.B1(n_11439),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1221]),
+	.C1(n_21647),
+	.Y(n_21971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703554 (
+	.A(n_21320),
+	.B(n_21318),
+	.C(n_21313),
+	.D(n_21312),
+	.Y(n_21970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703555 (
+	.A1(n_20191),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [554]),
+	.B1(n_20195),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [577]),
+	.C1(n_21645),
+	.Y(n_21969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703556 (
+	.A1(n_11435),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [462]),
+	.B1(n_20158),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [485]),
+	.C1(n_21644),
+	.Y(n_21968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703557 (
+	.A1(n_20246),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [205]),
+	.B1(n_20253),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [228]),
+	.C1(n_21643),
+	.Y(n_21967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703558 (
+	.A1(n_20262),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [158]),
+	.B1(n_12498),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [181]),
+	.C1(n_21639),
+	.Y(n_21966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703559 (
+	.A1(n_11398),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1355]),
+	.B1(n_20226),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1378]),
+	.C1(n_21641),
+	.Y(n_21965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703560 (
+	.A1(n_20262),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [159]),
+	.B1(n_12498),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [182]),
+	.C1(n_21640),
+	.Y(n_21964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703561 (
+	.A(n_21297),
+	.B(n_21296),
+	.C(n_21293),
+	.D(n_21294),
+	.Y(n_21963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703562 (
+	.A(n_21292),
+	.B(n_21287),
+	.C(n_21290),
+	.D(n_21289),
+	.Y(n_21962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703563 (
+	.A1(n_20260),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1999]),
+	.B1(n_20261),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2022]),
+	.C1(n_21638),
+	.Y(n_21961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703564 (
+	.A(n_21284),
+	.B(n_21283),
+	.C(n_21282),
+	.D(n_21280),
+	.Y(n_21960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703565 (
+	.A1(n_11479),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2183]),
+	.B1(n_20165),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2206]),
+	.C1(n_21637),
+	.Y(n_21959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703566 (
+	.A(n_21279),
+	.B(n_21277),
+	.C(n_21275),
+	.D(n_21273),
+	.Y(n_21958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703567 (
+	.A1(n_20233),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1721]),
+	.B1(n_11469),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1744]),
+	.C1(n_21628),
+	.Y(n_21957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703568 (
+	.A(n_21271),
+	.B(n_21252),
+	.C(n_21258),
+	.D(n_21265),
+	.Y(n_21956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703569 (
+	.A1(n_20145),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [803]),
+	.B1(n_20162),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [826]),
+	.C1(n_21635),
+	.Y(n_21955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703570 (
+	.A1(n_11420),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1886]),
+	.B1(n_20211),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1909]),
+	.C1(n_21636),
+	.Y(n_21954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703571 (
+	.A1(n_20180),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1058]),
+	.B1(n_20151),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1081]),
+	.C1(n_21634),
+	.Y(n_21953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703572 (
+	.A1(n_20221),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1794]),
+	.B1(n_20223),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1817]),
+	.C1(n_21633),
+	.Y(n_21952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703573 (
+	.A(n_21251),
+	.B(n_21255),
+	.C(n_21248),
+	.D(n_21245),
+	.Y(n_21951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703574 (
+	.A1(n_11400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [874]),
+	.B1(n_11490),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [897]),
+	.C1(n_21632),
+	.Y(n_21950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703575 (
+	.A(n_21231),
+	.B(n_21246),
+	.C(n_21239),
+	.D(n_21219),
+	.Y(n_21949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703576 (
+	.A1(n_20212),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1610]),
+	.B1(n_20214),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1633]),
+	.C1(n_21630),
+	.Y(n_21948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703577 (
+	.A(n_21232),
+	.B(n_21241),
+	.C(n_21234),
+	.D(n_21238),
+	.Y(n_21947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703578 (
+	.A1(n_11479),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2162]),
+	.B1(n_20165),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2185]),
+	.C1(n_21629),
+	.Y(n_21946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703579 (
+	.A(n_21230),
+	.B(n_21227),
+	.C(n_21229),
+	.D(n_21228),
+	.Y(n_21945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703580 (
+	.A(n_21225),
+	.B(n_21224),
+	.C(n_21218),
+	.D(n_21221),
+	.Y(n_21944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703581 (
+	.A(n_21223),
+	.B(n_21226),
+	.C(n_21220),
+	.D(n_21222),
+	.Y(n_21943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703582 (
+	.A(n_21215),
+	.B(n_21217),
+	.C(n_21212),
+	.D(n_21211),
+	.Y(n_21942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703583 (
+	.A(n_21213),
+	.B(n_21209),
+	.C(n_21203),
+	.D(n_21205),
+	.Y(n_21941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703584 (
+	.A(n_21216),
+	.B(n_21208),
+	.C(n_21201),
+	.D(n_21195),
+	.Y(n_21940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703585 (
+	.A(n_21184),
+	.B(n_21181),
+	.C(n_21174),
+	.D(n_21170),
+	.Y(n_21939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703586 (
+	.A1(n_11622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2530]),
+	.B1(n_11448),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2553]),
+	.C1(n_21627),
+	.Y(n_21938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703587 (
+	.A(n_21199),
+	.B(n_21196),
+	.C(n_21192),
+	.D(n_21189),
+	.Y(n_21937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703588 (
+	.A1(n_11398),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1334]),
+	.B1(n_20226),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1357]),
+	.C1(n_21626),
+	.Y(n_21936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703589 (
+	.A(n_21188),
+	.B(n_21182),
+	.C(n_21178),
+	.D(n_21177),
+	.Y(n_21935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703590 (
+	.A(n_21175),
+	.B(n_21176),
+	.C(n_21172),
+	.D(n_21173),
+	.Y(n_21934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703591 (
+	.A1(n_20212),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1629]),
+	.B1(n_20214),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1652]),
+	.C1(n_21668),
+	.Y(n_21933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703592 (
+	.A1(n_11436),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [297]),
+	.B1(n_20219),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [320]),
+	.C1(n_21625),
+	.Y(n_21932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703593 (
+	.A(n_21165),
+	.B(n_21171),
+	.C(n_21167),
+	.D(n_21168),
+	.Y(n_21931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703594 (
+	.A(n_21158),
+	.B(n_21164),
+	.C(n_21151),
+	.D(n_21146),
+	.Y(n_21930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703595 (
+	.A(n_20776),
+	.B(n_20943),
+	.C(n_20388),
+	.D(n_21109),
+	.Y(n_21929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703596 (
+	.A1(n_20203),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1399]),
+	.B1(n_20210),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1422]),
+	.C1(n_21618),
+	.Y(n_21928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703597 (
+	.A1(n_11434),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [21]),
+	.B1(n_11403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [44]),
+	.C1(n_21622),
+	.Y(n_21927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703598 (
+	.A(n_21483),
+	.B(n_21482),
+	.C(n_21349),
+	.Y(n_21926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703599 (
+	.A1(n_11420),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1908]),
+	.B1(n_20211),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1931]),
+	.C1(n_21621),
+	.Y(n_21925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703600 (
+	.A(n_21132),
+	.B(n_21140),
+	.C(n_21119),
+	.D(n_21128),
+	.Y(n_21924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703601 (
+	.A1(n_20170),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2092]),
+	.B1(n_20176),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2115]),
+	.C1(n_21620),
+	.Y(n_21923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703602 (
+	.A(n_21147),
+	.B(n_21149),
+	.C(n_21143),
+	.D(n_21136),
+	.Y(n_21922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703603 (
+	.A1(n_20205),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1540]),
+	.B1(n_20206),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1563]),
+	.C1(n_21619),
+	.Y(n_21921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703604 (
+	.A(n_21133),
+	.B(n_21137),
+	.C(n_21138),
+	.D(n_21134),
+	.Y(n_21920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703605 (
+	.A(n_21129),
+	.B(n_21131),
+	.C(n_21125),
+	.D(n_21126),
+	.Y(n_21919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703606 (
+	.A(n_21130),
+	.B(n_21135),
+	.C(n_21124),
+	.D(n_21127),
+	.Y(n_21918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703607 (
+	.A(n_21121),
+	.B(n_21117),
+	.C(n_21113),
+	.D(n_21108),
+	.Y(n_21917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703608 (
+	.A(n_21123),
+	.B(n_21122),
+	.C(n_21120),
+	.D(n_21118),
+	.Y(n_21916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703609 (
+	.A(n_21116),
+	.B(n_21112),
+	.C(n_21110),
+	.D(n_21115),
+	.Y(n_21915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703610 (
+	.A1(n_11637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2872]),
+	.B1(n_20178),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2895]),
+	.C1(n_21617),
+	.Y(n_21914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703611 (
+	.A(n_21102),
+	.B(n_21105),
+	.C(n_21097),
+	.D(n_21100),
+	.Y(n_21913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703612 (
+	.A(n_21107),
+	.B(n_21106),
+	.C(n_21103),
+	.D(n_21104),
+	.Y(n_21912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703613 (
+	.A(n_21476),
+	.B(n_21475),
+	.C(n_21099),
+	.D(n_21098),
+	.Y(n_21911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703614 (
+	.A1(n_11622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2552]),
+	.B1(n_11448),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2575]),
+	.C1(n_21616),
+	.Y(n_21910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703615 (
+	.A(n_20810),
+	.B(n_20870),
+	.C(n_20952),
+	.D(n_21012),
+	.Y(n_21909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703616 (
+	.A1(n_20141),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2593]),
+	.B1(n_11554),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2616]),
+	.C1(n_21613),
+	.Y(n_21908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703617 (
+	.A1(n_20247),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2272]),
+	.B1(n_20248),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2295]),
+	.C1(n_21614),
+	.Y(n_21907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703618 (
+	.A1(n_20247),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2276]),
+	.B1(n_20248),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2299]),
+	.C1(n_21615),
+	.Y(n_21906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703619 (
+	.A1(n_20141),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2596]),
+	.B1(n_11554),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2619]),
+	.C1(n_21612),
+	.Y(n_21905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703620 (
+	.A(n_21083),
+	.B(n_21085),
+	.C(n_21080),
+	.D(n_21082),
+	.Y(n_21904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703621 (
+	.A(n_21078),
+	.B(n_21077),
+	.C(n_21075),
+	.D(n_21074),
+	.Y(n_21903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703622 (
+	.A1(n_11459),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [616]),
+	.B1(n_11581),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [639]),
+	.C1(n_21611),
+	.Y(n_21902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703623 (
+	.A(n_21070),
+	.B(n_21066),
+	.C(n_21069),
+	.D(n_21068),
+	.Y(n_21901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703624 (
+	.A1(n_11423),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2041]),
+	.B1(n_20168),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2064]),
+	.C1(n_21607),
+	.Y(n_21900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703625 (
+	.A1(n_20204),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2502]),
+	.B1(n_11547),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2525]),
+	.C1(n_21610),
+	.Y(n_21899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703626 (
+	.A(n_21065),
+	.B(n_21060),
+	.C(n_21064),
+	.D(n_21062),
+	.Y(n_21898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703627 (
+	.A(n_21049),
+	.B(n_21056),
+	.C(n_21042),
+	.D(n_21039),
+	.Y(n_21897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703628 (
+	.A1(n_20262),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [156]),
+	.B1(n_12498),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [179]),
+	.C1(n_21609),
+	.Y(n_21896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703629 (
+	.A(n_21054),
+	.B(n_21055),
+	.C(n_21052),
+	.D(n_21051),
+	.Y(n_21895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703630 (
+	.A(n_21045),
+	.B(n_21048),
+	.C(n_21050),
+	.D(n_21047),
+	.Y(n_21894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703631 (
+	.A(n_21027),
+	.B(n_21034),
+	.C(n_21021),
+	.D(n_21016),
+	.Y(n_21893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703632 (
+	.A(n_21041),
+	.B(n_21037),
+	.C(n_21043),
+	.D(n_21040),
+	.Y(n_21892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703633 (
+	.A(n_21035),
+	.B(n_21038),
+	.C(n_21033),
+	.D(n_21030),
+	.Y(n_21891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703634 (
+	.A(n_21026),
+	.B(n_21020),
+	.C(n_21018),
+	.D(n_21024),
+	.Y(n_21890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703635 (
+	.A1(n_20153),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [387]),
+	.B1(n_20202),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [410]),
+	.C1(n_21608),
+	.Y(n_21889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703636 (
+	.A1(n_20246),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [203]),
+	.B1(n_20253),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [226]),
+	.C1(n_21606),
+	.Y(n_21888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703637 (
+	.A1(n_11479),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2181]),
+	.B1(n_20165),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2204]),
+	.C1(n_21605),
+	.Y(n_21887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703638 (
+	.A(n_21007),
+	.B(n_21000),
+	.C(n_20987),
+	.D(n_20994),
+	.Y(n_21886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703639 (
+	.A(n_21013),
+	.B(n_21004),
+	.C(n_21005),
+	.D(n_21011),
+	.Y(n_21885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703640 (
+	.A1(n_11420),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1905]),
+	.B1(n_20211),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1928]),
+	.C1(n_21604),
+	.Y(n_21884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703641 (
+	.A(n_20996),
+	.B(n_20991),
+	.C(n_20992),
+	.D(n_20999),
+	.Y(n_21883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703642 (
+	.A1(n_20180),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1077]),
+	.B1(n_20151),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1100]),
+	.C1(n_21603),
+	.Y(n_21882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703643 (
+	.A1(n_20259),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [847]),
+	.B1(n_11489),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [870]),
+	.C1(n_21602),
+	.Y(n_21881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703644 (
+	.A1(n_11431),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1261]),
+	.B1(n_20254),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1284]),
+	.C1(n_21595),
+	.Y(n_21880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703645 (
+	.A(n_20990),
+	.B(n_20988),
+	.C(n_20985),
+	.D(n_20989),
+	.Y(n_21879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703646 (
+	.A1(n_20196),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2409]),
+	.B1(n_20161),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2432]),
+	.C1(n_21601),
+	.Y(n_21878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703647 (
+	.A(n_20973),
+	.B(n_20967),
+	.C(n_20962),
+	.D(n_20980),
+	.Y(n_21877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703648 (
+	.A(n_20977),
+	.B(n_20982),
+	.C(n_20978),
+	.D(n_20986),
+	.Y(n_21876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703649 (
+	.A(n_20983),
+	.B(n_20984),
+	.C(n_20981),
+	.D(n_20979),
+	.Y(n_21875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703650 (
+	.A1(n_20163),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2779]),
+	.B1(n_20149),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2802]),
+	.C1(n_21600),
+	.Y(n_21874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703651 (
+	.A1(n_11632),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2641]),
+	.B1(n_20169),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2664]),
+	.C1(n_21599),
+	.Y(n_21873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703652 (
+	.A(n_20972),
+	.B(n_20964),
+	.C(n_20966),
+	.D(n_20970),
+	.Y(n_21872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703653 (
+	.A1(n_20242),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1307]),
+	.B1(n_20189),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1330]),
+	.C1(n_21598),
+	.Y(n_21871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703654 (
+	.A1(n_11398),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1352]),
+	.B1(n_20226),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1375]),
+	.C1(n_21597),
+	.Y(n_21870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703655 (
+	.A1(n_20184),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1123]),
+	.B1(n_11485),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1146]),
+	.C1(n_21596),
+	.Y(n_21869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703656 (
+	.A1(n_11420),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1903]),
+	.B1(n_20211),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1926]),
+	.C1(n_21592),
+	.Y(n_21868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703657 (
+	.A(n_20946),
+	.B(n_20950),
+	.C(n_20948),
+	.D(n_20947),
+	.Y(n_21867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703658 (
+	.A1(n_11423),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2046]),
+	.B1(n_20168),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2069]),
+	.C1(n_21593),
+	.Y(n_21866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703659 (
+	.A1(n_11431),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1260]),
+	.B1(n_20254),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1283]),
+	.C1(n_21594),
+	.Y(n_21865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703660 (
+	.A(n_20938),
+	.B(n_20942),
+	.C(n_20940),
+	.D(n_20944),
+	.Y(n_21864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703661 (
+	.A(n_20931),
+	.B(n_20935),
+	.C(n_20937),
+	.D(n_20933),
+	.Y(n_21863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703662 (
+	.A(n_20930),
+	.B(n_20924),
+	.C(n_20927),
+	.D(n_20932),
+	.Y(n_21862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703663 (
+	.A(n_21470),
+	.B(n_21471),
+	.C(n_20928),
+	.D(n_20926),
+	.Y(n_21861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703664 (
+	.A1(n_11632),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2625]),
+	.B1(n_20169),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2648]),
+	.C1(n_21591),
+	.Y(n_21860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703665 (
+	.A1(n_20246),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [187]),
+	.B1(n_20253),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [210]),
+	.C1(n_21590),
+	.Y(n_21859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703666 (
+	.A(n_20921),
+	.B(n_20913),
+	.C(n_20918),
+	.D(n_20911),
+	.Y(n_21858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703667 (
+	.A1(n_20154),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1015]),
+	.B1(n_20142),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1038]),
+	.C1(n_21588),
+	.Y(n_21857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703668 (
+	.A(n_20901),
+	.B(n_20898),
+	.C(n_20904),
+	.D(n_20908),
+	.Y(n_21856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703669 (
+	.A1(n_20145),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [785]),
+	.B1(n_20162),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [808]),
+	.C1(n_21587),
+	.Y(n_21855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703670 (
+	.A1(n_20153),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [390]),
+	.B1(n_20202),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [413]),
+	.C1(n_21589),
+	.Y(n_21854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703671 (
+	.A1(n_11404),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [666]),
+	.B1(n_20244),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [689]),
+	.C1(n_21584),
+	.Y(n_21853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703672 (
+	.A(n_20895),
+	.B(n_20892),
+	.C(n_20886),
+	.D(n_20889),
+	.Y(n_21852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703673 (
+	.A1(n_20225),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1751]),
+	.B1(n_20231),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1774]),
+	.C1(n_21586),
+	.Y(n_21851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703674 (
+	.A1(n_20205),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1521]),
+	.B1(n_20206),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1544]),
+	.C1(n_21585),
+	.Y(n_21850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703675 (
+	.A1(n_20170),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2089]),
+	.B1(n_20176),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2112]),
+	.C1(n_21563),
+	.Y(n_21849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703676 (
+	.A(n_20868),
+	.B(n_20846),
+	.C(n_20859),
+	.D(n_20883),
+	.Y(n_21848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703677 (
+	.A(n_20885),
+	.B(n_20881),
+	.C(n_20884),
+	.D(n_20879),
+	.Y(n_21847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703678 (
+	.A1(n_11479),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2180]),
+	.B1(n_20165),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2203]),
+	.C1(n_21583),
+	.Y(n_21846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703679 (
+	.A(n_20876),
+	.B(n_20878),
+	.C(n_20873),
+	.D(n_20872),
+	.Y(n_21845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703680 (
+	.A1(n_20262),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [160]),
+	.B1(n_12498),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [183]),
+	.C1(n_21579),
+	.Y(n_21844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703681 (
+	.A1(n_20140),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2732]),
+	.B1(n_11644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2755]),
+	.C1(n_21581),
+	.Y(n_21843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703682 (
+	.A1(n_20236),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1429]),
+	.B1(n_20197),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1452]),
+	.C1(n_21582),
+	.Y(n_21842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703683 (
+	.A1(n_11432),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1199]),
+	.B1(n_11439),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1222]),
+	.C1(n_21580),
+	.Y(n_21841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703684 (
+	.A(n_21460),
+	.B(n_21461),
+	.C(n_20550),
+	.D(n_20548),
+	.Y(n_21840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703685 (
+	.A1(n_20187),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2916]),
+	.B1(n_20250),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2939]),
+	.C1(n_21577),
+	.Y(n_21839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703686 (
+	.A1(n_20247),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2257]),
+	.B1(n_20248),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2280]),
+	.C1(n_21576),
+	.Y(n_21838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703687 (
+	.A(n_20844),
+	.B(n_20829),
+	.C(n_20839),
+	.D(n_20828),
+	.Y(n_21837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703688 (
+	.A(n_20847),
+	.B(n_20845),
+	.C(n_20842),
+	.D(n_20841),
+	.Y(n_21836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703689 (
+	.A1(n_20255),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2364]),
+	.B1(n_20249),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2387]),
+	.C1(n_21575),
+	.Y(n_21835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703690 (
+	.A(n_20840),
+	.B(n_20835),
+	.C(n_20838),
+	.D(n_20836),
+	.Y(n_21834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703691 (
+	.A(n_20826),
+	.B(n_20833),
+	.C(n_20827),
+	.D(n_20830),
+	.Y(n_21833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703692 (
+	.A(n_20818),
+	.B(n_20832),
+	.C(n_20803),
+	.D(n_20790),
+	.Y(n_21832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703693 (
+	.A(n_20825),
+	.B(n_20816),
+	.C(n_20819),
+	.D(n_20823),
+	.Y(n_21831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703694 (
+	.A(n_20821),
+	.B(n_20824),
+	.C(n_20822),
+	.D(n_20820),
+	.Y(n_21830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703695 (
+	.A(n_20809),
+	.B(n_20814),
+	.C(n_20799),
+	.D(n_20800),
+	.Y(n_21829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703696 (
+	.A1(n_11632),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2626]),
+	.B1(n_20169),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2649]),
+	.C1(n_21574),
+	.Y(n_21828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703697 (
+	.A(n_20804),
+	.B(n_20802),
+	.C(n_20807),
+	.D(n_20811),
+	.Y(n_21827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703698 (
+	.A1(n_20251),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2442]),
+	.B1(n_20258),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2465]),
+	.C1(n_21573),
+	.Y(n_21826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703699 (
+	.A1(n_11479),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2166]),
+	.B1(n_20165),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2189]),
+	.C1(n_21572),
+	.Y(n_21825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703700 (
+	.A(n_20796),
+	.B(n_20794),
+	.C(n_20791),
+	.D(n_20788),
+	.Y(n_21824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703701 (
+	.A1(n_20260),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1982]),
+	.B1(n_20261),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2005]),
+	.C1(n_21571),
+	.Y(n_21823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703702 (
+	.A1(n_20191),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [556]),
+	.B1(n_20195),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [579]),
+	.C1(n_21570),
+	.Y(n_21822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703703 (
+	.A(n_20787),
+	.B(n_20765),
+	.C(n_20779),
+	.D(n_20767),
+	.Y(n_21821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703704 (
+	.A(n_20783),
+	.B(n_20777),
+	.C(n_20781),
+	.D(n_20772),
+	.Y(n_21820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703705 (
+	.A1(n_20153),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [372]),
+	.B1(n_20202),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [395]),
+	.C1(n_21569),
+	.Y(n_21819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703706 (
+	.A(n_20773),
+	.B(n_20775),
+	.C(n_20768),
+	.D(n_20770),
+	.Y(n_21818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703707 (
+	.A1(n_20260),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1997]),
+	.B1(n_20261),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2020]),
+	.C1(n_21554),
+	.Y(n_21817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703708 (
+	.A(n_20735),
+	.B(n_20769),
+	.C(n_20746),
+	.D(n_20760),
+	.Y(n_21816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703709 (
+	.A(n_20766),
+	.B(n_20761),
+	.C(n_20759),
+	.D(n_20764),
+	.Y(n_21815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703710 (
+	.A(n_21468),
+	.B(n_21467),
+	.C(n_20763),
+	.D(n_20762),
+	.Y(n_21814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703711 (
+	.A(n_20757),
+	.B(n_20742),
+	.C(n_20753),
+	.D(n_20745),
+	.Y(n_21813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703712 (
+	.A(n_21466),
+	.B(n_21465),
+	.C(n_20750),
+	.D(n_20749),
+	.Y(n_21812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703713 (
+	.A1(n_20233),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1706]),
+	.B1(n_11469),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1729]),
+	.C1(n_21568),
+	.Y(n_21811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703714 (
+	.A1(n_20208),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1476]),
+	.B1(n_20209),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1499]),
+	.C1(n_21567),
+	.Y(n_21810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703715 (
+	.A1(n_20143),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [970]),
+	.B1(n_20144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [993]),
+	.C1(n_21566),
+	.Y(n_21809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703716 (
+	.A(n_20680),
+	.B(n_20705),
+	.C(n_20719),
+	.D(n_20682),
+	.Y(n_21808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703717 (
+	.A(n_20732),
+	.B(n_20728),
+	.C(n_20714),
+	.D(n_20717),
+	.Y(n_21807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703718 (
+	.A1(n_20203),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1398]),
+	.B1(n_20210),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1421]),
+	.C1(n_21564),
+	.Y(n_21806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703719 (
+	.A1(n_20259),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [832]),
+	.B1(n_11489),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [855]),
+	.C1(n_21565),
+	.Y(n_21805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703720 (
+	.A1(n_11431),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1260]),
+	.B1(n_20254),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1283]),
+	.C1(n_21562),
+	.Y(n_21804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703721 (
+	.A(n_20733),
+	.B(n_20730),
+	.C(n_20731),
+	.D(n_20736),
+	.Y(n_21803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703722 (
+	.A(n_20724),
+	.B(n_20729),
+	.C(n_20725),
+	.D(n_20726),
+	.Y(n_21802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703723 (
+	.A(n_20722),
+	.B(n_20716),
+	.C(n_20718),
+	.D(n_20720),
+	.Y(n_21801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703724 (
+	.A(n_20710),
+	.B(n_20715),
+	.C(n_20712),
+	.D(n_20706),
+	.Y(n_21800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703725 (
+	.A1(n_11435),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [463]),
+	.B1(n_20158),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [486]),
+	.C1(n_21561),
+	.Y(n_21799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703726 (
+	.A(n_20702),
+	.B(n_20698),
+	.C(n_20695),
+	.D(n_20694),
+	.Y(n_21798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703727 (
+	.A1(n_11436),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [279]),
+	.B1(n_20219),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [302]),
+	.C1(n_21560),
+	.Y(n_21797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703728 (
+	.A1(n_20242),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1291]),
+	.B1(n_20189),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1314]),
+	.C1(n_21559),
+	.Y(n_21796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703729 (
+	.A(n_20687),
+	.B(n_20688),
+	.C(n_20683),
+	.D(n_20681),
+	.Y(n_21795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703730 (
+	.A1(n_20184),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1107]),
+	.B1(n_11485),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1130]),
+	.C1(n_21558),
+	.Y(n_21794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703731 (
+	.A(n_20708),
+	.B(n_20700),
+	.C(n_20691),
+	.D(n_20693),
+	.Y(n_21793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703732 (
+	.A1(n_20180),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1080]),
+	.B1(n_20151),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1103]),
+	.C1(n_21555),
+	.Y(n_21792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703733 (
+	.A1(n_11423),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2027]),
+	.B1(n_20168),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2050]),
+	.C1(n_21557),
+	.Y(n_21791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703734 (
+	.A(n_20677),
+	.B(n_20666),
+	.C(n_20670),
+	.D(n_20674),
+	.Y(n_21790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703735 (
+	.A1(n_20260),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1981]),
+	.B1(n_20261),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2004]),
+	.C1(n_21556),
+	.Y(n_21789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703736 (
+	.A(n_20668),
+	.B(n_20661),
+	.C(n_20664),
+	.D(n_20665),
+	.Y(n_21788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703737 (
+	.A(n_20663),
+	.B(n_20654),
+	.C(n_20627),
+	.D(n_20640),
+	.Y(n_21787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703738 (
+	.A(n_20660),
+	.B(n_20656),
+	.C(n_20657),
+	.D(n_20658),
+	.Y(n_21786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703739 (
+	.A1(n_20215),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [937]),
+	.B1(n_20138),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [960]),
+	.C1(n_21553),
+	.Y(n_21785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703740 (
+	.A1(n_20147),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [758]),
+	.B1(n_20148),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [781]),
+	.C1(n_21551),
+	.Y(n_21784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703741 (
+	.A1(n_20196),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2395]),
+	.B1(n_20161),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2418]),
+	.C1(n_21552),
+	.Y(n_21783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703742 (
+	.A1(n_20247),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2257]),
+	.B1(n_20248),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2280]),
+	.C1(n_21550),
+	.Y(n_21782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703743 (
+	.A1(n_11420),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1903]),
+	.B1(n_20211),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1926]),
+	.C1(n_21549),
+	.Y(n_21781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703744 (
+	.A1(n_11470),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1659]),
+	.B1(n_11445),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1682]),
+	.C1(n_21548),
+	.Y(n_21780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703745 (
+	.A1(n_20208),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1475]),
+	.B1(n_20209),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1498]),
+	.C1(n_21547),
+	.Y(n_21779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703746 (
+	.A1(n_11479),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2179]),
+	.B1(n_20165),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2202]),
+	.C1(n_21546),
+	.Y(n_21778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703747 (
+	.A(n_20604),
+	.B(n_20622),
+	.C(n_20609),
+	.D(n_20618),
+	.Y(n_21777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703748 (
+	.A(n_20586),
+	.B(n_20619),
+	.C(n_20574),
+	.D(n_20599),
+	.Y(n_21776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703749 (
+	.A(n_20630),
+	.B(n_20624),
+	.C(n_20623),
+	.D(n_20626),
+	.Y(n_21775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703750 (
+	.A1(n_20212),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1627]),
+	.B1(n_20214),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1650]),
+	.C1(n_21545),
+	.Y(n_21774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703751 (
+	.A(n_20617),
+	.B(n_20615),
+	.C(n_20620),
+	.D(n_20621),
+	.Y(n_21773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703752 (
+	.A(n_20611),
+	.B(n_20610),
+	.C(n_20614),
+	.D(n_20612),
+	.Y(n_21772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703753 (
+	.A(n_20593),
+	.B(n_20606),
+	.C(n_20602),
+	.D(n_20598),
+	.Y(n_21771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703754 (
+	.A(n_20603),
+	.B(n_20607),
+	.C(n_20605),
+	.D(n_20601),
+	.Y(n_21770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703755 (
+	.A(n_20588),
+	.B(n_20591),
+	.C(n_20585),
+	.D(n_20579),
+	.Y(n_21769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703756 (
+	.A1(n_11640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1154]),
+	.B1(n_11483),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1177]),
+	.C1(n_21544),
+	.Y(n_21768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703757 (
+	.A1(n_20180),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1062]),
+	.B1(n_20151),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1085]),
+	.C1(n_21543),
+	.Y(n_21767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703758 (
+	.A1(n_11622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2534]),
+	.B1(n_11448),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2557]),
+	.C1(n_21542),
+	.Y(n_21766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703759 (
+	.A(n_20580),
+	.B(n_20596),
+	.C(n_20592),
+	.D(n_20584),
+	.Y(n_21765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703760 (
+	.A1(n_20238),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2212]),
+	.B1(n_20239),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2235]),
+	.C1(n_21541),
+	.Y(n_21764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703761 (
+	.A(n_20570),
+	.B(n_20569),
+	.C(n_20577),
+	.D(n_20573),
+	.Y(n_21763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703762 (
+	.A(n_20557),
+	.B(n_20566),
+	.C(n_20571),
+	.D(n_20551),
+	.Y(n_21762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703763 (
+	.A1(n_20191),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [556]),
+	.B1(n_20195),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [579]),
+	.C1(n_21540),
+	.Y(n_21761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703764 (
+	.A1(n_20153),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [372]),
+	.B1(n_20202),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [395]),
+	.C1(n_21539),
+	.Y(n_21760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703765 (
+	.A(n_20559),
+	.B(n_20562),
+	.C(n_20555),
+	.D(n_20565),
+	.Y(n_21759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703766 (
+	.A(n_21308),
+	.B(n_21206),
+	.C(n_21114),
+	.D(n_20283),
+	.Y(n_21758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703767 (
+	.A(n_20556),
+	.B(n_20459),
+	.C(n_20471),
+	.D(n_20513),
+	.Y(n_21757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703768 (
+	.A(n_20553),
+	.B(n_20554),
+	.C(n_20558),
+	.D(n_20856),
+	.Y(n_21756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703769 (
+	.A1(n_20237),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [247]),
+	.B1(n_20240),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [270]),
+	.C1(n_21537),
+	.Y(n_21755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703770 (
+	.A1(n_20251),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2441]),
+	.B1(n_20258),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2464]),
+	.C1(n_21578),
+	.Y(n_21754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703771 (
+	.A(n_20549),
+	.B(n_20545),
+	.C(n_20543),
+	.D(n_20552),
+	.Y(n_21753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703772 (
+	.A(n_20546),
+	.B(n_20528),
+	.C(n_20538),
+	.D(n_20534),
+	.Y(n_21752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703773 (
+	.A(n_20533),
+	.B(n_20540),
+	.C(n_20527),
+	.D(n_20536),
+	.Y(n_21751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703774 (
+	.A1(n_20187),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2902]),
+	.B1(n_20250),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2925]),
+	.C1(n_21538),
+	.Y(n_21750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703775 (
+	.A1(n_20140),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2718]),
+	.B1(n_11644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2741]),
+	.C1(n_21536),
+	.Y(n_21749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703776 (
+	.A1(n_20225),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1752]),
+	.B1(n_20231),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1775]),
+	.C1(n_21535),
+	.Y(n_21748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703777 (
+	.A1(n_20212),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1614]),
+	.B1(n_20214),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1637]),
+	.C1(n_21534),
+	.Y(n_21747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703778 (
+	.A1(n_11436),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [293]),
+	.B1(n_20219),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [316]),
+	.C1(n_21533),
+	.Y(n_21746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703779 (
+	.A(n_20521),
+	.B(n_20518),
+	.C(n_20515),
+	.D(n_20517),
+	.Y(n_21745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703780 (
+	.A1(n_11637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2852]),
+	.B1(n_20178),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2875]),
+	.C1(n_21531),
+	.Y(n_21744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703781 (
+	.A1(n_20262),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [155]),
+	.B1(n_12498),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [178]),
+	.C1(n_21532),
+	.Y(n_21743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703782 (
+	.A(n_20512),
+	.B(n_20511),
+	.C(n_20514),
+	.D(n_20510),
+	.Y(n_21742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703783 (
+	.A(n_20507),
+	.B(n_20502),
+	.C(n_20503),
+	.D(n_20505),
+	.Y(n_21741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703784 (
+	.A(n_20499),
+	.B(n_20492),
+	.C(n_20497),
+	.D(n_20495),
+	.Y(n_21740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703785 (
+	.A(n_20490),
+	.B(n_20483),
+	.C(n_20481),
+	.D(n_20486),
+	.Y(n_21739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703786 (
+	.A1(n_20174),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [508]),
+	.B1(n_11567),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [531]),
+	.C1(n_21530),
+	.Y(n_21738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703787 (
+	.A1(n_20198),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1564]),
+	.B1(n_20263),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1587]),
+	.C1(n_21528),
+	.Y(n_21737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703788 (
+	.A1(n_11436),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [278]),
+	.B1(n_20219),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [301]),
+	.C1(n_21529),
+	.Y(n_21736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703789 (
+	.A1(n_11479),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2164]),
+	.B1(n_20165),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2187]),
+	.C1(n_21527),
+	.Y(n_21735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703790 (
+	.A1(n_11435),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [479]),
+	.B1(n_20158),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [502]),
+	.C1(n_21492),
+	.Y(n_21734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703791 (
+	.A(n_20473),
+	.B(n_20477),
+	.C(n_20470),
+	.D(n_20468),
+	.Y(n_21733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703792 (
+	.A1(n_20245),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1934]),
+	.B1(n_20229),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1957]),
+	.C1(n_21526),
+	.Y(n_21732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703793 (
+	.A1(n_20238),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2208]),
+	.B1(n_20239),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2231]),
+	.C1(n_21524),
+	.Y(n_21731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703794 (
+	.A1(n_20251),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2440]),
+	.B1(n_20258),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2463]),
+	.C1(n_21525),
+	.Y(n_21730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703795 (
+	.A(n_20465),
+	.B(n_20461),
+	.C(n_20458),
+	.D(n_20454),
+	.Y(n_21729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703796 (
+	.A1(n_20255),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2348]),
+	.B1(n_20249),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2371]),
+	.C1(n_21523),
+	.Y(n_21728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703797 (
+	.A(n_20416),
+	.B(n_20450),
+	.C(n_20425),
+	.D(n_20444),
+	.Y(n_21727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703798 (
+	.A(n_20457),
+	.B(n_20456),
+	.C(n_20453),
+	.D(n_20452),
+	.Y(n_21726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703799 (
+	.A(n_20373),
+	.B(n_20321),
+	.C(n_20417),
+	.D(n_20336),
+	.Y(n_21725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703800 (
+	.A(n_20451),
+	.B(n_20448),
+	.C(n_20445),
+	.D(n_20446),
+	.Y(n_21724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703801 (
+	.A1(n_20191),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [572]),
+	.B1(n_20195),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [595]),
+	.C1(n_21522),
+	.Y(n_21723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703802 (
+	.A1(n_20225),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1748]),
+	.B1(n_20231),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1771]),
+	.C1(n_21520),
+	.Y(n_21722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703803 (
+	.A1(n_20163),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2762]),
+	.B1(n_20149),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2785]),
+	.C1(n_21521),
+	.Y(n_21721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703804 (
+	.A1(n_20140),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2716]),
+	.B1(n_11644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2739]),
+	.C1(n_21519),
+	.Y(n_21720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703805 (
+	.A1(n_20205),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1538]),
+	.B1(n_20206),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1561]),
+	.C1(n_21518),
+	.Y(n_21719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703806 (
+	.A1(n_20143),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [968]),
+	.B1(n_20144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [991]),
+	.C1(n_21517),
+	.Y(n_21718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703807 (
+	.A(n_20371),
+	.B(n_20410),
+	.C(n_20383),
+	.D(n_20394),
+	.Y(n_21717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703808 (
+	.A1(n_20147),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [738]),
+	.B1(n_20148),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [761]),
+	.C1(n_21516),
+	.Y(n_21716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703809 (
+	.A1(n_20225),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1768]),
+	.B1(n_20231),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1791]),
+	.C1(n_21515),
+	.Y(n_21715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703810 (
+	.A1(n_11453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2668]),
+	.B1(n_11488),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2691]),
+	.C1(n_21514),
+	.Y(n_21714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703811 (
+	.A(n_20418),
+	.B(n_20419),
+	.C(n_20414),
+	.D(n_20413),
+	.Y(n_21713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703812 (
+	.A(n_20411),
+	.B(n_20409),
+	.C(n_20407),
+	.D(n_20406),
+	.Y(n_21712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703813 (
+	.A(n_20402),
+	.B(n_20404),
+	.C(n_20403),
+	.D(n_20401),
+	.Y(n_21711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703814 (
+	.A(n_21452),
+	.B(n_21450),
+	.C(n_20340),
+	.D(n_20397),
+	.Y(n_21710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703815 (
+	.A(n_20398),
+	.B(n_20396),
+	.C(n_20390),
+	.D(n_20393),
+	.Y(n_21709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703816 (
+	.A1(n_20153),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [369]),
+	.B1(n_20202),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [392]),
+	.C1(n_21513),
+	.Y(n_21708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703817 (
+	.A1(n_20246),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [185]),
+	.B1(n_20253),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [208]),
+	.C1(n_21511),
+	.Y(n_21707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703818 (
+	.A(n_20387),
+	.B(n_20385),
+	.C(n_20382),
+	.D(n_20381),
+	.Y(n_21706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703819 (
+	.A1(n_20187),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2899]),
+	.B1(n_20250),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2922]),
+	.C1(n_21510),
+	.Y(n_21705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703820 (
+	.A1(n_11632),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2623]),
+	.B1(n_20169),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2646]),
+	.C1(n_21509),
+	.Y(n_21704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703821 (
+	.A(n_20376),
+	.B(n_20368),
+	.C(n_20365),
+	.D(n_20372),
+	.Y(n_21703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703822 (
+	.A1(n_20236),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1427]),
+	.B1(n_20197),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1450]),
+	.C1(n_21508),
+	.Y(n_21702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703823 (
+	.A1(n_20191),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [552]),
+	.B1(n_20195),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [575]),
+	.C1(n_21507),
+	.Y(n_21701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703824 (
+	.A1(n_11640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1151]),
+	.B1(n_11483),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1174]),
+	.C1(n_21506),
+	.Y(n_21700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703825 (
+	.A(n_20343),
+	.B(n_20329),
+	.C(n_20318),
+	.D(n_20355),
+	.Y(n_21699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703826 (
+	.A(n_20356),
+	.B(n_20352),
+	.C(n_20357),
+	.D(n_20353),
+	.Y(n_21698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703827 (
+	.A(n_20362),
+	.B(n_20358),
+	.C(n_20354),
+	.D(n_20350),
+	.Y(n_21697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703828 (
+	.A(n_20348),
+	.B(n_20344),
+	.C(n_20346),
+	.D(n_20349),
+	.Y(n_21696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703829 (
+	.A(n_20342),
+	.B(n_20345),
+	.C(n_20339),
+	.D(n_20335),
+	.Y(n_21695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703830 (
+	.A1(n_20153),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [368]),
+	.B1(n_20202),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [391]),
+	.C1(n_21504),
+	.Y(n_21694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703831 (
+	.A1(n_20180),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1059]),
+	.B1(n_20151),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1082]),
+	.C1(n_21505),
+	.Y(n_21693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703832 (
+	.A1(n_11400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [875]),
+	.B1(n_11490),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [898]),
+	.C1(n_21503),
+	.Y(n_21692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703833 (
+	.A(n_20333),
+	.B(n_20325),
+	.C(n_20327),
+	.D(n_20330),
+	.Y(n_21691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703834 (
+	.A1(n_11470),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1657]),
+	.B1(n_11445),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1680]),
+	.C1(n_21502),
+	.Y(n_21690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703835 (
+	.A1(n_20212),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1611]),
+	.B1(n_20214),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1634]),
+	.C1(n_21501),
+	.Y(n_21689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703836 (
+	.A(n_20309),
+	.B(n_20291),
+	.C(n_20277),
+	.D(n_20271),
+	.Y(n_21688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703837 (
+	.A1(n_20204),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2504]),
+	.B1(n_11547),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2527]),
+	.C1(n_21500),
+	.Y(n_21687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703838 (
+	.A1(n_20154),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1012]),
+	.B1(n_20142),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1035]),
+	.C1(n_21499),
+	.Y(n_21686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703839 (
+	.A(n_20315),
+	.B(n_20316),
+	.C(n_20313),
+	.D(n_20312),
+	.Y(n_21685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703840 (
+	.A(n_20308),
+	.B(n_20305),
+	.C(n_20310),
+	.D(n_20307),
+	.Y(n_21684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703841 (
+	.A(n_20303),
+	.B(n_20302),
+	.C(n_20299),
+	.D(n_20366),
+	.Y(n_21683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703842 (
+	.A(n_20292),
+	.B(n_20447),
+	.C(n_20297),
+	.D(n_20295),
+	.Y(n_21682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703843 (
+	.A1(n_20247),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2274]),
+	.B1(n_20248),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2297]),
+	.C1(n_21498),
+	.Y(n_21681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703844 (
+	.A(n_20290),
+	.B(n_20293),
+	.C(n_20287),
+	.D(n_20285),
+	.Y(n_21680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703845 (
+	.A1(n_20247),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2255]),
+	.B1(n_20248),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2278]),
+	.C1(n_21497),
+	.Y(n_21679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703846 (
+	.A1(n_20259),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [828]),
+	.B1(n_11489),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [851]),
+	.C1(n_21495),
+	.Y(n_21678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703847 (
+	.A1(n_11422),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2117]),
+	.B1(n_11478),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2140]),
+	.C1(n_21496),
+	.Y(n_21677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703848 (
+	.A(n_20282),
+	.B(n_20279),
+	.C(n_20709),
+	.D(n_20273),
+	.Y(n_21676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703849 (
+	.A1(n_20154),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1013]),
+	.B1(n_20142),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1036]),
+	.C1(n_21494),
+	.Y(n_21675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703850 (
+	.A1(n_20145),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [783]),
+	.B1(n_20162),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [806]),
+	.C1(n_21493),
+	.Y(n_21674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703851 (
+	.A(n_20268),
+	.B(n_20270),
+	.C(n_20266),
+	.D(n_20916),
+	.Y(n_21673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703852 (
+	.A1(n_11622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2549]),
+	.B1(n_11448),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2572]),
+	.C1(n_21624),
+	.Y(n_21672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703853 (
+	.A1(n_20237),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [231]),
+	.B1(n_20240),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [254]),
+	.C1(n_21491),
+	.Y(n_21671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g703854 (
+	.A(n_20265),
+	.B(n_21433),
+	.C(n_21439),
+	.D(n_21446),
+	.Y(n_21670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g703855 (
+	.A1(n_11434),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1]),
+	.B1(n_11403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [24]),
+	.C1(n_21490),
+	.Y(n_21669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703856 (
+	.A(n_21162),
+	.B(n_21139),
+	.C(n_21144),
+	.Y(n_21668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703857 (
+	.A(n_21429),
+	.B(n_21426),
+	.C(n_21424),
+	.Y(n_21667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703858 (
+	.A(n_21430),
+	.B(n_21428),
+	.C(n_21427),
+	.Y(n_21666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703859 (
+	.A(n_21423),
+	.B(n_21425),
+	.C(n_21422),
+	.Y(n_21665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703860 (
+	.A(n_21421),
+	.B(n_21408),
+	.C(n_21399),
+	.Y(n_21664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703861 (
+	.A(n_21419),
+	.B(n_21413),
+	.C(n_21417),
+	.Y(n_21663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703862 (
+	.A(n_21416),
+	.B(n_21420),
+	.C(n_21418),
+	.Y(n_21662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703863 (
+	.A(n_21411),
+	.B(n_21414),
+	.C(n_21412),
+	.Y(n_21661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703864 (
+	.A(n_21404),
+	.B(n_21407),
+	.C(n_21401),
+	.Y(n_21660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703865 (
+	.A(n_21383),
+	.B(n_21398),
+	.C(n_21391),
+	.Y(n_21659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703866 (
+	.A(n_21394),
+	.B(n_21388),
+	.C(n_21389),
+	.Y(n_21658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703867 (
+	.A(n_21377),
+	.B(n_21376),
+	.C(n_21379),
+	.Y(n_21657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703868 (
+	.A(n_21372),
+	.B(n_21358),
+	.C(n_21373),
+	.Y(n_21656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703869 (
+	.A(n_21369),
+	.B(n_21332),
+	.C(n_21356),
+	.Y(n_21655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703870 (
+	.A(n_21360),
+	.B(n_21371),
+	.C(n_21363),
+	.Y(n_21654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703871 (
+	.A(n_21365),
+	.B(n_20359),
+	.C(n_21367),
+	.Y(n_21653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703872 (
+	.A(n_21361),
+	.B(n_21359),
+	.C(n_21362),
+	.Y(n_21652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703873 (
+	.A(n_21352),
+	.B(n_21355),
+	.C(n_21353),
+	.Y(n_21651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703874 (
+	.A(n_21335),
+	.B(n_21341),
+	.C(n_21348),
+	.Y(n_21650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703875 (
+	.A(n_21317),
+	.B(n_21303),
+	.C(n_21307),
+	.Y(n_21649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703876 (
+	.A(n_21331),
+	.B(n_21329),
+	.C(n_21327),
+	.Y(n_21648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703877 (
+	.A(n_21326),
+	.B(n_21322),
+	.C(n_21323),
+	.Y(n_21647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703878 (
+	.A(n_21315),
+	.B(n_21324),
+	.C(n_21316),
+	.Y(n_21646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703879 (
+	.A(n_21314),
+	.B(n_21386),
+	.C(n_21319),
+	.Y(n_21645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703880 (
+	.A(n_21310),
+	.B(n_21309),
+	.C(n_21311),
+	.Y(n_21644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703881 (
+	.A(n_21304),
+	.B(n_21306),
+	.C(n_21305),
+	.Y(n_21643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703882 (
+	.A(n_21286),
+	.B(n_21298),
+	.C(n_21276),
+	.Y(n_21642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703883 (
+	.A(n_21302),
+	.B(n_21295),
+	.C(n_21299),
+	.Y(n_21641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703884 (
+	.A(n_21481),
+	.B(n_21300),
+	.C(n_21301),
+	.Y(n_21640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703885 (
+	.A(n_21480),
+	.B(n_21272),
+	.C(n_21285),
+	.Y(n_21639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703886 (
+	.A(n_21281),
+	.B(n_21288),
+	.C(n_21291),
+	.Y(n_21638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703887 (
+	.A(n_21278),
+	.B(n_21268),
+	.C(n_21274),
+	.Y(n_21637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703888 (
+	.A(n_21266),
+	.B(n_21270),
+	.C(n_21267),
+	.Y(n_21636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703889 (
+	.A(n_21257),
+	.B(n_21264),
+	.C(n_21261),
+	.Y(n_21635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703890 (
+	.A(n_21259),
+	.B(n_21260),
+	.C(n_21263),
+	.Y(n_21634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703891 (
+	.A(n_21256),
+	.B(n_21253),
+	.C(n_21254),
+	.Y(n_21633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703892 (
+	.A(n_21249),
+	.B(n_21247),
+	.C(n_21250),
+	.Y(n_21632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703893 (
+	.A(n_21262),
+	.B(n_21214),
+	.C(n_21243),
+	.Y(n_21631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703894 (
+	.A(n_21244),
+	.B(n_21240),
+	.C(n_21242),
+	.Y(n_21630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703895 (
+	.A(n_21235),
+	.B(n_21233),
+	.C(n_21237),
+	.Y(n_21629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703896 (
+	.A(n_21210),
+	.B(n_21236),
+	.C(n_21193),
+	.Y(n_21628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703897 (
+	.A(n_21202),
+	.B(n_21200),
+	.C(n_21198),
+	.Y(n_21627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703898 (
+	.A(n_21190),
+	.B(n_21185),
+	.C(n_21187),
+	.Y(n_21626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703899 (
+	.A(n_21169),
+	.B(n_21166),
+	.C(n_21163),
+	.Y(n_21625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703900 (
+	.A(n_21380),
+	.B(n_21392),
+	.C(n_21431),
+	.Y(n_21624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703901 (
+	.A(n_21159),
+	.B(n_21161),
+	.C(n_21160),
+	.Y(n_21623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703902 (
+	.A(n_21477),
+	.B(n_21449),
+	.C(n_21156),
+	.Y(n_21622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703903 (
+	.A(n_21154),
+	.B(n_21157),
+	.C(n_21155),
+	.Y(n_21621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703904 (
+	.A(n_21152),
+	.B(n_21150),
+	.C(n_21148),
+	.Y(n_21620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703905 (
+	.A(n_21141),
+	.B(n_21145),
+	.C(n_21142),
+	.Y(n_21619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703906 (
+	.A(n_21061),
+	.B(n_21111),
+	.C(n_21096),
+	.Y(n_21618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703907 (
+	.A(n_21095),
+	.B(n_21101),
+	.C(n_21092),
+	.Y(n_21617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703908 (
+	.A(n_21094),
+	.B(n_21093),
+	.C(n_21091),
+	.Y(n_21616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703909 (
+	.A(n_21090),
+	.B(n_21088),
+	.C(n_21087),
+	.Y(n_21615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703910 (
+	.A(n_21084),
+	.B(n_21089),
+	.C(n_21086),
+	.Y(n_21614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703911 (
+	.A(n_21059),
+	.B(n_21053),
+	.C(n_21079),
+	.Y(n_21613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703912 (
+	.A(n_21081),
+	.B(n_21073),
+	.C(n_21067),
+	.Y(n_21612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703913 (
+	.A(n_21076),
+	.B(n_21072),
+	.C(n_21071),
+	.Y(n_21611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703914 (
+	.A(n_21057),
+	.B(n_21058),
+	.C(n_21063),
+	.Y(n_21610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703915 (
+	.A(n_21474),
+	.B(n_21044),
+	.C(n_21046),
+	.Y(n_21609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703916 (
+	.A(n_21025),
+	.B(n_21031),
+	.C(n_21029),
+	.Y(n_21608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703917 (
+	.A(n_21001),
+	.B(n_21008),
+	.C(n_21028),
+	.Y(n_21607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703918 (
+	.A(n_21023),
+	.B(n_21019),
+	.C(n_21022),
+	.Y(n_21606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703919 (
+	.A(n_21014),
+	.B(n_21015),
+	.C(n_21017),
+	.Y(n_21605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703920 (
+	.A(n_21010),
+	.B(n_21009),
+	.C(n_21006),
+	.Y(n_21604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703921 (
+	.A(n_20998),
+	.B(n_21002),
+	.C(n_21003),
+	.Y(n_21603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703922 (
+	.A(n_20997),
+	.B(n_20995),
+	.C(n_20993),
+	.Y(n_21602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703923 (
+	.A(n_20976),
+	.B(n_20949),
+	.C(n_20960),
+	.Y(n_21601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703924 (
+	.A(n_20971),
+	.B(n_20975),
+	.C(n_20974),
+	.Y(n_21600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703925 (
+	.A(n_20969),
+	.B(n_20968),
+	.C(n_20965),
+	.Y(n_21599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703926 (
+	.A(n_20959),
+	.B(n_20961),
+	.C(n_20963),
+	.Y(n_21598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703927 (
+	.A(n_20953),
+	.B(n_20951),
+	.C(n_20957),
+	.Y(n_21597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703928 (
+	.A(n_20954),
+	.B(n_20958),
+	.C(n_20955),
+	.Y(n_21596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703929 (
+	.A(n_20882),
+	.B(n_20956),
+	.C(n_20925),
+	.Y(n_21595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703930 (
+	.A(n_20945),
+	.B(n_20936),
+	.C(n_20939),
+	.Y(n_21594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703931 (
+	.A(n_20934),
+	.B(n_20929),
+	.C(n_20941),
+	.Y(n_21593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703932 (
+	.A(n_20897),
+	.B(n_20923),
+	.C(n_20910),
+	.Y(n_21592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703933 (
+	.A(n_20922),
+	.B(n_20920),
+	.C(n_20919),
+	.Y(n_21591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703934 (
+	.A(n_20912),
+	.B(n_20917),
+	.C(n_20914),
+	.Y(n_21590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703935 (
+	.A(n_20902),
+	.B(n_20915),
+	.C(n_20905),
+	.Y(n_21589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703936 (
+	.A(n_20909),
+	.B(n_20907),
+	.C(n_20906),
+	.Y(n_21588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703937 (
+	.A(n_20903),
+	.B(n_20899),
+	.C(n_20900),
+	.Y(n_21587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703938 (
+	.A(n_20896),
+	.B(n_20894),
+	.C(n_20893),
+	.Y(n_21586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703939 (
+	.A(n_20891),
+	.B(n_20887),
+	.C(n_20888),
+	.Y(n_21585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703940 (
+	.A(n_20890),
+	.B(n_20877),
+	.C(n_20880),
+	.Y(n_21584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703941 (
+	.A(n_20871),
+	.B(n_20867),
+	.C(n_20874),
+	.Y(n_21583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703942 (
+	.A(n_20869),
+	.B(n_20865),
+	.C(n_20866),
+	.Y(n_21582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703943 (
+	.A(n_20857),
+	.B(n_20860),
+	.C(n_20863),
+	.Y(n_21581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703944 (
+	.A(n_20864),
+	.B(n_20862),
+	.C(n_20861),
+	.Y(n_21580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703945 (
+	.A(n_21469),
+	.B(n_20853),
+	.C(n_20560),
+	.Y(n_21579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703946 (
+	.A(n_20858),
+	.B(n_20855),
+	.C(n_20854),
+	.Y(n_21578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703947 (
+	.A(n_20843),
+	.B(n_20852),
+	.C(n_20848),
+	.Y(n_21577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703948 (
+	.A(n_20851),
+	.B(n_20850),
+	.C(n_20849),
+	.Y(n_21576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703949 (
+	.A(n_20837),
+	.B(n_20834),
+	.C(n_20831),
+	.Y(n_21575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703950 (
+	.A(n_20815),
+	.B(n_20813),
+	.C(n_20812),
+	.Y(n_21574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703951 (
+	.A(n_20806),
+	.B(n_20808),
+	.C(n_20805),
+	.Y(n_21573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703952 (
+	.A(n_20801),
+	.B(n_20798),
+	.C(n_20797),
+	.Y(n_21572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703953 (
+	.A(n_20792),
+	.B(n_20793),
+	.C(n_20795),
+	.Y(n_21571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703954 (
+	.A(n_20785),
+	.B(n_20786),
+	.C(n_20789),
+	.Y(n_21570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703955 (
+	.A(n_20778),
+	.B(n_20782),
+	.C(n_20780),
+	.Y(n_21569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703956 (
+	.A(n_20758),
+	.B(n_20756),
+	.C(n_20755),
+	.Y(n_21568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703957 (
+	.A(n_20754),
+	.B(n_20751),
+	.C(n_20752),
+	.Y(n_21567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703958 (
+	.A(n_20748),
+	.B(n_20744),
+	.C(n_20747),
+	.Y(n_21566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703959 (
+	.A(n_20739),
+	.B(n_20743),
+	.C(n_20740),
+	.Y(n_21565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703960 (
+	.A(n_20741),
+	.B(n_20737),
+	.C(n_20734),
+	.Y(n_21564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703961 (
+	.A(n_20738),
+	.B(n_20774),
+	.C(n_20817),
+	.Y(n_21563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703962 (
+	.A(n_20727),
+	.B(n_20721),
+	.C(n_20723),
+	.Y(n_21562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703963 (
+	.A(n_20703),
+	.B(n_20707),
+	.C(n_20704),
+	.Y(n_21561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703964 (
+	.A(n_20697),
+	.B(n_20696),
+	.C(n_20699),
+	.Y(n_21560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703965 (
+	.A(n_20692),
+	.B(n_20689),
+	.C(n_20690),
+	.Y(n_21559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703966 (
+	.A(n_20684),
+	.B(n_20686),
+	.C(n_20685),
+	.Y(n_21558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703967 (
+	.A(n_20678),
+	.B(n_20676),
+	.C(n_20679),
+	.Y(n_21557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703968 (
+	.A(n_20673),
+	.B(n_20669),
+	.C(n_20672),
+	.Y(n_21556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703969 (
+	.A(n_20671),
+	.B(n_20659),
+	.C(n_20662),
+	.Y(n_21555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703970 (
+	.A(n_20667),
+	.B(n_20629),
+	.C(n_20600),
+	.Y(n_21554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703971 (
+	.A(n_20651),
+	.B(n_20653),
+	.C(n_20655),
+	.Y(n_21553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703972 (
+	.A(n_20649),
+	.B(n_20650),
+	.C(n_20652),
+	.Y(n_21552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703973 (
+	.A(n_20648),
+	.B(n_20635),
+	.C(n_20643),
+	.Y(n_21551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703974 (
+	.A(n_20646),
+	.B(n_20644),
+	.C(n_20647),
+	.Y(n_21550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703975 (
+	.A(n_20642),
+	.B(n_20637),
+	.C(n_20645),
+	.Y(n_21549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703976 (
+	.A(n_20641),
+	.B(n_20638),
+	.C(n_20639),
+	.Y(n_21548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703977 (
+	.A(n_20631),
+	.B(n_20634),
+	.C(n_20633),
+	.Y(n_21547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703978 (
+	.A(n_20632),
+	.B(n_20628),
+	.C(n_20625),
+	.Y(n_21546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703979 (
+	.A(n_20616),
+	.B(n_20608),
+	.C(n_20613),
+	.Y(n_21545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703980 (
+	.A(n_20597),
+	.B(n_20594),
+	.C(n_20595),
+	.Y(n_21544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703981 (
+	.A(n_20587),
+	.B(n_20590),
+	.C(n_20589),
+	.Y(n_21543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703982 (
+	.A(n_20583),
+	.B(n_20582),
+	.C(n_20581),
+	.Y(n_21542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703983 (
+	.A(n_20575),
+	.B(n_20576),
+	.C(n_20578),
+	.Y(n_21541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703984 (
+	.A(n_20567),
+	.B(n_20572),
+	.C(n_20568),
+	.Y(n_21540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703985 (
+	.A(n_20561),
+	.B(n_20564),
+	.C(n_20563),
+	.Y(n_21539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703986 (
+	.A(n_20541),
+	.B(n_20544),
+	.C(n_20542),
+	.Y(n_21538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703987 (
+	.A(n_20519),
+	.B(n_20547),
+	.C(n_20522),
+	.Y(n_21537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703988 (
+	.A(n_20535),
+	.B(n_20537),
+	.C(n_20539),
+	.Y(n_21536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703989 (
+	.A(n_20530),
+	.B(n_20532),
+	.C(n_20531),
+	.Y(n_21535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703990 (
+	.A(n_20526),
+	.B(n_20523),
+	.C(n_20525),
+	.Y(n_21534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703991 (
+	.A(n_20516),
+	.B(n_20524),
+	.C(n_20520),
+	.Y(n_21533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703992 (
+	.A(n_21459),
+	.B(n_20504),
+	.C(n_20506),
+	.Y(n_21532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703993 (
+	.A(n_20501),
+	.B(n_20498),
+	.C(n_20508),
+	.Y(n_21531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703994 (
+	.A(n_20493),
+	.B(n_20494),
+	.C(n_20491),
+	.Y(n_21530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703995 (
+	.A(n_20489),
+	.B(n_20485),
+	.C(n_20487),
+	.Y(n_21529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703996 (
+	.A(n_20475),
+	.B(n_20488),
+	.C(n_20478),
+	.Y(n_21528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703997 (
+	.A(n_20480),
+	.B(n_20479),
+	.C(n_20482),
+	.Y(n_21527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703998 (
+	.A(n_20474),
+	.B(n_20472),
+	.C(n_20476),
+	.Y(n_21526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g703999 (
+	.A(n_20466),
+	.B(n_20467),
+	.C(n_20469),
+	.Y(n_21525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g704000 (
+	.A(n_20449),
+	.B(n_20455),
+	.C(n_20463),
+	.Y(n_21524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g704001 (
+	.A(n_20462),
+	.B(n_20460),
+	.C(n_20464),
+	.Y(n_21523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g704002 (
+	.A(n_20437),
+	.B(n_20443),
+	.C(n_20440),
+	.Y(n_21522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g704003 (
+	.A(n_20442),
+	.B(n_20438),
+	.C(n_20439),
+	.Y(n_21521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g704004 (
+	.A(n_20435),
+	.B(n_20423),
+	.C(n_20427),
+	.Y(n_21520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g704005 (
+	.A(n_20434),
+	.B(n_20433),
+	.C(n_20436),
+	.Y(n_21519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g704006 (
+	.A(n_20432),
+	.B(n_20426),
+	.C(n_20430),
+	.Y(n_21518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g704007 (
+	.A(n_20428),
+	.B(n_20431),
+	.C(n_20429),
+	.Y(n_21517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g704008 (
+	.A(n_20421),
+	.B(n_20424),
+	.C(n_20422),
+	.Y(n_21516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g704009 (
+	.A(n_20420),
+	.B(n_20412),
+	.C(n_20415),
+	.Y(n_21515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g704010 (
+	.A(n_20400),
+	.B(n_20408),
+	.C(n_20399),
+	.Y(n_21514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g704011 (
+	.A(n_20392),
+	.B(n_20441),
+	.C(n_20395),
+	.Y(n_21513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g704012 (
+	.A1(n_20196),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2392]),
+	.B1(n_20161),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2415]),
+	.C1(n_21487),
+	.Y(n_21512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g704013 (
+	.A(n_20386),
+	.B(n_20509),
+	.C(n_20389),
+	.Y(n_21511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g704014 (
+	.A(n_20384),
+	.B(n_20380),
+	.C(n_20379),
+	.Y(n_21510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g704015 (
+	.A(n_20378),
+	.B(n_20375),
+	.C(n_20374),
+	.Y(n_21509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g704016 (
+	.A(n_20367),
+	.B(n_20370),
+	.C(n_20369),
+	.Y(n_21508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g704017 (
+	.A(n_20347),
+	.B(n_20360),
+	.C(n_20351),
+	.Y(n_21507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g704018 (
+	.A(n_20364),
+	.B(n_20363),
+	.C(n_20361),
+	.Y(n_21506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g704019 (
+	.A(n_20341),
+	.B(n_20337),
+	.C(n_20338),
+	.Y(n_21505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g704020 (
+	.A(n_20320),
+	.B(n_20332),
+	.C(n_20324),
+	.Y(n_21504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g704021 (
+	.A(n_20331),
+	.B(n_20334),
+	.C(n_21186),
+	.Y(n_21503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g704022 (
+	.A(n_20328),
+	.B(n_20326),
+	.C(n_21269),
+	.Y(n_21502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g704023 (
+	.A(n_20319),
+	.B(n_20323),
+	.C(n_21345),
+	.Y(n_21501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g704024 (
+	.A(n_20314),
+	.B(n_20317),
+	.C(n_20311),
+	.Y(n_21500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g704025 (
+	.A(n_20306),
+	.B(n_20300),
+	.C(n_20294),
+	.Y(n_21499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g704026 (
+	.A(n_20301),
+	.B(n_20298),
+	.C(n_20304),
+	.Y(n_21498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g704027 (
+	.A(n_20288),
+	.B(n_20286),
+	.C(n_20289),
+	.Y(n_21497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g704028 (
+	.A(n_20280),
+	.B(n_20284),
+	.C(n_20636),
+	.Y(n_21496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g704029 (
+	.A(n_20281),
+	.B(n_20274),
+	.C(n_20272),
+	.Y(n_21495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g704030 (
+	.A(n_20278),
+	.B(n_20275),
+	.C(n_20276),
+	.Y(n_21494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g704031 (
+	.A(n_20771),
+	.B(n_20269),
+	.C(n_20784),
+	.Y(n_21493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g704032 (
+	.A(n_20296),
+	.B(n_21321),
+	.C(n_21443),
+	.Y(n_21492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g704033 (
+	.A(n_20267),
+	.B(n_20264),
+	.C(n_20875),
+	.Y(n_21491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g704034 (
+	.A(n_21486),
+	.B(n_21447),
+	.C(n_21448),
+	.Y(n_21490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g704035 (
+	.A1(n_20247),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2254]),
+	.B1(n_20248),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2277]),
+	.C1(n_21191),
+	.Y(n_21489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g704036 (
+	.A1(n_11432),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1196]),
+	.B1(n_11439),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1219]),
+	.C1(n_21179),
+	.Y(n_21488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g704037 (
+	.A1(n_20251),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2438]),
+	.B1(n_20258),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2461]),
+	.C1(n_20377),
+	.X(n_21487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704038 (
+	.A1(n_20262),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [139]),
+	.B1(n_12498),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [162]),
+	.Y(n_21486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704039 (
+	.A1(n_20262),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [138]),
+	.B1(n_12498),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [161]),
+	.Y(n_21485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704040 (
+	.A1(n_11434),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [0]),
+	.B1(n_11403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [23]),
+	.Y(n_21484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704041 (
+	.A1(n_11434),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2]),
+	.B1(n_11403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [25]),
+	.Y(n_21483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704042 (
+	.A1(n_20262),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [140]),
+	.B1(n_12498),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [163]),
+	.Y(n_21482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704043 (
+	.A1(n_11434),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [21]),
+	.B1(n_11403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [44]),
+	.Y(n_21481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704044 (
+	.A1(n_11434),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [20]),
+	.B1(n_11403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [43]),
+	.Y(n_21480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704045 (
+	.A1(n_11434),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [0]),
+	.B1(n_11403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [23]),
+	.Y(n_21479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704046 (
+	.A1(n_20262),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [138]),
+	.B1(n_12498),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [161]),
+	.Y(n_21478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704047 (
+	.A1(n_20262),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [159]),
+	.B1(n_12498),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [182]),
+	.Y(n_21477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704048 (
+	.A1(n_11434),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [22]),
+	.B1(n_11403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [45]),
+	.Y(n_21476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704049 (
+	.A1(n_20262),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [160]),
+	.B1(n_12498),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [183]),
+	.Y(n_21475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704050 (
+	.A1(n_11434),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [18]),
+	.B1(n_11403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [41]),
+	.Y(n_21474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704051 (
+	.A1(n_11434),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [19]),
+	.B1(n_11403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [42]),
+	.Y(n_21473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704052 (
+	.A1(n_20262),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [157]),
+	.B1(n_12498),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [180]),
+	.Y(n_21472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704053 (
+	.A1(n_20262),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [141]),
+	.B1(n_12498),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [164]),
+	.Y(n_21471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704054 (
+	.A1(n_11434),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [3]),
+	.B1(n_11403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [26]),
+	.Y(n_21470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704055 (
+	.A1(n_11434),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [22]),
+	.B1(n_11403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [45]),
+	.Y(n_21469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704056 (
+	.A1(n_11434),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [4]),
+	.B1(n_11403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [27]),
+	.Y(n_21468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704057 (
+	.A1(n_20262),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [142]),
+	.B1(n_12498),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [165]),
+	.Y(n_21467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704058 (
+	.A1(n_11434),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [18]),
+	.B1(n_11403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [41]),
+	.Y(n_21466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704059 (
+	.A1(n_20262),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [156]),
+	.B1(n_12498),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [179]),
+	.Y(n_21465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704060 (
+	.A1(n_11434),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [3]),
+	.B1(n_11403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [26]),
+	.Y(n_21464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704061 (
+	.A1(n_20262),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [141]),
+	.B1(n_12498),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [164]),
+	.Y(n_21463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704062 (
+	.A1(n_20262),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [157]),
+	.B1(n_12498),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [180]),
+	.Y(n_21462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704063 (
+	.A1(n_20262),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [142]),
+	.B1(n_12498),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [165]),
+	.Y(n_21461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704064 (
+	.A1(n_11434),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [4]),
+	.B1(n_11403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [27]),
+	.Y(n_21460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704065 (
+	.A1(n_11434),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [17]),
+	.B1(n_11403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [40]),
+	.Y(n_21459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704066 (
+	.A1(n_11434),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2]),
+	.B1(n_11403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [25]),
+	.Y(n_21458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704067 (
+	.A1(n_20262),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [140]),
+	.B1(n_12498),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [163]),
+	.Y(n_21457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704068 (
+	.A1(n_20262),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [155]),
+	.B1(n_12498),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [178]),
+	.Y(n_21456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704069 (
+	.A1(n_11434),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [17]),
+	.B1(n_11403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [40]),
+	.Y(n_21455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704070 (
+	.A1(n_11434),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [19]),
+	.B1(n_11403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [42]),
+	.Y(n_21454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704071 (
+	.A1(n_20262),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [158]),
+	.B1(n_12498),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [181]),
+	.Y(n_21453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704072 (
+	.A1(n_11434),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1]),
+	.B1(n_11403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [24]),
+	.Y(n_21452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704073 (
+	.A1(n_11434),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [20]),
+	.B1(n_11403),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [43]),
+	.Y(n_21451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704074 (
+	.A1(n_20262),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [139]),
+	.B1(n_12498),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [162]),
+	.Y(n_21450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704075 (
+	.A1(n_20256),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [113]),
+	.B1(n_20157),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [136]),
+	.Y(n_21449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704076 (
+	.A1(n_20232),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [47]),
+	.B1(n_20199),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [70]),
+	.Y(n_21448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704077 (
+	.A1(n_20256),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [93]),
+	.B1(n_20157),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [116]),
+	.Y(n_21447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704078 (
+	.A1(n_20224),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [322]),
+	.B1(n_11598),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [345]),
+	.Y(n_21446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704079 (
+	.A1(n_20260),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1998]),
+	.B1(n_20261),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2021]),
+	.Y(n_21445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704080 (
+	.A1(n_11398),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1335]),
+	.B1(n_20226),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1358]),
+	.Y(n_21444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704081 (
+	.A1(n_20153),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [387]),
+	.B1(n_20202),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [410]),
+	.Y(n_21443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704082 (
+	.A1(n_20242),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1289]),
+	.B1(n_20189),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1312]),
+	.Y(n_21442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704083 (
+	.A1(n_11420),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1906]),
+	.B1(n_20211),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1929]),
+	.Y(n_21441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704084 (
+	.A1(n_20236),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1427]),
+	.B1(n_20197),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1450]),
+	.Y(n_21440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704085 (
+	.A1(n_20237),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [230]),
+	.B1(n_20240),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [253]),
+	.Y(n_21439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704086 (
+	.A1(n_20245),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1952]),
+	.B1(n_20229),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1975]),
+	.Y(n_21438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704087 (
+	.A1(n_20203),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1381]),
+	.B1(n_20210),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1404]),
+	.Y(n_21437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704088 (
+	.A1(n_11640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1151]),
+	.B1(n_11483),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1174]),
+	.Y(n_21436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704089 (
+	.A1(n_11432),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1197]),
+	.B1(n_11439),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1220]),
+	.Y(n_21435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704090 (
+	.A1(n_11431),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1243]),
+	.B1(n_20254),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1266]),
+	.Y(n_21434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704091 (
+	.A1(n_11436),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [276]),
+	.B1(n_20219),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [299]),
+	.Y(n_21433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704092 (
+	.A1(n_20184),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1105]),
+	.B1(n_11485),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1128]),
+	.Y(n_21432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704093 (
+	.A1(n_20196),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2411]),
+	.B1(n_20161),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2434]),
+	.Y(n_21431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704094 (
+	.A1(n_20163),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2761]),
+	.B1(n_20149),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2784]),
+	.Y(n_21430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704095 (
+	.A1(n_11637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2873]),
+	.B1(n_20178),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2896]),
+	.Y(n_21429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704096 (
+	.A1(n_20182),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2807]),
+	.B1(n_20183),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2830]),
+	.Y(n_21428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704097 (
+	.A1(n_11637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2853]),
+	.B1(n_20178),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2876]),
+	.Y(n_21427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704098 (
+	.A1(n_20182),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2827]),
+	.B1(n_20183),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2850]),
+	.Y(n_21426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704099 (
+	.A1(n_20141),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2577]),
+	.B1(n_11554),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2600]),
+	.Y(n_21425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704100 (
+	.A1(n_20163),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2781]),
+	.B1(n_20149),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2804]),
+	.Y(n_21424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704101 (
+	.A1(n_20140),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2715]),
+	.B1(n_11644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2738]),
+	.Y(n_21423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704102 (
+	.A1(n_11632),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2623]),
+	.B1(n_20169),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2646]),
+	.Y(n_21422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704103 (
+	.A1(n_20221),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1814]),
+	.B1(n_20223),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1837]),
+	.Y(n_21421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704104 (
+	.A1(n_20191),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [553]),
+	.B1(n_20195),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [576]),
+	.Y(n_21420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704105 (
+	.A1(n_20241),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2321]),
+	.B1(n_20243),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2344]),
+	.Y(n_21419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704106 (
+	.A1(n_20207),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [691]),
+	.B1(n_11556),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [714]),
+	.Y(n_21418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704107 (
+	.A1(n_20255),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2367]),
+	.B1(n_20249),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2390]),
+	.Y(n_21417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704108 (
+	.A1(n_11404),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [645]),
+	.B1(n_20244),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [668]),
+	.Y(n_21416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704109 (
+	.A1(n_20232),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [46]),
+	.B1(n_20199),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [69]),
+	.Y(n_21415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704110 (
+	.A1(n_20174),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [507]),
+	.B1(n_11567),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [530]),
+	.Y(n_21414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704111 (
+	.A1(n_20247),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2275]),
+	.B1(n_20248),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2298]),
+	.Y(n_21413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704112 (
+	.A1(n_20177),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [415]),
+	.B1(n_20220),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [438]),
+	.Y(n_21412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704113 (
+	.A1(n_11435),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [461]),
+	.B1(n_20158),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [484]),
+	.Y(n_21411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704114 (
+	.A1(n_20256),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [92]),
+	.B1(n_20157),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [115]),
+	.Y(n_21410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704115 (
+	.A1(n_20187),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2900]),
+	.B1(n_20250),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2923]),
+	.Y(n_21409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704116 (
+	.A1(n_20225),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1768]),
+	.B1(n_20231),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1791]),
+	.Y(n_21408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704117 (
+	.A1(n_11453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2689]),
+	.B1(n_11488),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2712]),
+	.Y(n_21407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704118 (
+	.A1(n_20182),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2808]),
+	.B1(n_20183),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2831]),
+	.Y(n_21406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704119 (
+	.A1(n_11637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2854]),
+	.B1(n_20178),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2877]),
+	.Y(n_21405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704120 (
+	.A1(n_11632),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2643]),
+	.B1(n_20169),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2666]),
+	.Y(n_21404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704121 (
+	.A1(n_11400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [876]),
+	.B1(n_11490),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [899]),
+	.Y(n_21403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704122 (
+	.A1(n_20147),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [738]),
+	.B1(n_20148),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [761]),
+	.Y(n_21402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704123 (
+	.A1(n_20141),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2597]),
+	.B1(n_11554),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2620]),
+	.Y(n_21401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704124 (
+	.A1(n_20145),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [784]),
+	.B1(n_20162),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [807]),
+	.Y(n_21400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704125 (
+	.A1(n_11470),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1676]),
+	.B1(n_11445),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1699]),
+	.Y(n_21399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704126 (
+	.A1(n_20203),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1380]),
+	.B1(n_20210),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1403]),
+	.Y(n_21398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704127 (
+	.A1(n_20259),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [830]),
+	.B1(n_11489),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [853]),
+	.Y(n_21397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704128 (
+	.A1(n_20238),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2210]),
+	.B1(n_20239),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2233]),
+	.Y(n_21396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704129 (
+	.A1(n_20255),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2348]),
+	.B1(n_20249),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2371]),
+	.Y(n_21395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704130 (
+	.A1(n_20221),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1815]),
+	.B1(n_20223),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1838]),
+	.Y(n_21394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704131 (
+	.A1(n_20247),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2256]),
+	.B1(n_20248),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2279]),
+	.Y(n_21393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704132 (
+	.A1(n_20251),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2457]),
+	.B1(n_20258),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2480]),
+	.Y(n_21392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704133 (
+	.A1(n_20236),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1426]),
+	.B1(n_20197),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1449]),
+	.Y(n_21391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704134 (
+	.A1(n_20241),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2302]),
+	.B1(n_20243),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2325]),
+	.Y(n_21390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704135 (
+	.A1(n_20233),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1723]),
+	.B1(n_11469),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1746]),
+	.Y(n_21389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704136 (
+	.A1(n_20225),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1769]),
+	.B1(n_20231),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1792]),
+	.Y(n_21388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704137 (
+	.A1(n_20180),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1060]),
+	.B1(n_20151),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1083]),
+	.Y(n_21387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704138 (
+	.A1(n_11459),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [600]),
+	.B1(n_11581),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [623]),
+	.Y(n_21386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704139 (
+	.A1(n_20215),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [922]),
+	.B1(n_20138),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [945]),
+	.Y(n_21385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704140 (
+	.A1(n_20143),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [968]),
+	.B1(n_20144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [991]),
+	.Y(n_21384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704141 (
+	.A1(n_20242),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1288]),
+	.B1(n_20189),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1311]),
+	.Y(n_21383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704142 (
+	.A1(n_20154),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1014]),
+	.B1(n_20142),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1037]),
+	.Y(n_21382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704143 (
+	.A1(n_20212),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1631]),
+	.B1(n_20214),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1654]),
+	.Y(n_21381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704144 (
+	.A1(n_20204),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2503]),
+	.B1(n_11547),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2526]),
+	.Y(n_21380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704145 (
+	.A1(n_20141),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2578]),
+	.B1(n_11554),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2601]),
+	.Y(n_21379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704146 (
+	.A1(n_20198),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1585]),
+	.B1(n_20263),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1608]),
+	.Y(n_21378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704147 (
+	.A1(n_20140),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2716]),
+	.B1(n_11644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2739]),
+	.Y(n_21377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704148 (
+	.A1(n_11632),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2624]),
+	.B1(n_20169),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2647]),
+	.Y(n_21376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704149 (
+	.A1(n_20205),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1539]),
+	.B1(n_20206),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1562]),
+	.Y(n_21375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704150 (
+	.A1(n_20208),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1493]),
+	.B1(n_20209),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1516]),
+	.Y(n_21374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704151 (
+	.A1(n_11622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2532]),
+	.B1(n_11448),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2555]),
+	.Y(n_21373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704152 (
+	.A1(n_20251),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2440]),
+	.B1(n_20258),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2463]),
+	.Y(n_21372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704153 (
+	.A1(n_11432),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1196]),
+	.B1(n_11439),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1219]),
+	.Y(n_21371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704154 (
+	.A1(n_11622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2551]),
+	.B1(n_11448),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2574]),
+	.Y(n_21370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704155 (
+	.A1(n_20174),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [526]),
+	.B1(n_11567),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [549]),
+	.Y(n_21369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704156 (
+	.A1(n_20204),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2505]),
+	.B1(n_11547),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2528]),
+	.Y(n_21368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704157 (
+	.A1(n_11479),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2164]),
+	.B1(n_20165),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2187]),
+	.Y(n_21367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704158 (
+	.A1(n_20251),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2459]),
+	.B1(n_20258),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2482]),
+	.Y(n_21366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704159 (
+	.A1(n_11422),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2118]),
+	.B1(n_11478),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2141]),
+	.Y(n_21365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704160 (
+	.A1(n_20196),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2413]),
+	.B1(n_20161),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2436]),
+	.Y(n_21364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704161 (
+	.A1(n_11431),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1242]),
+	.B1(n_20254),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1265]),
+	.Y(n_21363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704162 (
+	.A1(n_20194),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1842]),
+	.B1(n_11609),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1865]),
+	.Y(n_21362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704163 (
+	.A1(n_20260),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1980]),
+	.B1(n_20261),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2003]),
+	.Y(n_21361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704164 (
+	.A1(n_20184),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1104]),
+	.B1(n_11485),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1127]),
+	.Y(n_21360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704165 (
+	.A1(n_11420),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1888]),
+	.B1(n_20211),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1911]),
+	.Y(n_21359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704166 (
+	.A1(n_20204),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2486]),
+	.B1(n_11547),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2509]),
+	.Y(n_21358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704167 (
+	.A1(n_20207),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [711]),
+	.B1(n_11556),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [734]),
+	.Y(n_21357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704168 (
+	.A1(n_20177),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [434]),
+	.B1(n_20220),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [457]),
+	.Y(n_21356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704169 (
+	.A1(n_20246),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [186]),
+	.B1(n_20253),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [209]),
+	.Y(n_21355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704170 (
+	.A1(n_11404),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [665]),
+	.B1(n_20244),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [688]),
+	.Y(n_21354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704171 (
+	.A1(n_20224),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [324]),
+	.B1(n_11598),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [347]),
+	.Y(n_21353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704172 (
+	.A1(n_11436),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [278]),
+	.B1(n_20219),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [301]),
+	.Y(n_21352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704173 (
+	.A1(n_11459),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [619]),
+	.B1(n_11581),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [642]),
+	.Y(n_21351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704174 (
+	.A1(n_20191),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [573]),
+	.B1(n_20195),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [596]),
+	.Y(n_21350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704175 (
+	.A1(n_20256),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [94]),
+	.B1(n_20157),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [117]),
+	.Y(n_21349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704176 (
+	.A1(n_11423),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2024]),
+	.B1(n_20168),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2047]),
+	.Y(n_21348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704177 (
+	.A1(n_20174),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [527]),
+	.B1(n_11567),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [550]),
+	.Y(n_21347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704178 (
+	.A1(n_20233),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1704]),
+	.B1(n_11469),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1727]),
+	.Y(n_21346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704179 (
+	.A1(n_20198),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1565]),
+	.B1(n_20263),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1588]),
+	.Y(n_21345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704180 (
+	.A1(n_20153),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [389]),
+	.B1(n_20202),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [412]),
+	.Y(n_21344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704181 (
+	.A1(n_20225),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1750]),
+	.B1(n_20231),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1773]),
+	.Y(n_21343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704182 (
+	.A1(n_20221),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1796]),
+	.B1(n_20223),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1819]),
+	.Y(n_21342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704183 (
+	.A1(n_11479),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2162]),
+	.B1(n_20165),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2185]),
+	.Y(n_21341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704184 (
+	.A1(n_11470),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1658]),
+	.B1(n_11445),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1681]),
+	.Y(n_21340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704185 (
+	.A1(n_20177),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [435]),
+	.B1(n_20220),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [458]),
+	.Y(n_21339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704186 (
+	.A1(n_20208),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1474]),
+	.B1(n_20209),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1497]),
+	.Y(n_21338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704187 (
+	.A1(n_20205),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1520]),
+	.B1(n_20206),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1543]),
+	.Y(n_21337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704188 (
+	.A1(n_11435),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [481]),
+	.B1(n_20158),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [504]),
+	.Y(n_21336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704189 (
+	.A1(n_11422),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2116]),
+	.B1(n_11478),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2139]),
+	.Y(n_21335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704190 (
+	.A1(n_20212),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1612]),
+	.B1(n_20214),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1635]),
+	.Y(n_21334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704191 (
+	.A1(n_20198),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1566]),
+	.B1(n_20263),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1589]),
+	.Y(n_21333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704192 (
+	.A1(n_20153),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [388]),
+	.B1(n_20202),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [411]),
+	.Y(n_21332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704193 (
+	.A1(n_20242),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1290]),
+	.B1(n_20189),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1313]),
+	.Y(n_21331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704194 (
+	.A1(n_20154),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1033]),
+	.B1(n_20142),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1056]),
+	.Y(n_21330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704195 (
+	.A1(n_20236),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1428]),
+	.B1(n_20197),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1451]),
+	.Y(n_21329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704196 (
+	.A1(n_20143),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [987]),
+	.B1(n_20144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1010]),
+	.Y(n_21328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704197 (
+	.A1(n_11398),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1336]),
+	.B1(n_20226),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1359]),
+	.Y(n_21327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704198 (
+	.A1(n_20184),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1106]),
+	.B1(n_11485),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1129]),
+	.Y(n_21326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704199 (
+	.A1(n_20215),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [941]),
+	.B1(n_20138),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [964]),
+	.Y(n_21325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704200 (
+	.A1(n_11420),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1886]),
+	.B1(n_20211),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1909]),
+	.Y(n_21324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704201 (
+	.A1(n_11431),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1244]),
+	.B1(n_20254),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1267]),
+	.Y(n_21323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704202 (
+	.A1(n_11640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1152]),
+	.B1(n_11483),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1175]),
+	.Y(n_21322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704203 (
+	.A1(n_20177),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [433]),
+	.B1(n_20220),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [456]),
+	.Y(n_21321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704204 (
+	.A1(n_11400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [895]),
+	.B1(n_11490),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [918]),
+	.Y(n_21320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704205 (
+	.A1(n_20207),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [692]),
+	.B1(n_11556),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [715]),
+	.Y(n_21319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704206 (
+	.A1(n_20259),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [849]),
+	.B1(n_11489),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [872]),
+	.Y(n_21318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704207 (
+	.A1(n_20207),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [710]),
+	.B1(n_11556),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [733]),
+	.Y(n_21317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704208 (
+	.A1(n_20260),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1978]),
+	.B1(n_20261),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2001]),
+	.Y(n_21316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704209 (
+	.A1(n_20194),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1840]),
+	.B1(n_11609),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1863]),
+	.Y(n_21315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704210 (
+	.A1(n_11404),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [646]),
+	.B1(n_20244),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [669]),
+	.Y(n_21314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704211 (
+	.A1(n_20145),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [803]),
+	.B1(n_20162),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [826]),
+	.Y(n_21313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704212 (
+	.A1(n_20147),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [757]),
+	.B1(n_20148),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [780]),
+	.Y(n_21312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704213 (
+	.A1(n_20153),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [370]),
+	.B1(n_20202),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [393]),
+	.Y(n_21311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704214 (
+	.A1(n_20174),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [508]),
+	.B1(n_11567),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [531]),
+	.Y(n_21310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704215 (
+	.A1(n_20177),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [416]),
+	.B1(n_20220),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [439]),
+	.Y(n_21309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704216 (
+	.A1(n_11404),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [663]),
+	.B1(n_20244),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [686]),
+	.Y(n_21308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704217 (
+	.A1(n_11459),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [618]),
+	.B1(n_11581),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [641]),
+	.Y(n_21307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704218 (
+	.A1(n_20224),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [343]),
+	.B1(n_11598),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [366]),
+	.Y(n_21306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704219 (
+	.A1(n_20237),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [251]),
+	.B1(n_20240),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [274]),
+	.Y(n_21305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704220 (
+	.A1(n_11436),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [297]),
+	.B1(n_20219),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [320]),
+	.Y(n_21304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704221 (
+	.A1(n_20191),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [572]),
+	.B1(n_20195),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [595]),
+	.Y(n_21303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704222 (
+	.A1(n_20203),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1401]),
+	.B1(n_20210),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1424]),
+	.Y(n_21302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704223 (
+	.A1(n_20232),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [67]),
+	.B1(n_20199),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [90]),
+	.Y(n_21301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704224 (
+	.A1(n_20256),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [113]),
+	.B1(n_20157),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [136]),
+	.Y(n_21300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704225 (
+	.A1(n_20236),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1447]),
+	.B1(n_20197),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1470]),
+	.Y(n_21299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704226 (
+	.A1(n_20247),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2273]),
+	.B1(n_20248),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2296]),
+	.Y(n_21298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704227 (
+	.A1(n_11423),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2045]),
+	.B1(n_20168),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2068]),
+	.Y(n_21297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704228 (
+	.A1(n_11479),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2183]),
+	.B1(n_20165),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2206]),
+	.Y(n_21296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704229 (
+	.A1(n_20242),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1309]),
+	.B1(n_20189),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1332]),
+	.Y(n_21295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704230 (
+	.A1(n_20170),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2091]),
+	.B1(n_20176),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2114]),
+	.Y(n_21294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704231 (
+	.A1(n_11422),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2137]),
+	.B1(n_11478),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2160]),
+	.Y(n_21293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704232 (
+	.A1(n_20245),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1953]),
+	.B1(n_20229),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1976]),
+	.Y(n_21292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704233 (
+	.A1(n_20245),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1953]),
+	.B1(n_20229),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1976]),
+	.Y(n_21291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704234 (
+	.A1(n_20260),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1999]),
+	.B1(n_20261),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2022]),
+	.Y(n_21290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704235 (
+	.A1(n_11420),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1907]),
+	.B1(n_20211),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1930]),
+	.Y(n_21289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704236 (
+	.A1(n_11420),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1907]),
+	.B1(n_20211),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1930]),
+	.Y(n_21288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704237 (
+	.A1(n_20194),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1861]),
+	.B1(n_11609),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1884]),
+	.Y(n_21287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704238 (
+	.A1(n_20241),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2319]),
+	.B1(n_20243),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2342]),
+	.Y(n_21286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704239 (
+	.A1(n_20232),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [66]),
+	.B1(n_20199),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [89]),
+	.Y(n_21285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704240 (
+	.A1(n_20236),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1447]),
+	.B1(n_20197),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1470]),
+	.Y(n_21284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704241 (
+	.A1(n_20203),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1401]),
+	.B1(n_20210),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1424]),
+	.Y(n_21283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704242 (
+	.A1(n_11398),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1355]),
+	.B1(n_20226),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1378]),
+	.Y(n_21282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704243 (
+	.A1(n_20194),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1861]),
+	.B1(n_11609),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1884]),
+	.Y(n_21281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704244 (
+	.A1(n_20242),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1309]),
+	.B1(n_20189),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1332]),
+	.Y(n_21280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704245 (
+	.A1(n_11431),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1263]),
+	.B1(n_20254),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1286]),
+	.Y(n_21279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704246 (
+	.A1(n_11422),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2137]),
+	.B1(n_11478),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2160]),
+	.Y(n_21278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704247 (
+	.A1(n_11432),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1217]),
+	.B1(n_11439),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1240]),
+	.Y(n_21277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704248 (
+	.A1(n_20238),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2227]),
+	.B1(n_20239),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2250]),
+	.Y(n_21276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704249 (
+	.A1(n_11640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1171]),
+	.B1(n_11483),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1194]),
+	.Y(n_21275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704250 (
+	.A1(n_20170),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2091]),
+	.B1(n_20176),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2114]),
+	.Y(n_21274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704251 (
+	.A1(n_20184),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1125]),
+	.B1(n_11485),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1148]),
+	.Y(n_21273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704252 (
+	.A1(n_20256),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [112]),
+	.B1(n_20157),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [135]),
+	.Y(n_21272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704253 (
+	.A1(n_20198),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1584]),
+	.B1(n_20263),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1607]),
+	.Y(n_21271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704254 (
+	.A1(n_20260),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1978]),
+	.B1(n_20261),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2001]),
+	.Y(n_21270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704255 (
+	.A1(n_20233),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1703]),
+	.B1(n_11469),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1726]),
+	.Y(n_21269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704256 (
+	.A1(n_11423),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2045]),
+	.B1(n_20168),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2068]),
+	.Y(n_21268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704257 (
+	.A1(n_20245),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1932]),
+	.B1(n_20229),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1955]),
+	.Y(n_21267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704258 (
+	.A1(n_20194),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1840]),
+	.B1(n_11609),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1863]),
+	.Y(n_21266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704259 (
+	.A1(n_20212),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1630]),
+	.B1(n_20214),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1653]),
+	.Y(n_21265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704260 (
+	.A1(n_20259),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [849]),
+	.B1(n_11489),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [872]),
+	.Y(n_21264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704261 (
+	.A1(n_20143),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [966]),
+	.B1(n_20144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [989]),
+	.Y(n_21263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704262 (
+	.A1(n_20237),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [249]),
+	.B1(n_20240),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [272]),
+	.Y(n_21262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704263 (
+	.A1(n_11400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [895]),
+	.B1(n_11490),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [918]),
+	.Y(n_21261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704264 (
+	.A1(n_20154),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1012]),
+	.B1(n_20142),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1035]),
+	.Y(n_21260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704265 (
+	.A1(n_20215),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [920]),
+	.B1(n_20138),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [943]),
+	.Y(n_21259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704266 (
+	.A1(n_20205),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1538]),
+	.B1(n_20206),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1561]),
+	.Y(n_21258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704267 (
+	.A1(n_20147),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [757]),
+	.B1(n_20148),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [780]),
+	.Y(n_21257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704268 (
+	.A1(n_20225),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1748]),
+	.B1(n_20231),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1771]),
+	.Y(n_21256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704269 (
+	.A1(n_11640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1171]),
+	.B1(n_11483),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1194]),
+	.Y(n_21255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704270 (
+	.A1(n_20233),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1702]),
+	.B1(n_11469),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1725]),
+	.Y(n_21254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704271 (
+	.A1(n_11470),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1656]),
+	.B1(n_11445),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1679]),
+	.Y(n_21253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704272 (
+	.A1(n_20208),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1492]),
+	.B1(n_20209),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1515]),
+	.Y(n_21252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704273 (
+	.A1(n_11432),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1217]),
+	.B1(n_11439),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1240]),
+	.Y(n_21251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704274 (
+	.A1(n_20145),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [782]),
+	.B1(n_20162),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [805]),
+	.Y(n_21250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704275 (
+	.A1(n_20259),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [828]),
+	.B1(n_11489),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [851]),
+	.Y(n_21249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704276 (
+	.A1(n_11431),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1263]),
+	.B1(n_20254),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1286]),
+	.Y(n_21248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704277 (
+	.A1(n_20147),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [736]),
+	.B1(n_20148),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [759]),
+	.Y(n_21247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704278 (
+	.A1(n_20237),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [250]),
+	.B1(n_20240),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [273]),
+	.Y(n_21246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704279 (
+	.A1(n_20184),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1125]),
+	.B1(n_11485),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1148]),
+	.Y(n_21245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704280 (
+	.A1(n_20198),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1564]),
+	.B1(n_20263),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1587]),
+	.Y(n_21244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704281 (
+	.A1(n_20224),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [341]),
+	.B1(n_11598),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [364]),
+	.Y(n_21243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704282 (
+	.A1(n_20205),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1518]),
+	.B1(n_20206),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1541]),
+	.Y(n_21242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704283 (
+	.A1(n_20143),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [987]),
+	.B1(n_20144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1010]),
+	.Y(n_21241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704284 (
+	.A1(n_20208),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1472]),
+	.B1(n_20209),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1495]),
+	.Y(n_21240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704285 (
+	.A1(n_20224),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [342]),
+	.B1(n_11598),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [365]),
+	.Y(n_21239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704286 (
+	.A1(n_20154),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1033]),
+	.B1(n_20142),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1056]),
+	.Y(n_21238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704287 (
+	.A1(n_11423),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2024]),
+	.B1(n_20168),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2047]),
+	.Y(n_21237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704288 (
+	.A1(n_20225),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1767]),
+	.B1(n_20231),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1790]),
+	.Y(n_21236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704289 (
+	.A1(n_20170),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2070]),
+	.B1(n_20176),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2093]),
+	.Y(n_21235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704290 (
+	.A1(n_20180),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1079]),
+	.B1(n_20151),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1102]),
+	.Y(n_21234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704291 (
+	.A1(n_11422),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2116]),
+	.B1(n_11478),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2139]),
+	.Y(n_21233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704292 (
+	.A1(n_20215),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [941]),
+	.B1(n_20138),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [964]),
+	.Y(n_21232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704293 (
+	.A1(n_11436),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [296]),
+	.B1(n_20219),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [319]),
+	.Y(n_21231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704294 (
+	.A1(n_11637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2852]),
+	.B1(n_20178),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2875]),
+	.Y(n_21230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704295 (
+	.A1(n_20187),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2898]),
+	.B1(n_20250),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2921]),
+	.Y(n_21229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704296 (
+	.A1(n_20182),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2806]),
+	.B1(n_20183),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2829]),
+	.Y(n_21228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704297 (
+	.A1(n_20163),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2760]),
+	.B1(n_20149),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2783]),
+	.Y(n_21227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704298 (
+	.A1(n_20141),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2576]),
+	.B1(n_11554),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2599]),
+	.Y(n_21226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704299 (
+	.A1(n_20251),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2459]),
+	.B1(n_20258),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2482]),
+	.Y(n_21225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704300 (
+	.A1(n_20204),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2505]),
+	.B1(n_11547),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2528]),
+	.Y(n_21224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704301 (
+	.A1(n_20140),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2714]),
+	.B1(n_11644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2737]),
+	.Y(n_21223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704302 (
+	.A1(n_11632),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2622]),
+	.B1(n_20169),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2645]),
+	.Y(n_21222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704303 (
+	.A1(n_11622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2551]),
+	.B1(n_11448),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2574]),
+	.Y(n_21221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704304 (
+	.A1(n_11453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2668]),
+	.B1(n_11488),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2691]),
+	.Y(n_21220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704305 (
+	.A1(n_20246),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [204]),
+	.B1(n_20253),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [227]),
+	.Y(n_21219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704306 (
+	.A1(n_20196),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2413]),
+	.B1(n_20161),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2436]),
+	.Y(n_21218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704307 (
+	.A1(n_20237),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [230]),
+	.B1(n_20240),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [253]),
+	.Y(n_21217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704308 (
+	.A1(n_20196),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2412]),
+	.B1(n_20161),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2435]),
+	.Y(n_21216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704309 (
+	.A1(n_11436),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [276]),
+	.B1(n_20219),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [299]),
+	.Y(n_21215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704310 (
+	.A1(n_11436),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [295]),
+	.B1(n_20219),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [318]),
+	.Y(n_21214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704311 (
+	.A1(n_20247),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2275]),
+	.B1(n_20248),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2298]),
+	.Y(n_21213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704312 (
+	.A1(n_20224),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [322]),
+	.B1(n_11598),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [345]),
+	.Y(n_21212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704313 (
+	.A1(n_20246),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [184]),
+	.B1(n_20253),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [207]),
+	.Y(n_21211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704314 (
+	.A1(n_20221),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1813]),
+	.B1(n_20223),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1836]),
+	.Y(n_21210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704315 (
+	.A1(n_20241),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2321]),
+	.B1(n_20243),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2344]),
+	.Y(n_21209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704316 (
+	.A1(n_20251),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2458]),
+	.B1(n_20258),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2481]),
+	.Y(n_21208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704317 (
+	.A1(n_20256),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [92]),
+	.B1(n_20157),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [115]),
+	.Y(n_21207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704318 (
+	.A1(n_20207),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [709]),
+	.B1(n_11556),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [732]),
+	.Y(n_21206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704319 (
+	.A1(n_20255),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2367]),
+	.B1(n_20249),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2390]),
+	.Y(n_21205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704320 (
+	.A1(n_20232),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [46]),
+	.B1(n_20199),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [69]),
+	.Y(n_21204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704321 (
+	.A1(n_20238),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2229]),
+	.B1(n_20239),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2252]),
+	.Y(n_21203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704322 (
+	.A1(n_20251),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2438]),
+	.B1(n_20258),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2461]),
+	.Y(n_21202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704323 (
+	.A1(n_11622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2550]),
+	.B1(n_11448),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2573]),
+	.Y(n_21201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704324 (
+	.A1(n_20204),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2484]),
+	.B1(n_11547),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2507]),
+	.Y(n_21200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704325 (
+	.A1(n_11404),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [665]),
+	.B1(n_20244),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [688]),
+	.Y(n_21199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704326 (
+	.A1(n_20196),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2392]),
+	.B1(n_20161),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2415]),
+	.Y(n_21198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704327 (
+	.A1(n_20241),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2300]),
+	.B1(n_20243),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2323]),
+	.Y(n_21197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704328 (
+	.A1(n_20207),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [711]),
+	.B1(n_11556),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [734]),
+	.Y(n_21196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704329 (
+	.A1(n_20204),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2504]),
+	.B1(n_11547),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2527]),
+	.Y(n_21195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704330 (
+	.A1(n_20255),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2346]),
+	.B1(n_20249),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2369]),
+	.Y(n_21194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704331 (
+	.A1(n_11470),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1675]),
+	.B1(n_11445),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1698]),
+	.Y(n_21193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704332 (
+	.A1(n_11459),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [619]),
+	.B1(n_11581),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [642]),
+	.Y(n_21192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g704333 (
+	.A1(n_20238),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2208]),
+	.B1(n_20239),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2231]),
+	.X(n_21191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704334 (
+	.A1(n_20242),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1288]),
+	.B1(n_20189),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1311]),
+	.Y(n_21190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704335 (
+	.A1(n_20191),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [573]),
+	.B1(n_20195),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [596]),
+	.Y(n_21189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704336 (
+	.A1(n_11435),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [481]),
+	.B1(n_20158),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [504]),
+	.Y(n_21188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704337 (
+	.A1(n_20236),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1426]),
+	.B1(n_20197),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1449]),
+	.Y(n_21187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704338 (
+	.A1(n_20145),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [783]),
+	.B1(n_20162),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [806]),
+	.Y(n_21186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704339 (
+	.A1(n_20203),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1380]),
+	.B1(n_20210),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1403]),
+	.Y(n_21185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704340 (
+	.A1(n_20238),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2228]),
+	.B1(n_20239),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2251]),
+	.Y(n_21184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704341 (
+	.A1(n_20184),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1104]),
+	.B1(n_11485),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1127]),
+	.Y(n_21183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704342 (
+	.A1(n_20174),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [527]),
+	.B1(n_11567),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [550]),
+	.Y(n_21182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704343 (
+	.A1(n_20247),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2274]),
+	.B1(n_20248),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2297]),
+	.Y(n_21181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704344 (
+	.A1(n_11640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1150]),
+	.B1(n_11483),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1173]),
+	.Y(n_21180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g704345 (
+	.A1(n_11431),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1242]),
+	.B1(n_20254),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1265]),
+	.X(n_21179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704346 (
+	.A1(n_20177),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [435]),
+	.B1(n_20220),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [458]),
+	.Y(n_21178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704347 (
+	.A1(n_20153),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [389]),
+	.B1(n_20202),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [412]),
+	.Y(n_21177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704348 (
+	.A1(n_11459),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [598]),
+	.B1(n_11581),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [621]),
+	.Y(n_21176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704349 (
+	.A1(n_20207),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [690]),
+	.B1(n_11556),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [713]),
+	.Y(n_21175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704350 (
+	.A1(n_20255),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2366]),
+	.B1(n_20249),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2389]),
+	.Y(n_21174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704351 (
+	.A1(n_11404),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [644]),
+	.B1(n_20244),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [667]),
+	.Y(n_21173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704352 (
+	.A1(n_20191),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [552]),
+	.B1(n_20195),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [575]),
+	.Y(n_21172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704353 (
+	.A1(n_20177),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [414]),
+	.B1(n_20220),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [437]),
+	.Y(n_21171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704354 (
+	.A1(n_20241),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2320]),
+	.B1(n_20243),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2343]),
+	.Y(n_21170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704355 (
+	.A1(n_20246),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [205]),
+	.B1(n_20253),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [228]),
+	.Y(n_21169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704356 (
+	.A1(n_20153),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [368]),
+	.B1(n_20202),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [391]),
+	.Y(n_21168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704357 (
+	.A1(n_20174),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [506]),
+	.B1(n_11567),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [529]),
+	.Y(n_21167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704358 (
+	.A1(n_20224),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [343]),
+	.B1(n_11598),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [366]),
+	.Y(n_21166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704359 (
+	.A1(n_11435),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [460]),
+	.B1(n_20158),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [483]),
+	.Y(n_21165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704360 (
+	.A1(n_20180),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1078]),
+	.B1(n_20151),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1101]),
+	.Y(n_21164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704361 (
+	.A1(n_20237),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [251]),
+	.B1(n_20240),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [274]),
+	.Y(n_21163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704362 (
+	.A1(n_20208),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1491]),
+	.B1(n_20209),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1514]),
+	.Y(n_21162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704363 (
+	.A1(n_20203),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1402]),
+	.B1(n_20210),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1425]),
+	.Y(n_21161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704364 (
+	.A1(n_20236),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1448]),
+	.B1(n_20197),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1471]),
+	.Y(n_21160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704365 (
+	.A1(n_20242),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1310]),
+	.B1(n_20189),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1333]),
+	.Y(n_21159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704366 (
+	.A1(n_20215),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [940]),
+	.B1(n_20138),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [963]),
+	.Y(n_21158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704367 (
+	.A1(n_20245),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1954]),
+	.B1(n_20229),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1977]),
+	.Y(n_21157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704368 (
+	.A1(n_20232),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [67]),
+	.B1(n_20199),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [90]),
+	.Y(n_21156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704369 (
+	.A1(n_20260),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2000]),
+	.B1(n_20261),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2023]),
+	.Y(n_21155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704370 (
+	.A1(n_20194),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1862]),
+	.B1(n_11609),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1885]),
+	.Y(n_21154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704371 (
+	.A1(n_20194),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1860]),
+	.B1(n_11609),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1883]),
+	.Y(n_21153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704372 (
+	.A1(n_11422),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2138]),
+	.B1(n_11478),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2161]),
+	.Y(n_21152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704373 (
+	.A1(n_20143),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [986]),
+	.B1(n_20144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1009]),
+	.Y(n_21151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704374 (
+	.A1(n_11479),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2184]),
+	.B1(n_20165),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2207]),
+	.Y(n_21150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704375 (
+	.A1(n_20182),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2827]),
+	.B1(n_20183),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2850]),
+	.Y(n_21149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704376 (
+	.A1(n_11423),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2046]),
+	.B1(n_20168),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2069]),
+	.Y(n_21148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704377 (
+	.A1(n_11637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2873]),
+	.B1(n_20178),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2896]),
+	.Y(n_21147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704378 (
+	.A1(n_20154),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1032]),
+	.B1(n_20142),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1055]),
+	.Y(n_21146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704379 (
+	.A1(n_20198),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1586]),
+	.B1(n_20263),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1609]),
+	.Y(n_21145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704380 (
+	.A1(n_20205),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1537]),
+	.B1(n_20206),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1560]),
+	.Y(n_21144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704381 (
+	.A1(n_20187),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2919]),
+	.B1(n_20250),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2942]),
+	.Y(n_21143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704382 (
+	.A1(n_20212),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1632]),
+	.B1(n_20214),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1655]),
+	.Y(n_21142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704383 (
+	.A1(n_20208),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1494]),
+	.B1(n_20209),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1517]),
+	.Y(n_21141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704384 (
+	.A1(n_20145),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [802]),
+	.B1(n_20162),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [825]),
+	.Y(n_21140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704385 (
+	.A1(n_20198),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1583]),
+	.B1(n_20263),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1606]),
+	.Y(n_21139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704386 (
+	.A1(n_11640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1172]),
+	.B1(n_11483),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1195]),
+	.Y(n_21138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704387 (
+	.A1(n_11432),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1218]),
+	.B1(n_11439),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1241]),
+	.Y(n_21137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704388 (
+	.A1(n_20163),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2781]),
+	.B1(n_20149),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2804]),
+	.Y(n_21136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704389 (
+	.A1(n_20140),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2735]),
+	.B1(n_11644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2758]),
+	.Y(n_21135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704390 (
+	.A1(n_11431),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1264]),
+	.B1(n_20254),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1287]),
+	.Y(n_21134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704391 (
+	.A1(n_20184),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1126]),
+	.B1(n_11485),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1149]),
+	.Y(n_21133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704392 (
+	.A1(n_20147),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [756]),
+	.B1(n_20148),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [779]),
+	.Y(n_21132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704393 (
+	.A1(n_20233),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1724]),
+	.B1(n_11469),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1747]),
+	.Y(n_21131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704394 (
+	.A1(n_11632),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2643]),
+	.B1(n_20169),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2666]),
+	.Y(n_21130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704395 (
+	.A1(n_20225),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1770]),
+	.B1(n_20231),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1793]),
+	.Y(n_21129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704396 (
+	.A1(n_11400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [894]),
+	.B1(n_11490),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [917]),
+	.Y(n_21128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704397 (
+	.A1(n_11453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2689]),
+	.B1(n_11488),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2712]),
+	.Y(n_21127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704398 (
+	.A1(n_20221),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1816]),
+	.B1(n_20223),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1839]),
+	.Y(n_21126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704399 (
+	.A1(n_11470),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1678]),
+	.B1(n_11445),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1701]),
+	.Y(n_21125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704400 (
+	.A1(n_20141),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2597]),
+	.B1(n_11554),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2620]),
+	.Y(n_21124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704401 (
+	.A1(n_20182),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2828]),
+	.B1(n_20183),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2851]),
+	.Y(n_21123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704402 (
+	.A1(n_20163),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2782]),
+	.B1(n_20149),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2805]),
+	.Y(n_21122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704403 (
+	.A1(n_20225),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1769]),
+	.B1(n_20231),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1792]),
+	.Y(n_21121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704404 (
+	.A1(n_20187),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2920]),
+	.B1(n_20250),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2943]),
+	.Y(n_21120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704405 (
+	.A1(n_20259),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [848]),
+	.B1(n_11489),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [871]),
+	.Y(n_21119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704406 (
+	.A1(n_11637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2874]),
+	.B1(n_20178),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2897]),
+	.Y(n_21118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704407 (
+	.A1(n_20221),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1815]),
+	.B1(n_20223),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1838]),
+	.Y(n_21117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704408 (
+	.A1(n_11632),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2644]),
+	.B1(n_20169),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2667]),
+	.Y(n_21116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704409 (
+	.A1(n_11453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2690]),
+	.B1(n_11488),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2713]),
+	.Y(n_21115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704410 (
+	.A1(n_20191),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [571]),
+	.B1(n_20195),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [594]),
+	.Y(n_21114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704411 (
+	.A1(n_20233),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1723]),
+	.B1(n_11469),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1746]),
+	.Y(n_21113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704412 (
+	.A1(n_20140),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2736]),
+	.B1(n_11644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2759]),
+	.Y(n_21112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704413 (
+	.A1(n_20236),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1445]),
+	.B1(n_20197),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1468]),
+	.Y(n_21111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704414 (
+	.A1(n_20141),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2598]),
+	.B1(n_11554),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2621]),
+	.Y(n_21110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704415 (
+	.A1(n_20140),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2733]),
+	.B1(n_11644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2756]),
+	.Y(n_21109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704416 (
+	.A1(n_11470),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1677]),
+	.B1(n_11445),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1700]),
+	.Y(n_21108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704417 (
+	.A1(n_11436),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [298]),
+	.B1(n_20219),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [321]),
+	.Y(n_21107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704418 (
+	.A1(n_20224),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [344]),
+	.B1(n_11598),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [367]),
+	.Y(n_21106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704419 (
+	.A1(n_20198),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1585]),
+	.B1(n_20263),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1608]),
+	.Y(n_21105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704420 (
+	.A1(n_20237),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [252]),
+	.B1(n_20240),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [275]),
+	.Y(n_21104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704421 (
+	.A1(n_20246),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [206]),
+	.B1(n_20253),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [229]),
+	.Y(n_21103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704422 (
+	.A1(n_20208),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1493]),
+	.B1(n_20209),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1516]),
+	.Y(n_21102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704423 (
+	.A1(n_20187),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2918]),
+	.B1(n_20250),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2941]),
+	.Y(n_21101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704424 (
+	.A1(n_20212),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1631]),
+	.B1(n_20214),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1654]),
+	.Y(n_21100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704425 (
+	.A1(n_20232),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [68]),
+	.B1(n_20199),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [91]),
+	.Y(n_21099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704426 (
+	.A1(n_20256),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [114]),
+	.B1(n_20157),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [137]),
+	.Y(n_21098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704427 (
+	.A1(n_20205),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1539]),
+	.B1(n_20206),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1562]),
+	.Y(n_21097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704428 (
+	.A1(n_11398),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1353]),
+	.B1(n_20226),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1376]),
+	.Y(n_21096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704429 (
+	.A1(n_20182),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2826]),
+	.B1(n_20183),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2849]),
+	.Y(n_21095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704430 (
+	.A1(n_20204),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2506]),
+	.B1(n_11547),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2529]),
+	.Y(n_21094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704431 (
+	.A1(n_20251),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2460]),
+	.B1(n_20258),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2483]),
+	.Y(n_21093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704432 (
+	.A1(n_20163),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2780]),
+	.B1(n_20149),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2803]),
+	.Y(n_21092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704433 (
+	.A1(n_20196),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2414]),
+	.B1(n_20161),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2437]),
+	.Y(n_21091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704434 (
+	.A1(n_20241),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2322]),
+	.B1(n_20243),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2345]),
+	.Y(n_21090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704435 (
+	.A1(n_20238),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2226]),
+	.B1(n_20239),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2249]),
+	.Y(n_21089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704436 (
+	.A1(n_20255),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2368]),
+	.B1(n_20249),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2391]),
+	.Y(n_21088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704437 (
+	.A1(n_20238),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2230]),
+	.B1(n_20239),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2253]),
+	.Y(n_21087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704438 (
+	.A1(n_20255),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2364]),
+	.B1(n_20249),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2387]),
+	.Y(n_21086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704439 (
+	.A1(n_11459),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [620]),
+	.B1(n_11581),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [643]),
+	.Y(n_21085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704440 (
+	.A1(n_20241),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2318]),
+	.B1(n_20243),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2341]),
+	.Y(n_21084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704441 (
+	.A1(n_11404),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [666]),
+	.B1(n_20244),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [689]),
+	.Y(n_21083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704442 (
+	.A1(n_20207),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [712]),
+	.B1(n_11556),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [735]),
+	.Y(n_21082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704443 (
+	.A1(n_11632),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2642]),
+	.B1(n_20169),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2665]),
+	.Y(n_21081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704444 (
+	.A1(n_20191),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [574]),
+	.B1(n_20195),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [597]),
+	.Y(n_21080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704445 (
+	.A1(n_11453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2685]),
+	.B1(n_11488),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2708]),
+	.Y(n_21079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704446 (
+	.A1(n_11435),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [482]),
+	.B1(n_20158),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [505]),
+	.Y(n_21078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704447 (
+	.A1(n_20174),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [528]),
+	.B1(n_11567),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [551]),
+	.Y(n_21077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704448 (
+	.A1(n_11404),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [662]),
+	.B1(n_20244),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [685]),
+	.Y(n_21076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704449 (
+	.A1(n_20177),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [436]),
+	.B1(n_20220),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [459]),
+	.Y(n_21075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704450 (
+	.A1(n_20153),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [390]),
+	.B1(n_20202),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [413]),
+	.Y(n_21074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704451 (
+	.A1(n_20140),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2734]),
+	.B1(n_11644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2757]),
+	.Y(n_21073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704452 (
+	.A1(n_20207),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [708]),
+	.B1(n_11556),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [731]),
+	.Y(n_21072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704453 (
+	.A1(n_20191),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [570]),
+	.B1(n_20195),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [593]),
+	.Y(n_21071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704454 (
+	.A1(n_20143),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [988]),
+	.B1(n_20144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1011]),
+	.Y(n_21070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704455 (
+	.A1(n_20180),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1080]),
+	.B1(n_20151),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1103]),
+	.Y(n_21069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704456 (
+	.A1(n_20154),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1034]),
+	.B1(n_20142),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1057]),
+	.Y(n_21068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704457 (
+	.A1(n_11453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2688]),
+	.B1(n_11488),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2711]),
+	.Y(n_21067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704458 (
+	.A1(n_20215),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [942]),
+	.B1(n_20138),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [965]),
+	.Y(n_21066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704459 (
+	.A1(n_11400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [896]),
+	.B1(n_11490),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [919]),
+	.Y(n_21065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704460 (
+	.A1(n_20145),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [804]),
+	.B1(n_20162),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [827]),
+	.Y(n_21064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704461 (
+	.A1(n_20196),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2410]),
+	.B1(n_20161),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2433]),
+	.Y(n_21063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704462 (
+	.A1(n_20259),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [850]),
+	.B1(n_11489),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [873]),
+	.Y(n_21062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704463 (
+	.A1(n_20242),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1307]),
+	.B1(n_20189),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1330]),
+	.Y(n_21061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704464 (
+	.A1(n_20147),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [758]),
+	.B1(n_20148),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [781]),
+	.Y(n_21060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704465 (
+	.A1(n_20140),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2731]),
+	.B1(n_11644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2754]),
+	.Y(n_21059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704466 (
+	.A1(n_11622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2548]),
+	.B1(n_11448),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2571]),
+	.Y(n_21058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704467 (
+	.A1(n_20251),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2456]),
+	.B1(n_20258),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2479]),
+	.Y(n_21057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704468 (
+	.A1(n_20236),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1446]),
+	.B1(n_20197),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1469]),
+	.Y(n_21056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704469 (
+	.A1(n_20238),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2227]),
+	.B1(n_20239),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2250]),
+	.Y(n_21055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704470 (
+	.A1(n_20241),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2319]),
+	.B1(n_20243),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2342]),
+	.Y(n_21054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704471 (
+	.A1(n_11632),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2639]),
+	.B1(n_20169),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2662]),
+	.Y(n_21053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704472 (
+	.A1(n_20255),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2365]),
+	.B1(n_20249),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2388]),
+	.Y(n_21052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704473 (
+	.A1(n_20247),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2273]),
+	.B1(n_20248),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2296]),
+	.Y(n_21051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704474 (
+	.A1(n_11459),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [617]),
+	.B1(n_11581),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [640]),
+	.Y(n_21050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704475 (
+	.A1(n_20242),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1308]),
+	.B1(n_20189),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1331]),
+	.Y(n_21049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704476 (
+	.A1(n_20191),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [571]),
+	.B1(n_20195),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [594]),
+	.Y(n_21048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704477 (
+	.A1(n_20207),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [709]),
+	.B1(n_11556),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [732]),
+	.Y(n_21047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704478 (
+	.A1(n_20232),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [64]),
+	.B1(n_20199),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [87]),
+	.Y(n_21046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704479 (
+	.A1(n_11404),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [663]),
+	.B1(n_20244),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [686]),
+	.Y(n_21045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704480 (
+	.A1(n_20256),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [110]),
+	.B1(n_20157),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [133]),
+	.Y(n_21044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704481 (
+	.A1(n_20196),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2411]),
+	.B1(n_20161),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2434]),
+	.Y(n_21043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704482 (
+	.A1(n_11398),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1354]),
+	.B1(n_20226),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1377]),
+	.Y(n_21042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704483 (
+	.A1(n_20251),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2457]),
+	.B1(n_20258),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2480]),
+	.Y(n_21041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704484 (
+	.A1(n_11622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2549]),
+	.B1(n_11448),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2572]),
+	.Y(n_21040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704485 (
+	.A1(n_20203),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1400]),
+	.B1(n_20210),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1423]),
+	.Y(n_21039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704486 (
+	.A1(n_20177),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [432]),
+	.B1(n_20220),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [455]),
+	.Y(n_21038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704487 (
+	.A1(n_20204),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2503]),
+	.B1(n_11547),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2526]),
+	.Y(n_21037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704488 (
+	.A1(n_20232),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [65]),
+	.B1(n_20199),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [88]),
+	.Y(n_21036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704489 (
+	.A1(n_11435),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [478]),
+	.B1(n_20158),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [501]),
+	.Y(n_21035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704490 (
+	.A1(n_11431),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1262]),
+	.B1(n_20254),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1285]),
+	.Y(n_21034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704491 (
+	.A1(n_20174),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [524]),
+	.B1(n_11567),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [547]),
+	.Y(n_21033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704492 (
+	.A1(n_20256),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [111]),
+	.B1(n_20157),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [134]),
+	.Y(n_21032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704493 (
+	.A1(n_20177),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [433]),
+	.B1(n_20220),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [456]),
+	.Y(n_21031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704494 (
+	.A1(n_20153),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [386]),
+	.B1(n_20202),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [409]),
+	.Y(n_21030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704495 (
+	.A1(n_20174),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [525]),
+	.B1(n_11567),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [548]),
+	.Y(n_21029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704496 (
+	.A1(n_11479),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2179]),
+	.B1(n_20165),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2202]),
+	.Y(n_21028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704497 (
+	.A1(n_20184),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1124]),
+	.B1(n_11485),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1147]),
+	.Y(n_21027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704498 (
+	.A1(n_11436),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [294]),
+	.B1(n_20219),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [317]),
+	.Y(n_21026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704499 (
+	.A1(n_11435),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [479]),
+	.B1(n_20158),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [502]),
+	.Y(n_21025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704500 (
+	.A1(n_20246),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [202]),
+	.B1(n_20253),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [225]),
+	.Y(n_21024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704501 (
+	.A1(n_20237),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [249]),
+	.B1(n_20240),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [272]),
+	.Y(n_21023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704502 (
+	.A1(n_20224),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [341]),
+	.B1(n_11598),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [364]),
+	.Y(n_21022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704503 (
+	.A1(n_11640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1170]),
+	.B1(n_11483),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1193]),
+	.Y(n_21021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704504 (
+	.A1(n_20224),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [340]),
+	.B1(n_11598),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [363]),
+	.Y(n_21020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704505 (
+	.A1(n_11436),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [295]),
+	.B1(n_20219),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [318]),
+	.Y(n_21019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704506 (
+	.A1(n_20237),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [248]),
+	.B1(n_20240),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [271]),
+	.Y(n_21018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704507 (
+	.A1(n_11423),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2043]),
+	.B1(n_20168),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2066]),
+	.Y(n_21017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704508 (
+	.A1(n_11432),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1216]),
+	.B1(n_11439),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1239]),
+	.Y(n_21016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704509 (
+	.A1(n_20170),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2089]),
+	.B1(n_20176),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2112]),
+	.Y(n_21015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704510 (
+	.A1(n_11422),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2135]),
+	.B1(n_11478),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2158]),
+	.Y(n_21014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704511 (
+	.A1(n_11422),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2134]),
+	.B1(n_11478),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2157]),
+	.Y(n_21013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704512 (
+	.A1(n_20187),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2917]),
+	.B1(n_20250),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2940]),
+	.Y(n_21012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704513 (
+	.A1(n_11423),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2042]),
+	.B1(n_20168),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2065]),
+	.Y(n_21011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704514 (
+	.A1(n_20194),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1859]),
+	.B1(n_11609),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1882]),
+	.Y(n_21010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704515 (
+	.A1(n_20260),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1997]),
+	.B1(n_20261),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2020]),
+	.Y(n_21009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704516 (
+	.A1(n_20170),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2087]),
+	.B1(n_20176),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2110]),
+	.Y(n_21008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704517 (
+	.A1(n_11479),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2182]),
+	.B1(n_20165),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2205]),
+	.Y(n_21007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704518 (
+	.A1(n_20245),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1951]),
+	.B1(n_20229),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1974]),
+	.Y(n_21006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704519 (
+	.A1(n_11479),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2180]),
+	.B1(n_20165),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2203]),
+	.Y(n_21005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704520 (
+	.A1(n_20170),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2088]),
+	.B1(n_20176),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2111]),
+	.Y(n_21004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704521 (
+	.A1(n_20143),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [985]),
+	.B1(n_20144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1008]),
+	.Y(n_21003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704522 (
+	.A1(n_20154),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1031]),
+	.B1(n_20142),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1054]),
+	.Y(n_21002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704523 (
+	.A1(n_11422),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2133]),
+	.B1(n_11478),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2156]),
+	.Y(n_21001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704524 (
+	.A1(n_20170),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2090]),
+	.B1(n_20176),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2113]),
+	.Y(n_21000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704525 (
+	.A1(n_20245),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1950]),
+	.B1(n_20229),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1973]),
+	.Y(n_20999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704526 (
+	.A1(n_20215),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [939]),
+	.B1(n_20138),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [962]),
+	.Y(n_20998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704527 (
+	.A1(n_20147),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [755]),
+	.B1(n_20148),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [778]),
+	.Y(n_20997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704528 (
+	.A1(n_20194),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1858]),
+	.B1(n_11609),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1881]),
+	.Y(n_20996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704529 (
+	.A1(n_11400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [893]),
+	.B1(n_11490),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [916]),
+	.Y(n_20995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704530 (
+	.A1(n_11422),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2136]),
+	.B1(n_11478),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2159]),
+	.Y(n_20994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704531 (
+	.A1(n_20145),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [801]),
+	.B1(n_20162),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [824]),
+	.Y(n_20993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704532 (
+	.A1(n_20260),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1996]),
+	.B1(n_20261),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2019]),
+	.Y(n_20992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704533 (
+	.A1(n_11420),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1904]),
+	.B1(n_20211),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1927]),
+	.Y(n_20991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704534 (
+	.A1(n_20225),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1767]),
+	.B1(n_20231),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1790]),
+	.Y(n_20990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704535 (
+	.A1(n_11470),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1675]),
+	.B1(n_11445),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1698]),
+	.Y(n_20989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704536 (
+	.A1(n_20221),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1813]),
+	.B1(n_20223),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1836]),
+	.Y(n_20988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704537 (
+	.A1(n_11423),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2044]),
+	.B1(n_20168),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2067]),
+	.Y(n_20987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704538 (
+	.A1(n_20180),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1076]),
+	.B1(n_20151),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1099]),
+	.Y(n_20986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704539 (
+	.A1(n_20233),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1721]),
+	.B1(n_11469),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1744]),
+	.Y(n_20985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704540 (
+	.A1(n_20205),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1537]),
+	.B1(n_20206),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1560]),
+	.Y(n_20984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704541 (
+	.A1(n_20208),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1491]),
+	.B1(n_20209),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1514]),
+	.Y(n_20983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704542 (
+	.A1(n_20143),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [984]),
+	.B1(n_20144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1007]),
+	.Y(n_20982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704543 (
+	.A1(n_20212),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1629]),
+	.B1(n_20214),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1652]),
+	.Y(n_20981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704544 (
+	.A1(n_20260),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1998]),
+	.B1(n_20261),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2021]),
+	.Y(n_20980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704545 (
+	.A1(n_20198),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1583]),
+	.B1(n_20263),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1606]),
+	.Y(n_20979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704546 (
+	.A1(n_20154),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1030]),
+	.B1(n_20142),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1053]),
+	.Y(n_20978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704547 (
+	.A1(n_20215),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [938]),
+	.B1(n_20138),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [961]),
+	.Y(n_20977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704548 (
+	.A1(n_20204),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2501]),
+	.B1(n_11547),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2524]),
+	.Y(n_20976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704549 (
+	.A1(n_20187),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2917]),
+	.B1(n_20250),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2940]),
+	.Y(n_20975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704550 (
+	.A1(n_20182),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2825]),
+	.B1(n_20183),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2848]),
+	.Y(n_20974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704551 (
+	.A1(n_20194),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1860]),
+	.B1(n_11609),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1883]),
+	.Y(n_20973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704552 (
+	.A1(n_20259),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [846]),
+	.B1(n_11489),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [869]),
+	.Y(n_20972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704553 (
+	.A1(n_11637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2871]),
+	.B1(n_20178),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2894]),
+	.Y(n_20971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704554 (
+	.A1(n_11400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [892]),
+	.B1(n_11490),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [915]),
+	.Y(n_20970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704555 (
+	.A1(n_20141),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2595]),
+	.B1(n_11554),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2618]),
+	.Y(n_20969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704556 (
+	.A1(n_20140),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2733]),
+	.B1(n_11644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2756]),
+	.Y(n_20968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704557 (
+	.A1(n_11420),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1906]),
+	.B1(n_20211),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1929]),
+	.Y(n_20967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704558 (
+	.A1(n_20145),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [800]),
+	.B1(n_20162),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [823]),
+	.Y(n_20966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704559 (
+	.A1(n_11453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2687]),
+	.B1(n_11488),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2710]),
+	.Y(n_20965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704560 (
+	.A1(n_20147),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [754]),
+	.B1(n_20148),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [777]),
+	.Y(n_20964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704561 (
+	.A1(n_11398),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1353]),
+	.B1(n_20226),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1376]),
+	.Y(n_20963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704562 (
+	.A1(n_20245),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1952]),
+	.B1(n_20229),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1975]),
+	.Y(n_20962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704563 (
+	.A1(n_20236),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1445]),
+	.B1(n_20197),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1468]),
+	.Y(n_20961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704564 (
+	.A1(n_11622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2547]),
+	.B1(n_11448),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2570]),
+	.Y(n_20960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704565 (
+	.A1(n_20203),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1399]),
+	.B1(n_20210),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1422]),
+	.Y(n_20959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704566 (
+	.A1(n_11431),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1261]),
+	.B1(n_20254),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1284]),
+	.Y(n_20958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704567 (
+	.A1(n_20236),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1444]),
+	.B1(n_20197),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1467]),
+	.Y(n_20957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704568 (
+	.A1(n_20184),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1123]),
+	.B1(n_11485),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1146]),
+	.Y(n_20956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704569 (
+	.A1(n_11640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1169]),
+	.B1(n_11483),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1192]),
+	.Y(n_20955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704570 (
+	.A1(n_11432),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1215]),
+	.B1(n_11439),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1238]),
+	.Y(n_20954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704571 (
+	.A1(n_20203),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1398]),
+	.B1(n_20210),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1421]),
+	.Y(n_20953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704572 (
+	.A1(n_20182),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2825]),
+	.B1(n_20183),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2848]),
+	.Y(n_20952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704573 (
+	.A1(n_20242),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1306]),
+	.B1(n_20189),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1329]),
+	.Y(n_20951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704574 (
+	.A1(n_11637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2855]),
+	.B1(n_20178),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2878]),
+	.Y(n_20950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704575 (
+	.A1(n_20251),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2455]),
+	.B1(n_20258),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2478]),
+	.Y(n_20949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704576 (
+	.A1(n_20187),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2901]),
+	.B1(n_20250),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2924]),
+	.Y(n_20948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704577 (
+	.A1(n_20182),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2809]),
+	.B1(n_20183),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2832]),
+	.Y(n_20947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704578 (
+	.A1(n_20163),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2763]),
+	.B1(n_20149),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2786]),
+	.Y(n_20946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704579 (
+	.A1(n_20184),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1122]),
+	.B1(n_11485),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1145]),
+	.Y(n_20945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704580 (
+	.A1(n_20174),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [509]),
+	.B1(n_11567),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [532]),
+	.Y(n_20944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704581 (
+	.A1(n_11632),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2641]),
+	.B1(n_20169),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2664]),
+	.Y(n_20943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704582 (
+	.A1(n_20153),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [371]),
+	.B1(n_20202),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [394]),
+	.Y(n_20942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704583 (
+	.A1(n_11479),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2184]),
+	.B1(n_20165),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2207]),
+	.Y(n_20941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704584 (
+	.A1(n_20177),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [417]),
+	.B1(n_20220),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [440]),
+	.Y(n_20940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704585 (
+	.A1(n_11640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1168]),
+	.B1(n_11483),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1191]),
+	.Y(n_20939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704586 (
+	.A1(n_11435),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [463]),
+	.B1(n_20158),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [486]),
+	.Y(n_20938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704587 (
+	.A1(n_11459),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [601]),
+	.B1(n_11581),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [624]),
+	.Y(n_20937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704588 (
+	.A1(n_11432),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1214]),
+	.B1(n_11439),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1237]),
+	.Y(n_20936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704589 (
+	.A1(n_20191),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [555]),
+	.B1(n_20195),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [578]),
+	.Y(n_20935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704590 (
+	.A1(n_20170),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2092]),
+	.B1(n_20176),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2115]),
+	.Y(n_20934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704591 (
+	.A1(n_20207),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [693]),
+	.B1(n_11556),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [716]),
+	.Y(n_20933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704592 (
+	.A1(n_20187),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2916]),
+	.B1(n_20250),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2939]),
+	.Y(n_20932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704593 (
+	.A1(n_11404),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [647]),
+	.B1(n_20244),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [670]),
+	.Y(n_20931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704594 (
+	.A1(n_20163),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2778]),
+	.B1(n_20149),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2801]),
+	.Y(n_20930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704595 (
+	.A1(n_11422),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2138]),
+	.B1(n_11478),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2161]),
+	.Y(n_20929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704596 (
+	.A1(n_20232),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [49]),
+	.B1(n_20199),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [72]),
+	.Y(n_20928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704597 (
+	.A1(n_20182),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2824]),
+	.B1(n_20183),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2847]),
+	.Y(n_20927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704598 (
+	.A1(n_20256),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [95]),
+	.B1(n_20157),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [118]),
+	.Y(n_20926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704599 (
+	.A1(n_11640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1169]),
+	.B1(n_11483),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1192]),
+	.Y(n_20925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704600 (
+	.A1(n_11637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2870]),
+	.B1(n_20178),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2893]),
+	.Y(n_20924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704601 (
+	.A1(n_20245),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1949]),
+	.B1(n_20229),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1972]),
+	.Y(n_20923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704602 (
+	.A1(n_20140),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2717]),
+	.B1(n_11644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2740]),
+	.Y(n_20922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704603 (
+	.A1(n_11453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2686]),
+	.B1(n_11488),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2709]),
+	.Y(n_20921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704604 (
+	.A1(n_11453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2671]),
+	.B1(n_11488),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2694]),
+	.Y(n_20920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704605 (
+	.A1(n_20141),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2579]),
+	.B1(n_11554),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2602]),
+	.Y(n_20919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704606 (
+	.A1(n_20141),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2594]),
+	.B1(n_11554),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2617]),
+	.Y(n_20918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704607 (
+	.A1(n_11436),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [279]),
+	.B1(n_20219),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [302]),
+	.Y(n_20917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704608 (
+	.A1(n_11422),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2136]),
+	.B1(n_11478),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2159]),
+	.Y(n_20916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704609 (
+	.A1(n_20174),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [528]),
+	.B1(n_11567),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [551]),
+	.Y(n_20915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704610 (
+	.A1(n_20224),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [325]),
+	.B1(n_11598),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [348]),
+	.Y(n_20914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704611 (
+	.A1(n_20140),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2732]),
+	.B1(n_11644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2755]),
+	.Y(n_20913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704612 (
+	.A1(n_20237),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [233]),
+	.B1(n_20240),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [256]),
+	.Y(n_20912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704613 (
+	.A1(n_11632),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2640]),
+	.B1(n_20169),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2663]),
+	.Y(n_20911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704614 (
+	.A1(n_20260),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1995]),
+	.B1(n_20261),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2018]),
+	.Y(n_20910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704615 (
+	.A1(n_20215),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [923]),
+	.B1(n_20138),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [946]),
+	.Y(n_20909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704616 (
+	.A1(n_11470),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1674]),
+	.B1(n_11445),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1697]),
+	.Y(n_20908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704617 (
+	.A1(n_20180),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1061]),
+	.B1(n_20151),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1084]),
+	.Y(n_20907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704618 (
+	.A1(n_20143),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [969]),
+	.B1(n_20144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [992]),
+	.Y(n_20906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704619 (
+	.A1(n_20177),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [436]),
+	.B1(n_20220),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [459]),
+	.Y(n_20905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704620 (
+	.A1(n_20233),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1720]),
+	.B1(n_11469),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1743]),
+	.Y(n_20904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704621 (
+	.A1(n_20147),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [739]),
+	.B1(n_20148),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [762]),
+	.Y(n_20903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704622 (
+	.A1(n_11435),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [482]),
+	.B1(n_20158),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [505]),
+	.Y(n_20902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704623 (
+	.A1(n_20221),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1812]),
+	.B1(n_20223),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1835]),
+	.Y(n_20901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704624 (
+	.A1(n_11400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [877]),
+	.B1(n_11490),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [900]),
+	.Y(n_20900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704625 (
+	.A1(n_20259),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [831]),
+	.B1(n_11489),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [854]),
+	.Y(n_20899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704626 (
+	.A1(n_20225),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1766]),
+	.B1(n_20231),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1789]),
+	.Y(n_20898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704627 (
+	.A1(n_20194),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1857]),
+	.B1(n_11609),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1880]),
+	.Y(n_20897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704628 (
+	.A1(n_11470),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1659]),
+	.B1(n_11445),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1682]),
+	.Y(n_20896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704629 (
+	.A1(n_20198),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1582]),
+	.B1(n_20263),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1605]),
+	.Y(n_20895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704630 (
+	.A1(n_20221),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1797]),
+	.B1(n_20223),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1820]),
+	.Y(n_20894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704631 (
+	.A1(n_20233),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1705]),
+	.B1(n_11469),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1728]),
+	.Y(n_20893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704632 (
+	.A1(n_20212),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1628]),
+	.B1(n_20214),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1651]),
+	.Y(n_20892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704633 (
+	.A1(n_20208),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1475]),
+	.B1(n_20209),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1498]),
+	.Y(n_20891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704634 (
+	.A1(n_20207),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [712]),
+	.B1(n_11556),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [735]),
+	.Y(n_20890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704635 (
+	.A1(n_20205),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1536]),
+	.B1(n_20206),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1559]),
+	.Y(n_20889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704636 (
+	.A1(n_20212),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1613]),
+	.B1(n_20214),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1636]),
+	.Y(n_20888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704637 (
+	.A1(n_20198),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1567]),
+	.B1(n_20263),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1590]),
+	.Y(n_20887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704638 (
+	.A1(n_20208),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1490]),
+	.B1(n_20209),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1513]),
+	.Y(n_20886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704639 (
+	.A1(n_20170),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2073]),
+	.B1(n_20176),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2096]),
+	.Y(n_20885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704640 (
+	.A1(n_11479),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2165]),
+	.B1(n_20165),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2188]),
+	.Y(n_20884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704641 (
+	.A1(n_20238),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2225]),
+	.B1(n_20239),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2248]),
+	.Y(n_20883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704642 (
+	.A1(n_11432),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1215]),
+	.B1(n_11439),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1238]),
+	.Y(n_20882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704643 (
+	.A1(n_11422),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2119]),
+	.B1(n_11478),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2142]),
+	.Y(n_20881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704644 (
+	.A1(n_11459),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [620]),
+	.B1(n_11581),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [643]),
+	.Y(n_20880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704645 (
+	.A1(n_11423),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2027]),
+	.B1(n_20168),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2050]),
+	.Y(n_20879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704646 (
+	.A1(n_11420),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1889]),
+	.B1(n_20211),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1912]),
+	.Y(n_20878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704647 (
+	.A1(n_20191),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [574]),
+	.B1(n_20195),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [597]),
+	.Y(n_20877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704648 (
+	.A1(n_20245),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1935]),
+	.B1(n_20229),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1958]),
+	.Y(n_20876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704649 (
+	.A1(n_20224),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [323]),
+	.B1(n_11598),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [346]),
+	.Y(n_20875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704650 (
+	.A1(n_11423),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2042]),
+	.B1(n_20168),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2065]),
+	.Y(n_20874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704651 (
+	.A1(n_20260),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1981]),
+	.B1(n_20261),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2004]),
+	.Y(n_20873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704652 (
+	.A1(n_20194),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1843]),
+	.B1(n_11609),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1866]),
+	.Y(n_20872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704653 (
+	.A1(n_20170),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2088]),
+	.B1(n_20176),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2111]),
+	.Y(n_20871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704654 (
+	.A1(n_11637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2871]),
+	.B1(n_20178),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2894]),
+	.Y(n_20870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704655 (
+	.A1(n_20242),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1291]),
+	.B1(n_20189),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1314]),
+	.Y(n_20869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704656 (
+	.A1(n_20241),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2317]),
+	.B1(n_20243),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2340]),
+	.Y(n_20868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704657 (
+	.A1(n_11422),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2134]),
+	.B1(n_11478),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2157]),
+	.Y(n_20867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704658 (
+	.A1(n_11398),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1337]),
+	.B1(n_20226),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1360]),
+	.Y(n_20866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704659 (
+	.A1(n_20203),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1383]),
+	.B1(n_20210),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1406]),
+	.Y(n_20865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704660 (
+	.A1(n_20184),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1107]),
+	.B1(n_11485),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1130]),
+	.Y(n_20864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704661 (
+	.A1(n_20141),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2594]),
+	.B1(n_11554),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2617]),
+	.Y(n_20863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704662 (
+	.A1(n_11431),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1245]),
+	.B1(n_20254),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1268]),
+	.Y(n_20862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704663 (
+	.A1(n_11640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1153]),
+	.B1(n_11483),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1176]),
+	.Y(n_20861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704664 (
+	.A1(n_11632),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2640]),
+	.B1(n_20169),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2663]),
+	.Y(n_20860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704665 (
+	.A1(n_20255),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2363]),
+	.B1(n_20249),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2386]),
+	.Y(n_20859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704666 (
+	.A1(n_20204),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2487]),
+	.B1(n_11547),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2510]),
+	.Y(n_20858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704667 (
+	.A1(n_11453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2686]),
+	.B1(n_11488),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2709]),
+	.Y(n_20857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704668 (
+	.A1(n_20246),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [188]),
+	.B1(n_20253),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [211]),
+	.Y(n_20856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704669 (
+	.A1(n_11622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2533]),
+	.B1(n_11448),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2556]),
+	.Y(n_20855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704670 (
+	.A1(n_20196),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2395]),
+	.B1(n_20161),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2418]),
+	.Y(n_20854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704671 (
+	.A1(n_20256),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [114]),
+	.B1(n_20157),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [137]),
+	.Y(n_20853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704672 (
+	.A1(n_11637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2870]),
+	.B1(n_20178),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2893]),
+	.Y(n_20852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704673 (
+	.A1(n_20241),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2303]),
+	.B1(n_20243),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2326]),
+	.Y(n_20851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704674 (
+	.A1(n_20255),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2349]),
+	.B1(n_20249),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2372]),
+	.Y(n_20850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704675 (
+	.A1(n_20238),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2211]),
+	.B1(n_20239),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2234]),
+	.Y(n_20849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704676 (
+	.A1(n_20182),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2824]),
+	.B1(n_20183),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2847]),
+	.Y(n_20848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704677 (
+	.A1(n_20163),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2764]),
+	.B1(n_20149),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2787]),
+	.Y(n_20847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704678 (
+	.A1(n_20247),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2271]),
+	.B1(n_20248),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2294]),
+	.Y(n_20846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704679 (
+	.A1(n_20182),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2810]),
+	.B1(n_20183),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2833]),
+	.Y(n_20845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704680 (
+	.A1(n_20245),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1954]),
+	.B1(n_20229),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1977]),
+	.Y(n_20844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704681 (
+	.A1(n_20163),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2778]),
+	.B1(n_20149),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2801]),
+	.Y(n_20843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704682 (
+	.A1(n_20187),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2902]),
+	.B1(n_20250),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2925]),
+	.Y(n_20842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704683 (
+	.A1(n_11637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2856]),
+	.B1(n_20178),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2879]),
+	.Y(n_20841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704684 (
+	.A1(n_20184),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1108]),
+	.B1(n_11485),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1131]),
+	.Y(n_20840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704685 (
+	.A1(n_20260),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2000]),
+	.B1(n_20261),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2023]),
+	.Y(n_20839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704686 (
+	.A1(n_11640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1154]),
+	.B1(n_11483),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1177]),
+	.Y(n_20838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704687 (
+	.A1(n_20241),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2318]),
+	.B1(n_20243),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2341]),
+	.Y(n_20837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704688 (
+	.A1(n_11431),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1246]),
+	.B1(n_20254),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1269]),
+	.Y(n_20836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704689 (
+	.A1(n_11432),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1200]),
+	.B1(n_11439),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1223]),
+	.Y(n_20835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704690 (
+	.A1(n_20247),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2272]),
+	.B1(n_20248),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2295]),
+	.Y(n_20834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704691 (
+	.A1(n_20242),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1292]),
+	.B1(n_20189),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1315]),
+	.Y(n_20833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704692 (
+	.A1(n_20187),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2915]),
+	.B1(n_20250),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2938]),
+	.Y(n_20832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704693 (
+	.A1(n_20238),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2226]),
+	.B1(n_20239),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2249]),
+	.Y(n_20831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704694 (
+	.A1(n_20236),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1430]),
+	.B1(n_20197),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1453]),
+	.Y(n_20830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704695 (
+	.A1(n_11420),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1908]),
+	.B1(n_20211),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1931]),
+	.Y(n_20829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704696 (
+	.A1(n_20194),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1862]),
+	.B1(n_11609),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1885]),
+	.Y(n_20828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704697 (
+	.A1(n_11398),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1338]),
+	.B1(n_20226),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1361]),
+	.Y(n_20827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704698 (
+	.A1(n_20203),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1384]),
+	.B1(n_20210),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1407]),
+	.Y(n_20826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704699 (
+	.A1(n_11420),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1904]),
+	.B1(n_20211),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1927]),
+	.Y(n_20825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704700 (
+	.A1(n_20247),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2258]),
+	.B1(n_20248),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2281]),
+	.Y(n_20824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704701 (
+	.A1(n_20194),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1858]),
+	.B1(n_11609),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1881]),
+	.Y(n_20823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704702 (
+	.A1(n_20255),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2350]),
+	.B1(n_20249),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2373]),
+	.Y(n_20822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704703 (
+	.A1(n_20241),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2304]),
+	.B1(n_20243),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2327]),
+	.Y(n_20821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704704 (
+	.A1(n_20238),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2212]),
+	.B1(n_20239),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2235]),
+	.Y(n_20820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704705 (
+	.A1(n_20260),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1996]),
+	.B1(n_20261),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2019]),
+	.Y(n_20819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704706 (
+	.A1(n_11637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2869]),
+	.B1(n_20178),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2892]),
+	.Y(n_20818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704707 (
+	.A1(n_11423),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2043]),
+	.B1(n_20168),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2066]),
+	.Y(n_20817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704708 (
+	.A1(n_20245),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1950]),
+	.B1(n_20229),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1973]),
+	.Y(n_20816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704709 (
+	.A1(n_11453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2672]),
+	.B1(n_11488),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2695]),
+	.Y(n_20815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704710 (
+	.A1(n_20237),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [252]),
+	.B1(n_20240),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [275]),
+	.Y(n_20814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704711 (
+	.A1(n_20140),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2718]),
+	.B1(n_11644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2741]),
+	.Y(n_20813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704712 (
+	.A1(n_20141),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2580]),
+	.B1(n_11554),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2603]),
+	.Y(n_20812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704713 (
+	.A1(n_11622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2548]),
+	.B1(n_11448),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2571]),
+	.Y(n_20811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704714 (
+	.A1(n_20163),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2779]),
+	.B1(n_20149),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2802]),
+	.Y(n_20810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704715 (
+	.A1(n_11436),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [298]),
+	.B1(n_20219),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [321]),
+	.Y(n_20809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704716 (
+	.A1(n_11622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2534]),
+	.B1(n_11448),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2557]),
+	.Y(n_20808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704717 (
+	.A1(n_20196),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2410]),
+	.B1(n_20161),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2433]),
+	.Y(n_20807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704718 (
+	.A1(n_20204),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2488]),
+	.B1(n_11547),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2511]),
+	.Y(n_20806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704719 (
+	.A1(n_20196),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2396]),
+	.B1(n_20161),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2419]),
+	.Y(n_20805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704720 (
+	.A1(n_20251),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2456]),
+	.B1(n_20258),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2479]),
+	.Y(n_20804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704721 (
+	.A1(n_20182),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2823]),
+	.B1(n_20183),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2846]),
+	.Y(n_20803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704722 (
+	.A1(n_20204),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2502]),
+	.B1(n_11547),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2525]),
+	.Y(n_20802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704723 (
+	.A1(n_20170),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2074]),
+	.B1(n_20176),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2097]),
+	.Y(n_20801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704724 (
+	.A1(n_20224),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [344]),
+	.B1(n_11598),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [367]),
+	.Y(n_20800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704725 (
+	.A1(n_20246),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [206]),
+	.B1(n_20253),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [229]),
+	.Y(n_20799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704726 (
+	.A1(n_11422),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2120]),
+	.B1(n_11478),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2143]),
+	.Y(n_20798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704727 (
+	.A1(n_11423),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2028]),
+	.B1(n_20168),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2051]),
+	.Y(n_20797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704728 (
+	.A1(n_20215),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [938]),
+	.B1(n_20138),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [961]),
+	.Y(n_20796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704729 (
+	.A1(n_11420),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1890]),
+	.B1(n_20211),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1913]),
+	.Y(n_20795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704730 (
+	.A1(n_20154),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1030]),
+	.B1(n_20142),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1053]),
+	.Y(n_20794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704731 (
+	.A1(n_20245),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1936]),
+	.B1(n_20229),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1959]),
+	.Y(n_20793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704732 (
+	.A1(n_20194),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1844]),
+	.B1(n_11609),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1867]),
+	.Y(n_20792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704733 (
+	.A1(n_20180),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1076]),
+	.B1(n_20151),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1099]),
+	.Y(n_20791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704734 (
+	.A1(n_20163),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2777]),
+	.B1(n_20149),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2800]),
+	.Y(n_20790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704735 (
+	.A1(n_11459),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [602]),
+	.B1(n_11581),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [625]),
+	.Y(n_20789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704736 (
+	.A1(n_20143),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [984]),
+	.B1(n_20144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1007]),
+	.Y(n_20788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704737 (
+	.A1(n_20203),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1402]),
+	.B1(n_20210),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1425]),
+	.Y(n_20787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704738 (
+	.A1(n_20207),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [694]),
+	.B1(n_11556),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [717]),
+	.Y(n_20786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704739 (
+	.A1(n_11404),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [648]),
+	.B1(n_20244),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [671]),
+	.Y(n_20785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704740 (
+	.A1(n_11400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [875]),
+	.B1(n_11490),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [898]),
+	.Y(n_20784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704741 (
+	.A1(n_11400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [892]),
+	.B1(n_11490),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [915]),
+	.Y(n_20783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704742 (
+	.A1(n_20177),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [418]),
+	.B1(n_20220),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [441]),
+	.Y(n_20782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704743 (
+	.A1(n_20145),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [800]),
+	.B1(n_20162),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [823]),
+	.Y(n_20781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704744 (
+	.A1(n_20174),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [510]),
+	.B1(n_11567),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [533]),
+	.Y(n_20780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704745 (
+	.A1(n_20236),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1448]),
+	.B1(n_20197),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1471]),
+	.Y(n_20779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704746 (
+	.A1(n_11435),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [464]),
+	.B1(n_20158),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [487]),
+	.Y(n_20778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704747 (
+	.A1(n_20259),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [846]),
+	.B1(n_11489),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [869]),
+	.Y(n_20777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704748 (
+	.A1(n_11453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2687]),
+	.B1(n_11488),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2710]),
+	.Y(n_20776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704749 (
+	.A1(n_20224),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [326]),
+	.B1(n_11598),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [349]),
+	.Y(n_20775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704750 (
+	.A1(n_11479),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2181]),
+	.B1(n_20165),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2204]),
+	.Y(n_20774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704751 (
+	.A1(n_11436),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [280]),
+	.B1(n_20219),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [303]),
+	.Y(n_20773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704752 (
+	.A1(n_20147),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [754]),
+	.B1(n_20148),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [777]),
+	.Y(n_20772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704753 (
+	.A1(n_20147),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [737]),
+	.B1(n_20148),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [760]),
+	.Y(n_20771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704754 (
+	.A1(n_20237),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [234]),
+	.B1(n_20240),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [257]),
+	.Y(n_20770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704755 (
+	.A1(n_20180),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1075]),
+	.B1(n_20151),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1098]),
+	.Y(n_20769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704756 (
+	.A1(n_20246),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [188]),
+	.B1(n_20253),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [211]),
+	.Y(n_20768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704757 (
+	.A1(n_11398),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1356]),
+	.B1(n_20226),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1379]),
+	.Y(n_20767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704758 (
+	.A1(n_20237),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [248]),
+	.B1(n_20240),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [271]),
+	.Y(n_20766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704759 (
+	.A1(n_20242),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1310]),
+	.B1(n_20189),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1333]),
+	.Y(n_20765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704760 (
+	.A1(n_20224),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [340]),
+	.B1(n_11598),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [363]),
+	.Y(n_20764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704761 (
+	.A1(n_20232),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [50]),
+	.B1(n_20199),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [73]),
+	.Y(n_20763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704762 (
+	.A1(n_20256),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [96]),
+	.B1(n_20157),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [119]),
+	.Y(n_20762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704763 (
+	.A1(n_11436),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [294]),
+	.B1(n_20219),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [317]),
+	.Y(n_20761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704764 (
+	.A1(n_20154),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1029]),
+	.B1(n_20142),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1052]),
+	.Y(n_20760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704765 (
+	.A1(n_20246),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [202]),
+	.B1(n_20253),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [225]),
+	.Y(n_20759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704766 (
+	.A1(n_20221),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1798]),
+	.B1(n_20223),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1821]),
+	.Y(n_20758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704767 (
+	.A1(n_11640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1172]),
+	.B1(n_11483),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1195]),
+	.Y(n_20757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704768 (
+	.A1(n_20225),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1752]),
+	.B1(n_20231),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1775]),
+	.Y(n_20756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704769 (
+	.A1(n_11470),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1660]),
+	.B1(n_11445),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1683]),
+	.Y(n_20755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704770 (
+	.A1(n_20212),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1614]),
+	.B1(n_20214),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1637]),
+	.Y(n_20754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704771 (
+	.A1(n_11431),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1264]),
+	.B1(n_20254),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1287]),
+	.Y(n_20753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704772 (
+	.A1(n_20205),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1522]),
+	.B1(n_20206),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1545]),
+	.Y(n_20752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704773 (
+	.A1(n_20198),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1568]),
+	.B1(n_20263),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1591]),
+	.Y(n_20751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704774 (
+	.A1(n_20232),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [64]),
+	.B1(n_20199),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [87]),
+	.Y(n_20750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704775 (
+	.A1(n_20256),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [110]),
+	.B1(n_20157),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [133]),
+	.Y(n_20749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704776 (
+	.A1(n_20215),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [924]),
+	.B1(n_20138),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [947]),
+	.Y(n_20748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704777 (
+	.A1(n_20180),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1062]),
+	.B1(n_20151),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1085]),
+	.Y(n_20747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704778 (
+	.A1(n_20143),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [983]),
+	.B1(n_20144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1006]),
+	.Y(n_20746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704779 (
+	.A1(n_11432),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1218]),
+	.B1(n_11439),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1241]),
+	.Y(n_20745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704780 (
+	.A1(n_20154),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1016]),
+	.B1(n_20142),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1039]),
+	.Y(n_20744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704781 (
+	.A1(n_11400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [878]),
+	.B1(n_11490),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [901]),
+	.Y(n_20743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704782 (
+	.A1(n_20184),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1126]),
+	.B1(n_11485),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1149]),
+	.Y(n_20742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704783 (
+	.A1(n_20242),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1306]),
+	.B1(n_20189),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1329]),
+	.Y(n_20741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704784 (
+	.A1(n_20145),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [786]),
+	.B1(n_20162),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [809]),
+	.Y(n_20740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704785 (
+	.A1(n_20147),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [740]),
+	.B1(n_20148),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [763]),
+	.Y(n_20739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704786 (
+	.A1(n_11422),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2135]),
+	.B1(n_11478),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2158]),
+	.Y(n_20738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704787 (
+	.A1(n_20236),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1444]),
+	.B1(n_20197),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1467]),
+	.Y(n_20737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704788 (
+	.A1(n_20141),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2579]),
+	.B1(n_11554),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2602]),
+	.Y(n_20736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704789 (
+	.A1(n_20215),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [937]),
+	.B1(n_20138),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [960]),
+	.Y(n_20735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704790 (
+	.A1(n_11398),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1352]),
+	.B1(n_20226),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1375]),
+	.Y(n_20734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704791 (
+	.A1(n_20140),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2717]),
+	.B1(n_11644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2740]),
+	.Y(n_20733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704792 (
+	.A1(n_11622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2552]),
+	.B1(n_11448),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2575]),
+	.Y(n_20732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704793 (
+	.A1(n_11632),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2625]),
+	.B1(n_20169),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2648]),
+	.Y(n_20731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704794 (
+	.A1(n_11453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2671]),
+	.B1(n_11488),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2694]),
+	.Y(n_20730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704795 (
+	.A1(n_20191),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [555]),
+	.B1(n_20195),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [578]),
+	.Y(n_20729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704796 (
+	.A1(n_20251),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2460]),
+	.B1(n_20258),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2483]),
+	.Y(n_20728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704797 (
+	.A1(n_20184),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1122]),
+	.B1(n_11485),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1145]),
+	.Y(n_20727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704798 (
+	.A1(n_20207),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [693]),
+	.B1(n_11556),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [716]),
+	.Y(n_20726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704799 (
+	.A1(n_11459),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [601]),
+	.B1(n_11581),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [624]),
+	.Y(n_20725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704800 (
+	.A1(n_11404),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [647]),
+	.B1(n_20244),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [670]),
+	.Y(n_20724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704801 (
+	.A1(n_11640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1168]),
+	.B1(n_11483),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1191]),
+	.Y(n_20723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704802 (
+	.A1(n_20163),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2763]),
+	.B1(n_20149),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2786]),
+	.Y(n_20722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704803 (
+	.A1(n_11432),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1214]),
+	.B1(n_11439),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1237]),
+	.Y(n_20721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704804 (
+	.A1(n_20187),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2901]),
+	.B1(n_20250),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2924]),
+	.Y(n_20720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704805 (
+	.A1(n_20145),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [799]),
+	.B1(n_20162),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [822]),
+	.Y(n_20719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704806 (
+	.A1(n_20182),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2809]),
+	.B1(n_20183),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2832]),
+	.Y(n_20718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704807 (
+	.A1(n_20204),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2506]),
+	.B1(n_11547),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2529]),
+	.Y(n_20717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704808 (
+	.A1(n_11637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2855]),
+	.B1(n_20178),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2878]),
+	.Y(n_20716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704809 (
+	.A1(n_20207),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [708]),
+	.B1(n_11556),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [731]),
+	.Y(n_20715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704810 (
+	.A1(n_20196),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2414]),
+	.B1(n_20161),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2437]),
+	.Y(n_20714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704811 (
+	.A1(n_20232),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [49]),
+	.B1(n_20199),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [72]),
+	.Y(n_20713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704812 (
+	.A1(n_11459),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [616]),
+	.B1(n_11581),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [639]),
+	.Y(n_20712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704813 (
+	.A1(n_20256),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [95]),
+	.B1(n_20157),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [118]),
+	.Y(n_20711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704814 (
+	.A1(n_11404),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [662]),
+	.B1(n_20244),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [685]),
+	.Y(n_20710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704815 (
+	.A1(n_11640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1170]),
+	.B1(n_11483),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1193]),
+	.Y(n_20709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704816 (
+	.A1(n_20255),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2368]),
+	.B1(n_20249),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2391]),
+	.Y(n_20708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704817 (
+	.A1(n_20174),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [509]),
+	.B1(n_11567),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [532]),
+	.Y(n_20707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704818 (
+	.A1(n_20191),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [570]),
+	.B1(n_20195),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [593]),
+	.Y(n_20706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704819 (
+	.A1(n_20259),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [845]),
+	.B1(n_11489),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [868]),
+	.Y(n_20705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704820 (
+	.A1(n_20177),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [417]),
+	.B1(n_20220),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [440]),
+	.Y(n_20704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704821 (
+	.A1(n_20153),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [371]),
+	.B1(n_20202),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [394]),
+	.Y(n_20703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704822 (
+	.A1(n_11435),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [478]),
+	.B1(n_20158),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [501]),
+	.Y(n_20702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704823 (
+	.A1(n_20256),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [111]),
+	.B1(n_20157),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [134]),
+	.Y(n_20701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704824 (
+	.A1(n_20247),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2276]),
+	.B1(n_20248),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2299]),
+	.Y(n_20700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704825 (
+	.A1(n_20224),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [325]),
+	.B1(n_11598),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [348]),
+	.Y(n_20699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704826 (
+	.A1(n_20174),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [524]),
+	.B1(n_11567),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [547]),
+	.Y(n_20698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704827 (
+	.A1(n_20237),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [233]),
+	.B1(n_20240),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [256]),
+	.Y(n_20697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704828 (
+	.A1(n_20246),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [187]),
+	.B1(n_20253),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [210]),
+	.Y(n_20696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704829 (
+	.A1(n_20177),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [432]),
+	.B1(n_20220),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [455]),
+	.Y(n_20695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704830 (
+	.A1(n_20153),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [386]),
+	.B1(n_20202),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [409]),
+	.Y(n_20694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704831 (
+	.A1(n_20241),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2322]),
+	.B1(n_20243),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2345]),
+	.Y(n_20693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704832 (
+	.A1(n_20236),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1429]),
+	.B1(n_20197),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1452]),
+	.Y(n_20692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704833 (
+	.A1(n_20238),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2230]),
+	.B1(n_20239),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2253]),
+	.Y(n_20691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704834 (
+	.A1(n_11398),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1337]),
+	.B1(n_20226),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1360]),
+	.Y(n_20690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704835 (
+	.A1(n_20203),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1383]),
+	.B1(n_20210),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1406]),
+	.Y(n_20689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704836 (
+	.A1(n_20225),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1766]),
+	.B1(n_20231),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1789]),
+	.Y(n_20688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704837 (
+	.A1(n_20221),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1812]),
+	.B1(n_20223),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1835]),
+	.Y(n_20687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704838 (
+	.A1(n_11431),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1245]),
+	.B1(n_20254),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1268]),
+	.Y(n_20686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704839 (
+	.A1(n_11640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1153]),
+	.B1(n_11483),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1176]),
+	.Y(n_20685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704840 (
+	.A1(n_11432),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1199]),
+	.B1(n_11439),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1222]),
+	.Y(n_20684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704841 (
+	.A1(n_20233),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1720]),
+	.B1(n_11469),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1743]),
+	.Y(n_20683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704842 (
+	.A1(n_11400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [891]),
+	.B1(n_11490),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [914]),
+	.Y(n_20682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704843 (
+	.A1(n_11470),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1674]),
+	.B1(n_11445),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1697]),
+	.Y(n_20681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704844 (
+	.A1(n_20147),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [753]),
+	.B1(n_20148),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [776]),
+	.Y(n_20680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704845 (
+	.A1(n_11479),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2165]),
+	.B1(n_20165),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2188]),
+	.Y(n_20679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704846 (
+	.A1(n_20170),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2073]),
+	.B1(n_20176),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2096]),
+	.Y(n_20678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704847 (
+	.A1(n_20198),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1582]),
+	.B1(n_20263),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1605]),
+	.Y(n_20677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704848 (
+	.A1(n_11422),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2119]),
+	.B1(n_11478),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2142]),
+	.Y(n_20676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704849 (
+	.A1(n_20232),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [65]),
+	.B1(n_20199),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [88]),
+	.Y(n_20675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704850 (
+	.A1(n_20212),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1628]),
+	.B1(n_20214),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1651]),
+	.Y(n_20674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704851 (
+	.A1(n_20245),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1935]),
+	.B1(n_20229),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1958]),
+	.Y(n_20673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704852 (
+	.A1(n_11420),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1889]),
+	.B1(n_20211),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1912]),
+	.Y(n_20672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704853 (
+	.A1(n_20215),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [942]),
+	.B1(n_20138),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [965]),
+	.Y(n_20671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704854 (
+	.A1(n_20205),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1536]),
+	.B1(n_20206),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1559]),
+	.Y(n_20670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704855 (
+	.A1(n_20194),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1843]),
+	.B1(n_11609),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1866]),
+	.Y(n_20669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704856 (
+	.A1(n_20215),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [923]),
+	.B1(n_20138),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [946]),
+	.Y(n_20668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704857 (
+	.A1(n_20194),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1859]),
+	.B1(n_11609),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1882]),
+	.Y(n_20667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704858 (
+	.A1(n_20208),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1490]),
+	.B1(n_20209),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1513]),
+	.Y(n_20666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704859 (
+	.A1(n_20180),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1061]),
+	.B1(n_20151),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1084]),
+	.Y(n_20665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704860 (
+	.A1(n_20143),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [969]),
+	.B1(n_20144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [992]),
+	.Y(n_20664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704861 (
+	.A1(n_11404),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [661]),
+	.B1(n_20244),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [684]),
+	.Y(n_20663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704862 (
+	.A1(n_20143),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [988]),
+	.B1(n_20144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1011]),
+	.Y(n_20662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704863 (
+	.A1(n_20154),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1015]),
+	.B1(n_20142),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1038]),
+	.Y(n_20661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704864 (
+	.A1(n_20147),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [739]),
+	.B1(n_20148),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [762]),
+	.Y(n_20660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704865 (
+	.A1(n_20154),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1034]),
+	.B1(n_20142),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1057]),
+	.Y(n_20659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704866 (
+	.A1(n_11400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [877]),
+	.B1(n_11490),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [900]),
+	.Y(n_20658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704867 (
+	.A1(n_20145),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [785]),
+	.B1(n_20162),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [808]),
+	.Y(n_20657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704868 (
+	.A1(n_20259),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [831]),
+	.B1(n_11489),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [854]),
+	.Y(n_20656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704869 (
+	.A1(n_20143),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [983]),
+	.B1(n_20144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1006]),
+	.Y(n_20655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704870 (
+	.A1(n_20207),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [707]),
+	.B1(n_11556),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [730]),
+	.Y(n_20654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704871 (
+	.A1(n_20180),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1075]),
+	.B1(n_20151),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1098]),
+	.Y(n_20653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704872 (
+	.A1(n_11622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2533]),
+	.B1(n_11448),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2556]),
+	.Y(n_20652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704873 (
+	.A1(n_20154),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1029]),
+	.B1(n_20142),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1052]),
+	.Y(n_20651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704874 (
+	.A1(n_20251),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2441]),
+	.B1(n_20258),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2464]),
+	.Y(n_20650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704875 (
+	.A1(n_20204),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2487]),
+	.B1(n_11547),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2510]),
+	.Y(n_20649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704876 (
+	.A1(n_11400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [896]),
+	.B1(n_11490),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [919]),
+	.Y(n_20648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704877 (
+	.A1(n_20255),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2349]),
+	.B1(n_20249),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2372]),
+	.Y(n_20647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704878 (
+	.A1(n_20241),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2303]),
+	.B1(n_20243),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2326]),
+	.Y(n_20646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704879 (
+	.A1(n_20260),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1995]),
+	.B1(n_20261),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2018]),
+	.Y(n_20645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704880 (
+	.A1(n_20238),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2211]),
+	.B1(n_20239),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2234]),
+	.Y(n_20644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704881 (
+	.A1(n_20145),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [804]),
+	.B1(n_20162),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [827]),
+	.Y(n_20643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704882 (
+	.A1(n_20245),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1949]),
+	.B1(n_20229),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1972]),
+	.Y(n_20642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704883 (
+	.A1(n_20221),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1797]),
+	.B1(n_20223),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1820]),
+	.Y(n_20641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704884 (
+	.A1(n_11459),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [615]),
+	.B1(n_11581),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [638]),
+	.Y(n_20640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704885 (
+	.A1(n_20233),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1705]),
+	.B1(n_11469),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1728]),
+	.Y(n_20639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704886 (
+	.A1(n_20225),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1751]),
+	.B1(n_20231),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1774]),
+	.Y(n_20638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704887 (
+	.A1(n_20194),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1857]),
+	.B1(n_11609),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1880]),
+	.Y(n_20637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704888 (
+	.A1(n_11479),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2163]),
+	.B1(n_20165),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2186]),
+	.Y(n_20636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704889 (
+	.A1(n_20259),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [850]),
+	.B1(n_11489),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [873]),
+	.Y(n_20635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704890 (
+	.A1(n_20212),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1613]),
+	.B1(n_20214),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1636]),
+	.Y(n_20634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704891 (
+	.A1(n_20205),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1521]),
+	.B1(n_20206),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1544]),
+	.Y(n_20633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704892 (
+	.A1(n_20170),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2087]),
+	.B1(n_20176),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2110]),
+	.Y(n_20632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704893 (
+	.A1(n_20198),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1567]),
+	.B1(n_20263),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1590]),
+	.Y(n_20631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704894 (
+	.A1(n_20203),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1384]),
+	.B1(n_20210),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1407]),
+	.Y(n_20630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704895 (
+	.A1(n_11420),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1905]),
+	.B1(n_20211),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1928]),
+	.Y(n_20629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704896 (
+	.A1(n_11422),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2133]),
+	.B1(n_11478),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2156]),
+	.Y(n_20628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704897 (
+	.A1(n_20191),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [569]),
+	.B1(n_20195),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [592]),
+	.Y(n_20627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704898 (
+	.A1(n_20242),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1292]),
+	.B1(n_20189),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1315]),
+	.Y(n_20626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704899 (
+	.A1(n_11423),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2041]),
+	.B1(n_20168),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2064]),
+	.Y(n_20625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704900 (
+	.A1(n_20236),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1430]),
+	.B1(n_20197),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1453]),
+	.Y(n_20624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704901 (
+	.A1(n_11398),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1338]),
+	.B1(n_20226),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1361]),
+	.Y(n_20623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704902 (
+	.A1(n_11637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2874]),
+	.B1(n_20178),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2897]),
+	.Y(n_20622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704903 (
+	.A1(n_20194),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1844]),
+	.B1(n_11609),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1867]),
+	.Y(n_20621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704904 (
+	.A1(n_11420),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1890]),
+	.B1(n_20211),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1913]),
+	.Y(n_20620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704905 (
+	.A1(n_20177),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [431]),
+	.B1(n_20220),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [454]),
+	.Y(n_20619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704906 (
+	.A1(n_20163),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2782]),
+	.B1(n_20149),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2805]),
+	.Y(n_20618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704907 (
+	.A1(n_20260),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1982]),
+	.B1(n_20261),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2005]),
+	.Y(n_20617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704908 (
+	.A1(n_20198),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1581]),
+	.B1(n_20263),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1604]),
+	.Y(n_20616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704909 (
+	.A1(n_20245),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1936]),
+	.B1(n_20229),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1959]),
+	.Y(n_20615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704910 (
+	.A1(n_11423),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2028]),
+	.B1(n_20168),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2051]),
+	.Y(n_20614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704911 (
+	.A1(n_20205),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1535]),
+	.B1(n_20206),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1558]),
+	.Y(n_20613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704912 (
+	.A1(n_11479),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2166]),
+	.B1(n_20165),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2189]),
+	.Y(n_20612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704913 (
+	.A1(n_20170),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2074]),
+	.B1(n_20176),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2097]),
+	.Y(n_20611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704914 (
+	.A1(n_11422),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2120]),
+	.B1(n_11478),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2143]),
+	.Y(n_20610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704915 (
+	.A1(n_20187),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2920]),
+	.B1(n_20250),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2943]),
+	.Y(n_20609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704916 (
+	.A1(n_20208),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1489]),
+	.B1(n_20209),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1512]),
+	.Y(n_20608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704917 (
+	.A1(n_11400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [878]),
+	.B1(n_11490),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [901]),
+	.Y(n_20607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704918 (
+	.A1(n_20147),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [753]),
+	.B1(n_20148),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [776]),
+	.Y(n_20606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704919 (
+	.A1(n_20145),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [786]),
+	.B1(n_20162),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [809]),
+	.Y(n_20605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704920 (
+	.A1(n_20182),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2828]),
+	.B1(n_20183),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2851]),
+	.Y(n_20604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704921 (
+	.A1(n_20259),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [832]),
+	.B1(n_11489),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [855]),
+	.Y(n_20603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704922 (
+	.A1(n_20145),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [799]),
+	.B1(n_20162),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [822]),
+	.Y(n_20602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704923 (
+	.A1(n_20147),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [740]),
+	.B1(n_20148),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [763]),
+	.Y(n_20601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704924 (
+	.A1(n_20245),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1951]),
+	.B1(n_20229),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1974]),
+	.Y(n_20600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704925 (
+	.A1(n_20174),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [523]),
+	.B1(n_11567),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [546]),
+	.Y(n_20599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704926 (
+	.A1(n_11400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [891]),
+	.B1(n_11490),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [914]),
+	.Y(n_20598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704927 (
+	.A1(n_11432),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1200]),
+	.B1(n_11439),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1223]),
+	.Y(n_20597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704928 (
+	.A1(n_11453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2690]),
+	.B1(n_11488),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2713]),
+	.Y(n_20596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704929 (
+	.A1(n_11431),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1246]),
+	.B1(n_20254),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1269]),
+	.Y(n_20595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704930 (
+	.A1(n_20184),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1108]),
+	.B1(n_11485),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1131]),
+	.Y(n_20594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704931 (
+	.A1(n_20259),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [845]),
+	.B1(n_11489),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [868]),
+	.Y(n_20593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704932 (
+	.A1(n_20141),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2598]),
+	.B1(n_11554),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2621]),
+	.Y(n_20592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704933 (
+	.A1(n_20221),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1811]),
+	.B1(n_20223),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1834]),
+	.Y(n_20591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704934 (
+	.A1(n_20154),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1016]),
+	.B1(n_20142),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1039]),
+	.Y(n_20590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704935 (
+	.A1(n_20143),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [970]),
+	.B1(n_20144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [993]),
+	.Y(n_20589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704936 (
+	.A1(n_11470),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1673]),
+	.B1(n_11445),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1696]),
+	.Y(n_20588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704937 (
+	.A1(n_20215),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [924]),
+	.B1(n_20138),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [947]),
+	.Y(n_20587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704938 (
+	.A1(n_11435),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [477]),
+	.B1(n_20158),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [500]),
+	.Y(n_20586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704939 (
+	.A1(n_20233),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1719]),
+	.B1(n_11469),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1742]),
+	.Y(n_20585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704940 (
+	.A1(n_20140),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2736]),
+	.B1(n_11644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2759]),
+	.Y(n_20584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704941 (
+	.A1(n_20204),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2488]),
+	.B1(n_11547),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2511]),
+	.Y(n_20583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704942 (
+	.A1(n_20251),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2442]),
+	.B1(n_20258),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2465]),
+	.Y(n_20582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704943 (
+	.A1(n_20196),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2396]),
+	.B1(n_20161),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2419]),
+	.Y(n_20581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704944 (
+	.A1(n_11632),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2644]),
+	.B1(n_20169),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2667]),
+	.Y(n_20580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704945 (
+	.A1(n_20225),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1765]),
+	.B1(n_20231),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1788]),
+	.Y(n_20579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704946 (
+	.A1(n_20255),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2350]),
+	.B1(n_20249),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2373]),
+	.Y(n_20578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704947 (
+	.A1(n_20196),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2409]),
+	.B1(n_20161),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2432]),
+	.Y(n_20577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704948 (
+	.A1(n_20247),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2258]),
+	.B1(n_20248),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2281]),
+	.Y(n_20576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704949 (
+	.A1(n_20241),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2304]),
+	.B1(n_20243),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2327]),
+	.Y(n_20575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704950 (
+	.A1(n_20153),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [385]),
+	.B1(n_20202),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [408]),
+	.Y(n_20574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704951 (
+	.A1(n_11622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2547]),
+	.B1(n_11448),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2570]),
+	.Y(n_20573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704952 (
+	.A1(n_20207),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [694]),
+	.B1(n_11556),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [717]),
+	.Y(n_20572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704953 (
+	.A1(n_20233),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1724]),
+	.B1(n_11469),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1747]),
+	.Y(n_20571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704954 (
+	.A1(n_20251),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2455]),
+	.B1(n_20258),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2478]),
+	.Y(n_20570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704955 (
+	.A1(n_20204),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2501]),
+	.B1(n_11547),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2524]),
+	.Y(n_20569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704956 (
+	.A1(n_11459),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [602]),
+	.B1(n_11581),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [625]),
+	.Y(n_20568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704957 (
+	.A1(n_11404),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [648]),
+	.B1(n_20244),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [671]),
+	.Y(n_20567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704958 (
+	.A1(n_20221),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1816]),
+	.B1(n_20223),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1839]),
+	.Y(n_20566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704959 (
+	.A1(n_20255),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2363]),
+	.B1(n_20249),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2386]),
+	.Y(n_20565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704960 (
+	.A1(n_20174),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [510]),
+	.B1(n_11567),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [533]),
+	.Y(n_20564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704961 (
+	.A1(n_20177),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [418]),
+	.B1(n_20220),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [441]),
+	.Y(n_20563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704962 (
+	.A1(n_20247),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2271]),
+	.B1(n_20248),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2294]),
+	.Y(n_20562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704963 (
+	.A1(n_11435),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [464]),
+	.B1(n_20158),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [487]),
+	.Y(n_20561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704964 (
+	.A1(n_20232),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [68]),
+	.B1(n_20199),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [91]),
+	.Y(n_20560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704965 (
+	.A1(n_20241),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2317]),
+	.B1(n_20243),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2340]),
+	.Y(n_20559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704966 (
+	.A1(n_20224),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [326]),
+	.B1(n_11598),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [349]),
+	.Y(n_20558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704967 (
+	.A1(n_20225),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1770]),
+	.B1(n_20231),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1793]),
+	.Y(n_20557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704968 (
+	.A1(n_20143),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [985]),
+	.B1(n_20144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1008]),
+	.Y(n_20556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704969 (
+	.A1(n_20238),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2225]),
+	.B1(n_20239),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2248]),
+	.Y(n_20555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704970 (
+	.A1(n_20237),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [234]),
+	.B1(n_20240),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [257]),
+	.Y(n_20554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704971 (
+	.A1(n_11436),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [280]),
+	.B1(n_20219),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [303]),
+	.Y(n_20553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704972 (
+	.A1(n_11459),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [615]),
+	.B1(n_11581),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [638]),
+	.Y(n_20552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704973 (
+	.A1(n_11470),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1678]),
+	.B1(n_11445),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1701]),
+	.Y(n_20551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704974 (
+	.A1(n_20232),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [50]),
+	.B1(n_20199),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [73]),
+	.Y(n_20550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704975 (
+	.A1(n_20207),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [707]),
+	.B1(n_11556),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [730]),
+	.Y(n_20549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704976 (
+	.A1(n_20256),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [96]),
+	.B1(n_20157),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [119]),
+	.Y(n_20548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704977 (
+	.A1(n_20224),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [339]),
+	.B1(n_11598),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [362]),
+	.Y(n_20547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704978 (
+	.A1(n_20198),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1586]),
+	.B1(n_20263),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1609]),
+	.Y(n_20546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704979 (
+	.A1(n_11404),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [661]),
+	.B1(n_20244),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [684]),
+	.Y(n_20545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704980 (
+	.A1(n_11637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2856]),
+	.B1(n_20178),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2879]),
+	.Y(n_20544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704981 (
+	.A1(n_20191),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [569]),
+	.B1(n_20195),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [592]),
+	.Y(n_20543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704982 (
+	.A1(n_20182),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2810]),
+	.B1(n_20183),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2833]),
+	.Y(n_20542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704983 (
+	.A1(n_20163),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2764]),
+	.B1(n_20149),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2787]),
+	.Y(n_20541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704984 (
+	.A1(n_20174),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [523]),
+	.B1(n_11567),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [546]),
+	.Y(n_20540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704985 (
+	.A1(n_20141),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2580]),
+	.B1(n_11554),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2603]),
+	.Y(n_20539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704986 (
+	.A1(n_20212),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1632]),
+	.B1(n_20214),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1655]),
+	.Y(n_20538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704987 (
+	.A1(n_11632),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2626]),
+	.B1(n_20169),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2649]),
+	.Y(n_20537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704988 (
+	.A1(n_20177),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [431]),
+	.B1(n_20220),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [454]),
+	.Y(n_20536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704989 (
+	.A1(n_11453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2672]),
+	.B1(n_11488),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2695]),
+	.Y(n_20535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704990 (
+	.A1(n_20205),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1540]),
+	.B1(n_20206),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1563]),
+	.Y(n_20534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704991 (
+	.A1(n_11435),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [477]),
+	.B1(n_20158),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [500]),
+	.Y(n_20533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704992 (
+	.A1(n_20221),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1798]),
+	.B1(n_20223),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1821]),
+	.Y(n_20532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704993 (
+	.A1(n_20233),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1706]),
+	.B1(n_11469),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1729]),
+	.Y(n_20531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704994 (
+	.A1(n_11470),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1660]),
+	.B1(n_11445),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1683]),
+	.Y(n_20530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704995 (
+	.A1(n_20180),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1079]),
+	.B1(n_20151),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1102]),
+	.Y(n_20529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704996 (
+	.A1(n_20208),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1494]),
+	.B1(n_20209),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1517]),
+	.Y(n_20528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704997 (
+	.A1(n_20153),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [385]),
+	.B1(n_20202),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [408]),
+	.Y(n_20527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704998 (
+	.A1(n_20208),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1476]),
+	.B1(n_20209),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1499]),
+	.Y(n_20526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g704999 (
+	.A1(n_20205),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1522]),
+	.B1(n_20206),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1545]),
+	.Y(n_20525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705000 (
+	.A1(n_20224),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [339]),
+	.B1(n_11598),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [362]),
+	.Y(n_20524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705001 (
+	.A1(n_20198),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1568]),
+	.B1(n_20263),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1591]),
+	.Y(n_20523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705002 (
+	.A1(n_20246),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [201]),
+	.B1(n_20253),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [224]),
+	.Y(n_20522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705003 (
+	.A1(n_11640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1152]),
+	.B1(n_11483),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1175]),
+	.Y(n_20521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705004 (
+	.A1(n_20237),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [247]),
+	.B1(n_20240),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [270]),
+	.Y(n_20520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705005 (
+	.A1(n_11436),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [293]),
+	.B1(n_20219),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [316]),
+	.Y(n_20519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705006 (
+	.A1(n_20184),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1106]),
+	.B1(n_11485),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1129]),
+	.Y(n_20518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705007 (
+	.A1(n_11431),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1244]),
+	.B1(n_20254),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1267]),
+	.Y(n_20517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705008 (
+	.A1(n_20246),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [201]),
+	.B1(n_20253),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [224]),
+	.Y(n_20516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705009 (
+	.A1(n_11432),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1198]),
+	.B1(n_11439),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1221]),
+	.Y(n_20515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705010 (
+	.A1(n_20207),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [692]),
+	.B1(n_11556),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [715]),
+	.Y(n_20514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705011 (
+	.A1(n_20154),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1031]),
+	.B1(n_20142),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1054]),
+	.Y(n_20513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705012 (
+	.A1(n_11404),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [646]),
+	.B1(n_20244),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [669]),
+	.Y(n_20512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705013 (
+	.A1(n_11459),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [600]),
+	.B1(n_11581),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [623]),
+	.Y(n_20511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705014 (
+	.A1(n_20191),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [554]),
+	.B1(n_20195),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [577]),
+	.Y(n_20510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705015 (
+	.A1(n_20237),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [231]),
+	.B1(n_20240),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [254]),
+	.Y(n_20509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705016 (
+	.A1(n_20187),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2898]),
+	.B1(n_20250),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2921]),
+	.Y(n_20508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705017 (
+	.A1(n_20242),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1290]),
+	.B1(n_20189),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1313]),
+	.Y(n_20507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705018 (
+	.A1(n_20232),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [63]),
+	.B1(n_20199),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [86]),
+	.Y(n_20506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705019 (
+	.A1(n_11398),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1336]),
+	.B1(n_20226),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1359]),
+	.Y(n_20505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705020 (
+	.A1(n_20256),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [109]),
+	.B1(n_20157),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [132]),
+	.Y(n_20504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705021 (
+	.A1(n_20236),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1428]),
+	.B1(n_20197),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1451]),
+	.Y(n_20503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705022 (
+	.A1(n_20203),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1382]),
+	.B1(n_20210),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1405]),
+	.Y(n_20502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705023 (
+	.A1(n_20182),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2806]),
+	.B1(n_20183),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2829]),
+	.Y(n_20501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705024 (
+	.A1(n_20232),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [48]),
+	.B1(n_20199),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [71]),
+	.Y(n_20500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705025 (
+	.A1(n_20163),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2777]),
+	.B1(n_20149),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2800]),
+	.Y(n_20499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705026 (
+	.A1(n_20163),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2760]),
+	.B1(n_20149),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2783]),
+	.Y(n_20498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705027 (
+	.A1(n_20187),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2915]),
+	.B1(n_20250),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2938]),
+	.Y(n_20497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705028 (
+	.A1(n_20256),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [94]),
+	.B1(n_20157),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [117]),
+	.Y(n_20496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705029 (
+	.A1(n_20182),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2823]),
+	.B1(n_20183),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2846]),
+	.Y(n_20495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705030 (
+	.A1(n_20177),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [416]),
+	.B1(n_20220),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [439]),
+	.Y(n_20494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705031 (
+	.A1(n_11435),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [462]),
+	.B1(n_20158),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [485]),
+	.Y(n_20493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705032 (
+	.A1(n_11637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2869]),
+	.B1(n_20178),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2892]),
+	.Y(n_20492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705033 (
+	.A1(n_20153),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [370]),
+	.B1(n_20202),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [393]),
+	.Y(n_20491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705034 (
+	.A1(n_11453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2685]),
+	.B1(n_11488),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2708]),
+	.Y(n_20490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705035 (
+	.A1(n_20237),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [232]),
+	.B1(n_20240),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [255]),
+	.Y(n_20489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705036 (
+	.A1(n_20212),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1610]),
+	.B1(n_20214),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1633]),
+	.Y(n_20488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705037 (
+	.A1(n_20224),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [324]),
+	.B1(n_11598),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [347]),
+	.Y(n_20487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705038 (
+	.A1(n_20140),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2731]),
+	.B1(n_11644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2754]),
+	.Y(n_20486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705039 (
+	.A1(n_20246),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [186]),
+	.B1(n_20253),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [209]),
+	.Y(n_20485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705040 (
+	.A1(n_20256),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [109]),
+	.B1(n_20157),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [132]),
+	.Y(n_20484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705041 (
+	.A1(n_11632),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2639]),
+	.B1(n_20169),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2662]),
+	.Y(n_20483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705042 (
+	.A1(n_11423),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2026]),
+	.B1(n_20168),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2049]),
+	.Y(n_20482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705043 (
+	.A1(n_20141),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2593]),
+	.B1(n_11554),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2616]),
+	.Y(n_20481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705044 (
+	.A1(n_20170),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2072]),
+	.B1(n_20176),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2095]),
+	.Y(n_20480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705045 (
+	.A1(n_11422),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2118]),
+	.B1(n_11478),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2141]),
+	.Y(n_20479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705046 (
+	.A1(n_20205),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1518]),
+	.B1(n_20206),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1541]),
+	.Y(n_20478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705047 (
+	.A1(n_11398),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1351]),
+	.B1(n_20226),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1374]),
+	.Y(n_20477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705048 (
+	.A1(n_20194),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1842]),
+	.B1(n_11609),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1865]),
+	.Y(n_20476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705049 (
+	.A1(n_20208),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1472]),
+	.B1(n_20209),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1495]),
+	.Y(n_20475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705050 (
+	.A1(n_20260),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1980]),
+	.B1(n_20261),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2003]),
+	.Y(n_20474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705051 (
+	.A1(n_20242),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1305]),
+	.B1(n_20189),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1328]),
+	.Y(n_20473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705052 (
+	.A1(n_11420),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1888]),
+	.B1(n_20211),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1911]),
+	.Y(n_20472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705053 (
+	.A1(n_20180),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1077]),
+	.B1(n_20151),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1100]),
+	.Y(n_20471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705054 (
+	.A1(n_20236),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1443]),
+	.B1(n_20197),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1466]),
+	.Y(n_20470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705055 (
+	.A1(n_20196),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2394]),
+	.B1(n_20161),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2417]),
+	.Y(n_20469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705056 (
+	.A1(n_20203),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1397]),
+	.B1(n_20210),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1420]),
+	.Y(n_20468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705057 (
+	.A1(n_11622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2532]),
+	.B1(n_11448),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2555]),
+	.Y(n_20467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705058 (
+	.A1(n_20204),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2486]),
+	.B1(n_11547),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2509]),
+	.Y(n_20466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705059 (
+	.A1(n_11431),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1259]),
+	.B1(n_20254),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1282]),
+	.Y(n_20465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705060 (
+	.A1(n_20238),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2210]),
+	.B1(n_20239),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2233]),
+	.Y(n_20464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705061 (
+	.A1(n_20255),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2346]),
+	.B1(n_20249),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2369]),
+	.Y(n_20463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705062 (
+	.A1(n_20247),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2256]),
+	.B1(n_20248),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2279]),
+	.Y(n_20462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705063 (
+	.A1(n_20184),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1121]),
+	.B1(n_11485),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1144]),
+	.Y(n_20461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705064 (
+	.A1(n_20241),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2302]),
+	.B1(n_20243),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2325]),
+	.Y(n_20460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705065 (
+	.A1(n_20215),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [939]),
+	.B1(n_20138),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [962]),
+	.Y(n_20459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705066 (
+	.A1(n_11640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1167]),
+	.B1(n_11483),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1190]),
+	.Y(n_20458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705067 (
+	.A1(n_20225),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1750]),
+	.B1(n_20231),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1773]),
+	.Y(n_20457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705068 (
+	.A1(n_20221),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1796]),
+	.B1(n_20223),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1819]),
+	.Y(n_20456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705069 (
+	.A1(n_20247),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2254]),
+	.B1(n_20248),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2277]),
+	.Y(n_20455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705070 (
+	.A1(n_11432),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1213]),
+	.B1(n_11439),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1236]),
+	.Y(n_20454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705071 (
+	.A1(n_20233),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1704]),
+	.B1(n_11469),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1727]),
+	.Y(n_20453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705072 (
+	.A1(n_11470),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1658]),
+	.B1(n_11445),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1681]),
+	.Y(n_20452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705073 (
+	.A1(n_20208),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1474]),
+	.B1(n_20209),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1497]),
+	.Y(n_20451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705074 (
+	.A1(n_11398),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1351]),
+	.B1(n_20226),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1374]),
+	.Y(n_20450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705075 (
+	.A1(n_20241),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2300]),
+	.B1(n_20243),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2323]),
+	.Y(n_20449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705076 (
+	.A1(n_20198),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1566]),
+	.B1(n_20263),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1589]),
+	.Y(n_20448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705077 (
+	.A1(n_20198),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1565]),
+	.B1(n_20263),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1588]),
+	.Y(n_20447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705078 (
+	.A1(n_20212),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1612]),
+	.B1(n_20214),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1635]),
+	.Y(n_20446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705079 (
+	.A1(n_20205),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1520]),
+	.B1(n_20206),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1543]),
+	.Y(n_20445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705080 (
+	.A1(n_20203),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1397]),
+	.B1(n_20210),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1420]),
+	.Y(n_20444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705081 (
+	.A1(n_20207),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [710]),
+	.B1(n_11556),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [733]),
+	.Y(n_20443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705082 (
+	.A1(n_20182),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2808]),
+	.B1(n_20183),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2831]),
+	.Y(n_20442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705083 (
+	.A1(n_20177),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [415]),
+	.B1(n_20220),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [438]),
+	.Y(n_20441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705084 (
+	.A1(n_11459),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [618]),
+	.B1(n_11581),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [641]),
+	.Y(n_20440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705085 (
+	.A1(n_20187),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2900]),
+	.B1(n_20250),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2923]),
+	.Y(n_20439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705086 (
+	.A1(n_11637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2854]),
+	.B1(n_20178),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2877]),
+	.Y(n_20438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705087 (
+	.A1(n_11404),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [664]),
+	.B1(n_20244),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [687]),
+	.Y(n_20437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705088 (
+	.A1(n_11632),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2624]),
+	.B1(n_20169),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2647]),
+	.Y(n_20436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705089 (
+	.A1(n_20221),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1794]),
+	.B1(n_20223),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1817]),
+	.Y(n_20435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705090 (
+	.A1(n_11453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2670]),
+	.B1(n_11488),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2693]),
+	.Y(n_20434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705091 (
+	.A1(n_20141),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2578]),
+	.B1(n_11554),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2601]),
+	.Y(n_20433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705092 (
+	.A1(n_20208),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1492]),
+	.B1(n_20209),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1515]),
+	.Y(n_20432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705093 (
+	.A1(n_20154),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1014]),
+	.B1(n_20142),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1037]),
+	.Y(n_20431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705094 (
+	.A1(n_20212),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1630]),
+	.B1(n_20214),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1653]),
+	.Y(n_20430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705095 (
+	.A1(n_20180),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1060]),
+	.B1(n_20151),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1083]),
+	.Y(n_20429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705096 (
+	.A1(n_20215),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [922]),
+	.B1(n_20138),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [945]),
+	.Y(n_20428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705097 (
+	.A1(n_20233),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1702]),
+	.B1(n_11469),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1725]),
+	.Y(n_20427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705098 (
+	.A1(n_20198),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1584]),
+	.B1(n_20263),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1607]),
+	.Y(n_20426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705099 (
+	.A1(n_20236),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1443]),
+	.B1(n_20197),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1466]),
+	.Y(n_20425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705100 (
+	.A1(n_20145),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [784]),
+	.B1(n_20162),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [807]),
+	.Y(n_20424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705101 (
+	.A1(n_11470),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1656]),
+	.B1(n_11445),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1679]),
+	.Y(n_20423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705102 (
+	.A1(n_11400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [876]),
+	.B1(n_11490),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [899]),
+	.Y(n_20422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705103 (
+	.A1(n_20259),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [830]),
+	.B1(n_11489),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [853]),
+	.Y(n_20421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705104 (
+	.A1(n_20221),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1814]),
+	.B1(n_20223),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1837]),
+	.Y(n_20420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705105 (
+	.A1(n_20191),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [553]),
+	.B1(n_20195),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [576]),
+	.Y(n_20419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705106 (
+	.A1(n_11404),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [645]),
+	.B1(n_20244),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [668]),
+	.Y(n_20418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705107 (
+	.A1(n_20145),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [801]),
+	.B1(n_20162),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [824]),
+	.Y(n_20417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705108 (
+	.A1(n_20242),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1305]),
+	.B1(n_20189),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1328]),
+	.Y(n_20416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705109 (
+	.A1(n_20233),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1722]),
+	.B1(n_11469),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1745]),
+	.Y(n_20415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705110 (
+	.A1(n_20207),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [691]),
+	.B1(n_11556),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [714]),
+	.Y(n_20414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705111 (
+	.A1(n_11459),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [599]),
+	.B1(n_11581),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [622]),
+	.Y(n_20413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705112 (
+	.A1(n_11470),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1676]),
+	.B1(n_11445),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1699]),
+	.Y(n_20412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705113 (
+	.A1(n_20245),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1933]),
+	.B1(n_20229),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1956]),
+	.Y(n_20411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705114 (
+	.A1(n_11640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1167]),
+	.B1(n_11483),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1190]),
+	.Y(n_20410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705115 (
+	.A1(n_20260),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1979]),
+	.B1(n_20261),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2002]),
+	.Y(n_20409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705116 (
+	.A1(n_20140),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2714]),
+	.B1(n_11644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2737]),
+	.Y(n_20408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705117 (
+	.A1(n_11420),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1887]),
+	.B1(n_20211),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1910]),
+	.Y(n_20407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705118 (
+	.A1(n_20194),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1841]),
+	.B1(n_11609),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1864]),
+	.Y(n_20406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705119 (
+	.A1(n_20232),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [66]),
+	.B1(n_20199),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [89]),
+	.Y(n_20405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705120 (
+	.A1(n_11422),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2117]),
+	.B1(n_11478),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2140]),
+	.Y(n_20404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705121 (
+	.A1(n_11479),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2163]),
+	.B1(n_20165),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2186]),
+	.Y(n_20403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705122 (
+	.A1(n_20170),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2071]),
+	.B1(n_20176),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2094]),
+	.Y(n_20402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705123 (
+	.A1(n_11423),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2025]),
+	.B1(n_20168),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2048]),
+	.Y(n_20401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705124 (
+	.A1(n_11632),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2622]),
+	.B1(n_20169),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2645]),
+	.Y(n_20400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705125 (
+	.A1(n_20141),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2576]),
+	.B1(n_11554),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2599]),
+	.Y(n_20399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705126 (
+	.A1(n_11435),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [480]),
+	.B1(n_20158),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [503]),
+	.Y(n_20398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705127 (
+	.A1(n_20256),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [93]),
+	.B1(n_20157),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [116]),
+	.Y(n_20397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705128 (
+	.A1(n_20153),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [388]),
+	.B1(n_20202),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [411]),
+	.Y(n_20396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705129 (
+	.A1(n_20174),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [507]),
+	.B1(n_11567),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [530]),
+	.Y(n_20395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705130 (
+	.A1(n_11432),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1213]),
+	.B1(n_11439),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1236]),
+	.Y(n_20394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705131 (
+	.A1(n_20174),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [526]),
+	.B1(n_11567),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [549]),
+	.Y(n_20393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705132 (
+	.A1(n_11435),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [461]),
+	.B1(n_20158),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [484]),
+	.Y(n_20392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705133 (
+	.A1(n_20204),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2484]),
+	.B1(n_11547),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2507]),
+	.Y(n_20391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705134 (
+	.A1(n_20177),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [434]),
+	.B1(n_20220),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [457]),
+	.Y(n_20390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705135 (
+	.A1(n_20224),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [323]),
+	.B1(n_11598),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [346]),
+	.Y(n_20389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705136 (
+	.A1(n_20141),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2595]),
+	.B1(n_11554),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2618]),
+	.Y(n_20388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705137 (
+	.A1(n_11436),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [296]),
+	.B1(n_20219),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [319]),
+	.Y(n_20387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705138 (
+	.A1(n_11436),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [277]),
+	.B1(n_20219),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [300]),
+	.Y(n_20386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705139 (
+	.A1(n_20246),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [204]),
+	.B1(n_20253),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [227]),
+	.Y(n_20385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705140 (
+	.A1(n_20182),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2807]),
+	.B1(n_20183),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2830]),
+	.Y(n_20384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705141 (
+	.A1(n_11431),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1259]),
+	.B1(n_20254),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1282]),
+	.Y(n_20383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705142 (
+	.A1(n_20224),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [342]),
+	.B1(n_11598),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [365]),
+	.Y(n_20382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705143 (
+	.A1(n_20237),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [250]),
+	.B1(n_20240),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [273]),
+	.Y(n_20381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705144 (
+	.A1(n_11637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2853]),
+	.B1(n_20178),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2876]),
+	.Y(n_20380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705145 (
+	.A1(n_20163),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2761]),
+	.B1(n_20149),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2784]),
+	.Y(n_20379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705146 (
+	.A1(n_20140),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2715]),
+	.B1(n_11644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2738]),
+	.Y(n_20378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g705147 (
+	.A1(n_11622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2530]),
+	.B1(n_11448),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2553]),
+	.X(n_20377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705148 (
+	.A1(n_11637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2872]),
+	.B1(n_20178),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2895]),
+	.Y(n_20376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705149 (
+	.A1(n_11453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2669]),
+	.B1(n_11488),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2692]),
+	.Y(n_20375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705150 (
+	.A1(n_20141),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2577]),
+	.B1(n_11554),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2600]),
+	.Y(n_20374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705151 (
+	.A1(n_11400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [893]),
+	.B1(n_11490),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [916]),
+	.Y(n_20373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705152 (
+	.A1(n_20187),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2918]),
+	.B1(n_20250),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2941]),
+	.Y(n_20372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705153 (
+	.A1(n_20184),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1121]),
+	.B1(n_11485),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1144]),
+	.Y(n_20371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705154 (
+	.A1(n_20242),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1289]),
+	.B1(n_20189),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1312]),
+	.Y(n_20370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705155 (
+	.A1(n_11398),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1335]),
+	.B1(n_20226),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1358]),
+	.Y(n_20369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705156 (
+	.A1(n_20182),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2826]),
+	.B1(n_20183),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2849]),
+	.Y(n_20368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705157 (
+	.A1(n_20203),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1381]),
+	.B1(n_20210),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1404]),
+	.Y(n_20367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705158 (
+	.A1(n_11622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2531]),
+	.B1(n_11448),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2554]),
+	.Y(n_20366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705159 (
+	.A1(n_20163),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2780]),
+	.B1(n_20149),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2803]),
+	.Y(n_20365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705160 (
+	.A1(n_20184),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1105]),
+	.B1(n_11485),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1128]),
+	.Y(n_20364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705161 (
+	.A1(n_11431),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1243]),
+	.B1(n_20254),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1266]),
+	.Y(n_20363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705162 (
+	.A1(n_11632),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2642]),
+	.B1(n_20169),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2665]),
+	.Y(n_20362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705163 (
+	.A1(n_11432),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1197]),
+	.B1(n_11439),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1220]),
+	.Y(n_20361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705164 (
+	.A1(n_20207),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [690]),
+	.B1(n_11556),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [713]),
+	.Y(n_20360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705165 (
+	.A1(n_11423),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2026]),
+	.B1(n_20168),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2049]),
+	.Y(n_20359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705166 (
+	.A1(n_20140),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2734]),
+	.B1(n_11644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2757]),
+	.Y(n_20358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705167 (
+	.A1(n_20196),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2393]),
+	.B1(n_20161),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2416]),
+	.Y(n_20357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705168 (
+	.A1(n_20251),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2439]),
+	.B1(n_20258),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2462]),
+	.Y(n_20356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705169 (
+	.A1(n_20233),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1719]),
+	.B1(n_11469),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1742]),
+	.Y(n_20355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705170 (
+	.A1(n_11453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2688]),
+	.B1(n_11488),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2711]),
+	.Y(n_20354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705171 (
+	.A1(n_11622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2531]),
+	.B1(n_11448),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2554]),
+	.Y(n_20353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705172 (
+	.A1(n_20204),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2485]),
+	.B1(n_11547),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2508]),
+	.Y(n_20352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705173 (
+	.A1(n_11459),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [598]),
+	.B1(n_11581),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [621]),
+	.Y(n_20351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705174 (
+	.A1(n_20141),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2596]),
+	.B1(n_11554),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2619]),
+	.Y(n_20350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705175 (
+	.A1(n_20238),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2209]),
+	.B1(n_20239),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2232]),
+	.Y(n_20349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705176 (
+	.A1(n_20247),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2255]),
+	.B1(n_20248),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2278]),
+	.Y(n_20348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705177 (
+	.A1(n_11404),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [644]),
+	.B1(n_20244),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [667]),
+	.Y(n_20347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705178 (
+	.A1(n_20255),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2347]),
+	.B1(n_20249),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2370]),
+	.Y(n_20346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705179 (
+	.A1(n_20154),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1032]),
+	.B1(n_20142),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1055]),
+	.Y(n_20345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705180 (
+	.A1(n_20241),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2301]),
+	.B1(n_20243),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2324]),
+	.Y(n_20344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705181 (
+	.A1(n_20225),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1765]),
+	.B1(n_20231),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1788]),
+	.Y(n_20343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705182 (
+	.A1(n_20215),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [940]),
+	.B1(n_20138),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [963]),
+	.Y(n_20342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705183 (
+	.A1(n_20154),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1013]),
+	.B1(n_20142),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1036]),
+	.Y(n_20341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705184 (
+	.A1(n_20232),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [47]),
+	.B1(n_20199),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [70]),
+	.Y(n_20340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705185 (
+	.A1(n_20180),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1078]),
+	.B1(n_20151),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1101]),
+	.Y(n_20339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705186 (
+	.A1(n_20143),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [967]),
+	.B1(n_20144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [990]),
+	.Y(n_20338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705187 (
+	.A1(n_20215),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [921]),
+	.B1(n_20138),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [944]),
+	.Y(n_20337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705188 (
+	.A1(n_20259),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [847]),
+	.B1(n_11489),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [870]),
+	.Y(n_20336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705189 (
+	.A1(n_20143),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [986]),
+	.B1(n_20144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1009]),
+	.Y(n_20335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705190 (
+	.A1(n_20147),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [737]),
+	.B1(n_20148),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [760]),
+	.Y(n_20334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705191 (
+	.A1(n_20259),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [848]),
+	.B1(n_11489),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [871]),
+	.Y(n_20333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705192 (
+	.A1(n_20174),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [506]),
+	.B1(n_11567),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [529]),
+	.Y(n_20332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705193 (
+	.A1(n_20259),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [829]),
+	.B1(n_11489),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [852]),
+	.Y(n_20331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705194 (
+	.A1(n_11400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [894]),
+	.B1(n_11490),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [917]),
+	.Y(n_20330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705195 (
+	.A1(n_20221),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1811]),
+	.B1(n_20223),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1834]),
+	.Y(n_20329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705196 (
+	.A1(n_20221),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1795]),
+	.B1(n_20223),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1818]),
+	.Y(n_20328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705197 (
+	.A1(n_20145),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [802]),
+	.B1(n_20162),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [825]),
+	.Y(n_20327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705198 (
+	.A1(n_20225),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1749]),
+	.B1(n_20231),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1772]),
+	.Y(n_20326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705199 (
+	.A1(n_20147),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [756]),
+	.B1(n_20148),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [779]),
+	.Y(n_20325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705200 (
+	.A1(n_20177),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [414]),
+	.B1(n_20220),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [437]),
+	.Y(n_20324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705201 (
+	.A1(n_20205),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1519]),
+	.B1(n_20206),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1542]),
+	.Y(n_20323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705202 (
+	.A1(n_20163),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2762]),
+	.B1(n_20149),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2785]),
+	.Y(n_20322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705203 (
+	.A1(n_20147),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [755]),
+	.B1(n_20148),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [778]),
+	.Y(n_20321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705204 (
+	.A1(n_11435),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [460]),
+	.B1(n_20158),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [483]),
+	.Y(n_20320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705205 (
+	.A1(n_20208),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1473]),
+	.B1(n_20209),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1496]),
+	.Y(n_20319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705206 (
+	.A1(n_11470),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1673]),
+	.B1(n_11445),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1696]),
+	.Y(n_20318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705207 (
+	.A1(n_11622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2550]),
+	.B1(n_11448),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2573]),
+	.Y(n_20317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705208 (
+	.A1(n_20194),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1841]),
+	.B1(n_11609),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1864]),
+	.Y(n_20316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705209 (
+	.A1(n_20245),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1933]),
+	.B1(n_20229),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1956]),
+	.Y(n_20315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705210 (
+	.A1(n_20251),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2458]),
+	.B1(n_20258),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2481]),
+	.Y(n_20314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705211 (
+	.A1(n_20260),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1979]),
+	.B1(n_20261),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2002]),
+	.Y(n_20313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705212 (
+	.A1(n_11420),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1887]),
+	.B1(n_20211),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1910]),
+	.Y(n_20312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705213 (
+	.A1(n_20196),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2412]),
+	.B1(n_20161),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2435]),
+	.Y(n_20311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705214 (
+	.A1(n_20233),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1703]),
+	.B1(n_11469),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1726]),
+	.Y(n_20310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705215 (
+	.A1(n_20208),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1489]),
+	.B1(n_20209),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1512]),
+	.Y(n_20309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705216 (
+	.A1(n_11470),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1657]),
+	.B1(n_11445),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1680]),
+	.Y(n_20308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705217 (
+	.A1(n_20221),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1795]),
+	.B1(n_20223),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1818]),
+	.Y(n_20307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705218 (
+	.A1(n_20215),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [920]),
+	.B1(n_20138),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [943]),
+	.Y(n_20306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705219 (
+	.A1(n_20225),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1749]),
+	.B1(n_20231),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1772]),
+	.Y(n_20305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705220 (
+	.A1(n_20255),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2366]),
+	.B1(n_20249),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2389]),
+	.Y(n_20304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705221 (
+	.A1(n_20251),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2439]),
+	.B1(n_20258),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2462]),
+	.Y(n_20303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705222 (
+	.A1(n_20204),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2485]),
+	.B1(n_11547),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2508]),
+	.Y(n_20302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705223 (
+	.A1(n_20241),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2320]),
+	.B1(n_20243),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2343]),
+	.Y(n_20301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705224 (
+	.A1(n_20180),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1058]),
+	.B1(n_20151),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1081]),
+	.Y(n_20300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705225 (
+	.A1(n_20196),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2393]),
+	.B1(n_20161),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2416]),
+	.Y(n_20299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705226 (
+	.A1(n_20238),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2228]),
+	.B1(n_20239),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2251]),
+	.Y(n_20298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705227 (
+	.A1(n_20212),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1611]),
+	.B1(n_20214),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1634]),
+	.Y(n_20297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705228 (
+	.A1(n_20174),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [525]),
+	.B1(n_11567),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [548]),
+	.Y(n_20296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705229 (
+	.A1(n_20205),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1519]),
+	.B1(n_20206),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1542]),
+	.Y(n_20295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705230 (
+	.A1(n_20143),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [966]),
+	.B1(n_20144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [989]),
+	.Y(n_20294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705231 (
+	.A1(n_11398),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1354]),
+	.B1(n_20226),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1377]),
+	.Y(n_20293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705232 (
+	.A1(n_20208),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1473]),
+	.B1(n_20209),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1496]),
+	.Y(n_20292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705233 (
+	.A1(n_20205),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1535]),
+	.B1(n_20206),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1558]),
+	.Y(n_20291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705234 (
+	.A1(n_20242),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1308]),
+	.B1(n_20189),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1331]),
+	.Y(n_20290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705235 (
+	.A1(n_20255),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2347]),
+	.B1(n_20249),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2370]),
+	.Y(n_20289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705236 (
+	.A1(n_20241),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2301]),
+	.B1(n_20243),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2324]),
+	.Y(n_20288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705237 (
+	.A1(n_20236),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1446]),
+	.B1(n_20197),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1469]),
+	.Y(n_20287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705238 (
+	.A1(n_20238),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2209]),
+	.B1(n_20239),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2232]),
+	.Y(n_20286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705239 (
+	.A1(n_20203),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1400]),
+	.B1(n_20210),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1423]),
+	.Y(n_20285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705240 (
+	.A1(n_11423),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2025]),
+	.B1(n_20168),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2048]),
+	.Y(n_20284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705241 (
+	.A1(n_11459),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [617]),
+	.B1(n_11581),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [640]),
+	.Y(n_20283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705242 (
+	.A1(n_20184),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1124]),
+	.B1(n_11485),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1147]),
+	.Y(n_20282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705243 (
+	.A1(n_20145),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [782]),
+	.B1(n_20162),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [805]),
+	.Y(n_20281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705244 (
+	.A1(n_20170),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2071]),
+	.B1(n_20176),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2094]),
+	.Y(n_20280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705245 (
+	.A1(n_11431),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1262]),
+	.B1(n_20254),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1285]),
+	.Y(n_20279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705246 (
+	.A1(n_20215),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [921]),
+	.B1(n_20138),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [944]),
+	.Y(n_20278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705247 (
+	.A1(n_20212),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1627]),
+	.B1(n_20214),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1650]),
+	.Y(n_20277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705248 (
+	.A1(n_20180),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1059]),
+	.B1(n_20151),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1082]),
+	.Y(n_20276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705249 (
+	.A1(n_20143),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [967]),
+	.B1(n_20144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [990]),
+	.Y(n_20275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705250 (
+	.A1(n_11400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [874]),
+	.B1(n_11490),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [897]),
+	.Y(n_20274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705251 (
+	.A1(n_11432),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1216]),
+	.B1(n_11439),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1239]),
+	.Y(n_20273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705252 (
+	.A1(n_20147),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [736]),
+	.B1(n_20148),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [759]),
+	.Y(n_20272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705253 (
+	.A1(n_20198),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1581]),
+	.B1(n_20263),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1604]),
+	.Y(n_20271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705254 (
+	.A1(n_11423),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2044]),
+	.B1(n_20168),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2067]),
+	.Y(n_20270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705255 (
+	.A1(n_20259),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [829]),
+	.B1(n_11489),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [852]),
+	.Y(n_20269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705256 (
+	.A1(n_20170),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2090]),
+	.B1(n_20176),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2113]),
+	.Y(n_20268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705257 (
+	.A1(n_11436),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [277]),
+	.B1(n_20219),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [300]),
+	.Y(n_20267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705258 (
+	.A1(n_11479),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2182]),
+	.B1(n_20165),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2205]),
+	.Y(n_20266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705259 (
+	.A1(n_20246),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [184]),
+	.B1(n_20253),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [207]),
+	.Y(n_20265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g705260 (
+	.A1(n_20246),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [185]),
+	.B1(n_20253),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [208]),
+	.Y(n_20264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705261 (
+	.A(n_12498),
+	.Y(n_30297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705263 (
+	.A(n_11403),
+	.Y(n_30272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705265 (
+	.A(n_20263),
+	.Y(n_30024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705266 (
+	.A(n_20262),
+	.Y(n_33085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705267 (
+	.A(n_20261),
+	.Y(n_29970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705268 (
+	.A(n_20260),
+	.Y(n_29971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705269 (
+	.A(n_20259),
+	.Y(n_30013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705270 (
+	.A(n_20258),
+	.Y(n_29945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705271 (
+	.A(n_11547),
+	.Y(n_29942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705272 (
+	.A(n_20256),
+	.Y(n_33083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705273 (
+	.A(n_20255),
+	.Y(n_29951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705274 (
+	.A(n_20254),
+	.Y(n_30050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705275 (
+	.A(n_20253),
+	.Y(n_30042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705276 (
+	.A(n_11434),
+	.Y(n_33080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705277 (
+	.A(n_20251),
+	.Y(n_29946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705278 (
+	.A(n_20250),
+	.Y(n_29984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705279 (
+	.A(n_20249),
+	.Y(n_29950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705280 (
+	.A(n_20248),
+	.Y(n_29955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705281 (
+	.A(n_20247),
+	.Y(n_29956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705282 (
+	.A(n_20246),
+	.Y(n_30043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705283 (
+	.A(n_20245),
+	.Y(n_29979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705284 (
+	.A(n_20244),
+	.Y(n_30020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705285 (
+	.A(n_20243),
+	.Y(n_29953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705286 (
+	.A(n_20242),
+	.Y(n_30049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705287 (
+	.A(n_20241),
+	.Y(n_29954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705288 (
+	.A(n_20240),
+	.Y(n_30040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705289 (
+	.A(n_20239),
+	.Y(n_29958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705290 (
+	.A(n_20238),
+	.Y(n_29959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705291 (
+	.A(n_20237),
+	.Y(n_30041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705292 (
+	.A(n_20236),
+	.Y(n_30023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705293 (
+	.A(n_11469),
+	.Y(n_29998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705294 (
+	.A(n_11598),
+	.Y(n_30036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705295 (
+	.A(n_20233),
+	.Y(n_29999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705296 (
+	.A(n_20232),
+	.Y(n_33081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705297 (
+	.A(n_20231),
+	.Y(n_29996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705298 (
+	.A(n_11622),
+	.Y(n_29941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705299 (
+	.A(n_20229),
+	.Y(n_29972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705300 (
+	.A(n_11404),
+	.Y(n_30021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705301 (
+	.A(n_11489),
+	.Y(n_30012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705302 (
+	.A(n_20226),
+	.Y(n_30046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705303 (
+	.A(n_20225),
+	.Y(n_29997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705304 (
+	.A(n_20224),
+	.Y(n_30037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705305 (
+	.A(n_20223),
+	.Y(n_29991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705306 (
+	.A(n_11448),
+	.Y(n_29940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705307 (
+	.A(n_20221),
+	.Y(n_29992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705308 (
+	.A(n_20220),
+	.Y(n_30032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705309 (
+	.A(n_20219),
+	.Y(n_30038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705310 (
+	.A(n_11436),
+	.Y(n_30039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705311 (
+	.A(n_11445),
+	.Y(n_30000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705312 (
+	.A(n_11470),
+	.Y(n_30001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705313 (
+	.A(n_20215),
+	.Y(n_29995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705314 (
+	.A(n_20214),
+	.Y(n_30002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705315 (
+	.A(n_11556),
+	.Y(n_30018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705316 (
+	.A(n_20212),
+	.Y(n_30003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705317 (
+	.A(n_20211),
+	.Y(n_29980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705318 (
+	.A(n_20210),
+	.Y(n_30044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705319 (
+	.A(n_20209),
+	.Y(n_30007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705320 (
+	.A(n_20208),
+	.Y(n_30008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705321 (
+	.A(n_20207),
+	.Y(n_30019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705322 (
+	.A(n_20206),
+	.Y(n_30005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705323 (
+	.A(n_20205),
+	.Y(n_30006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705324 (
+	.A(n_20204),
+	.Y(n_29943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705325 (
+	.A(n_20203),
+	.Y(n_30045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705326 (
+	.A(n_20202),
+	.Y(n_30034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705327 (
+	.A(n_11581),
+	.Y(n_30022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705328 (
+	.A(n_20132),
+	.B(n_20121),
+	.Y(n_20263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705329 (
+	.A(n_20108),
+	.B(n_20130),
+	.Y(n_20262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705330 (
+	.A(n_20104),
+	.B(n_20117),
+	.Y(n_20261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705331 (
+	.A(n_20104),
+	.B(n_20130),
+	.Y(n_20260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705332 (
+	.A(n_20109),
+	.B(n_20135),
+	.Y(n_20259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705333 (
+	.A(n_20125),
+	.B(n_20107),
+	.Y(n_20258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705334 (
+	.A(n_20107),
+	.B(n_20131),
+	.Y(n_11547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705335 (
+	.A(n_20108),
+	.B(n_20129),
+	.Y(n_20256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705336 (
+	.A(n_20107),
+	.B(n_20130),
+	.Y(n_20255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705337 (
+	.A(n_20110),
+	.B(n_20133),
+	.Y(n_20254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705338 (
+	.A(n_20108),
+	.B(n_20113),
+	.Y(n_20253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705339 (
+	.A(n_20115),
+	.B(n_20108),
+	.Y(n_11434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705340 (
+	.A(n_20124),
+	.B(n_20107),
+	.Y(n_20251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705341 (
+	.A(n_20106),
+	.B(n_20127),
+	.Y(n_20250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705342 (
+	.A(n_20107),
+	.B(n_20117),
+	.Y(n_20249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705343 (
+	.A(n_20126),
+	.B(n_20107),
+	.Y(n_20248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705344 (
+	.A(n_20111),
+	.B(n_20107),
+	.Y(n_20247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705345 (
+	.A(n_20108),
+	.B(n_20112),
+	.Y(n_20246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705346 (
+	.A(n_20104),
+	.B(n_20129),
+	.Y(n_20245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705347 (
+	.A(n_20131),
+	.B(n_20105),
+	.Y(n_20244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705348 (
+	.A(n_20107),
+	.B(n_20132),
+	.Y(n_20243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705349 (
+	.A(n_20123),
+	.B(n_20120),
+	.Y(n_20242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705350 (
+	.A(n_20107),
+	.B(n_20129),
+	.Y(n_20241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705351 (
+	.A(n_20108),
+	.B(n_20125),
+	.Y(n_20240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705352 (
+	.A(n_20107),
+	.B(n_20134),
+	.Y(n_20239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705353 (
+	.A(n_20107),
+	.B(n_20115),
+	.Y(n_20238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705354 (
+	.A(n_20108),
+	.B(n_20124),
+	.Y(n_20237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705355 (
+	.A(n_20123),
+	.B(n_20118),
+	.Y(n_20236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705356 (
+	.A(n_20121),
+	.B(n_20125),
+	.Y(n_11469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705357 (
+	.A(n_20108),
+	.B(n_20127),
+	.Y(n_11598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705358 (
+	.A(n_20121),
+	.B(n_20124),
+	.Y(n_20233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705359 (
+	.A(n_20108),
+	.B(n_20111),
+	.Y(n_20232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705360 (
+	.A(n_20131),
+	.B(n_20121),
+	.Y(n_20231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705361 (
+	.A(n_20107),
+	.B(n_20114),
+	.Y(n_11622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705362 (
+	.A(n_20104),
+	.B(n_20132),
+	.Y(n_20229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705363 (
+	.A(n_20105),
+	.B(n_20128),
+	.Y(n_11404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705364 (
+	.A(n_20109),
+	.B(n_20137),
+	.Y(n_11489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705365 (
+	.A(n_20123),
+	.B(n_20119),
+	.Y(n_20226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705366 (
+	.A(n_20128),
+	.B(n_20121),
+	.Y(n_20225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705367 (
+	.A(n_20108),
+	.B(n_20114),
+	.Y(n_20224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705368 (
+	.A(n_20127),
+	.B(n_20121),
+	.Y(n_20223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705369 (
+	.A(n_20107),
+	.B(n_20127),
+	.Y(n_11448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705370 (
+	.A(n_20114),
+	.B(n_20121),
+	.Y(n_20221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705371 (
+	.A(n_20105),
+	.B(n_20126),
+	.Y(n_20220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705372 (
+	.A(n_20131),
+	.B(n_20108),
+	.Y(n_20219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705373 (
+	.A(n_20128),
+	.B(n_20108),
+	.Y(n_11436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705374 (
+	.A(n_20121),
+	.B(n_20113),
+	.Y(n_11445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705375 (
+	.A(n_20121),
+	.B(n_20112),
+	.Y(n_11470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705376 (
+	.A(n_20122),
+	.B(n_20120),
+	.Y(n_20215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705377 (
+	.A(n_20121),
+	.B(n_20117),
+	.Y(n_20214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705378 (
+	.A(n_20105),
+	.B(n_20127),
+	.Y(n_11556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705379 (
+	.A(n_20121),
+	.B(n_20130),
+	.Y(n_20212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705380 (
+	.A(n_20104),
+	.B(n_20126),
+	.Y(n_20211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705381 (
+	.A(n_20123),
+	.B(n_20137),
+	.Y(n_20210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705382 (
+	.A(n_20134),
+	.B(n_20121),
+	.Y(n_20209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705383 (
+	.A(n_20115),
+	.B(n_20121),
+	.Y(n_20208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705384 (
+	.A(n_20105),
+	.B(n_20114),
+	.Y(n_20207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705385 (
+	.A(n_20121),
+	.B(n_20126),
+	.Y(n_20206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705386 (
+	.A(n_20121),
+	.B(n_20111),
+	.Y(n_20205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705387 (
+	.A(n_20107),
+	.B(n_20128),
+	.Y(n_20204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705388 (
+	.A(n_20123),
+	.B(n_20135),
+	.Y(n_20203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705389 (
+	.A(n_20105),
+	.B(n_20134),
+	.Y(n_20202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705390 (
+	.A(n_20105),
+	.B(n_20125),
+	.Y(n_11581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705391 (
+	.A(n_11567),
+	.Y(n_30028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705392 (
+	.A(n_20199),
+	.Y(n_33082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705393 (
+	.A(n_20198),
+	.Y(n_30004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705394 (
+	.A(n_20197),
+	.Y(n_30009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705395 (
+	.A(n_20196),
+	.Y(n_29949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705396 (
+	.A(n_20195),
+	.Y(n_30026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705397 (
+	.A(n_20194),
+	.Y(n_29983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705398 (
+	.A(n_11644),
+	.Y(n_29939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705399 (
+	.A(n_11398),
+	.Y(n_30047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705400 (
+	.A(n_20191),
+	.Y(n_30027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705401 (
+	.A(n_11609),
+	.Y(n_29982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705402 (
+	.A(n_20189),
+	.Y(n_30048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705403 (
+	.A(n_11400),
+	.Y(n_30011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705404 (
+	.A(n_20187),
+	.Y(n_29993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705405 (
+	.A(n_11431),
+	.Y(n_30051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705406 (
+	.A(n_11485),
+	.Y(n_29977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705407 (
+	.A(n_20184),
+	.Y(n_29978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705408 (
+	.A(n_20183),
+	.Y(n_29957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705409 (
+	.A(n_20182),
+	.Y(n_29952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705410 (
+	.A(n_11640),
+	.Y(n_29976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705411 (
+	.A(n_20180),
+	.Y(n_29986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705412 (
+	.A(n_11432),
+	.Y(n_29974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705413 (
+	.A(n_20178),
+	.Y(n_29964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705414 (
+	.A(n_20177),
+	.Y(n_30033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705415 (
+	.A(n_20176),
+	.Y(n_29966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705416 (
+	.A(n_11453),
+	.Y(n_29933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705417 (
+	.A(n_20174),
+	.Y(n_30029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705418 (
+	.A(n_11637),
+	.Y(n_29963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705419 (
+	.A(n_11478),
+	.Y(n_29962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705420 (
+	.A(n_11483),
+	.Y(n_29975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705421 (
+	.A(n_20170),
+	.Y(n_29967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705422 (
+	.A(n_20169),
+	.Y(n_29934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705423 (
+	.A(n_20168),
+	.Y(n_29968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705424 (
+	.A(n_11423),
+	.Y(n_29969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705425 (
+	.A(n_11490),
+	.Y(n_30010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705426 (
+	.A(n_20165),
+	.Y(n_29960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705427 (
+	.A(n_11479),
+	.Y(n_29961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705428 (
+	.A(n_20163),
+	.Y(n_29944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705429 (
+	.A(n_20162),
+	.Y(n_30014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705430 (
+	.A(n_20161),
+	.Y(n_29947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705431 (
+	.A(n_11632),
+	.Y(n_29936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705432 (
+	.A(n_11554),
+	.Y(n_29937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705433 (
+	.A(n_20158),
+	.Y(n_30030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705434 (
+	.A(n_20157),
+	.Y(n_33084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705435 (
+	.A(n_11422),
+	.Y(n_29965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705436 (
+	.A(n_11439),
+	.Y(n_29973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705437 (
+	.A(n_20154),
+	.Y(n_29988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705438 (
+	.A(n_20153),
+	.Y(n_30035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705439 (
+	.A(n_11488),
+	.Y(n_29932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705440 (
+	.A(n_20151),
+	.Y(n_29985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705441 (
+	.A(n_11420),
+	.Y(n_29981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705442 (
+	.A(n_20149),
+	.Y(n_29948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705443 (
+	.A(n_20148),
+	.Y(n_30016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705444 (
+	.A(n_20147),
+	.Y(n_30017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705445 (
+	.A(n_11459),
+	.Y(n_30025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705446 (
+	.A(n_20145),
+	.Y(n_30015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705447 (
+	.A(n_20144),
+	.Y(n_29989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705448 (
+	.A(n_20143),
+	.Y(n_29990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705449 (
+	.A(n_20142),
+	.Y(n_29987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705450 (
+	.A(n_20141),
+	.Y(n_29938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705451 (
+	.A(n_20140),
+	.Y(n_29935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705452 (
+	.A(n_11435),
+	.Y(n_30031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705453 (
+	.A(n_20138),
+	.Y(n_29994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705454 (
+	.A(n_20105),
+	.B(n_20117),
+	.Y(n_11567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705455 (
+	.A(n_20108),
+	.B(n_20126),
+	.Y(n_20199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705456 (
+	.A(n_20129),
+	.B(n_20121),
+	.Y(n_20198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705457 (
+	.A(n_20123),
+	.B(n_20133),
+	.Y(n_20197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705458 (
+	.A(n_20107),
+	.B(n_20112),
+	.Y(n_20196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705459 (
+	.A(n_20105),
+	.B(n_20113),
+	.Y(n_20195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705460 (
+	.A(n_20104),
+	.B(n_20115),
+	.Y(n_20194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705461 (
+	.A(n_20106),
+	.B(n_20117),
+	.Y(n_11644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705462 (
+	.A(n_20123),
+	.B(n_20136),
+	.Y(n_11398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705463 (
+	.A(n_20105),
+	.B(n_20112),
+	.Y(n_20191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705464 (
+	.A(n_20104),
+	.B(n_20134),
+	.Y(n_11609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705465 (
+	.A(n_20123),
+	.B(n_20116),
+	.Y(n_20189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705466 (
+	.A(n_20109),
+	.B(n_20118),
+	.Y(n_11400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705467 (
+	.A(n_20106),
+	.B(n_20114),
+	.Y(n_20187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705468 (
+	.A(n_20110),
+	.B(n_20118),
+	.Y(n_11431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705469 (
+	.A(n_20110),
+	.B(n_20116),
+	.Y(n_11485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705470 (
+	.A(n_20110),
+	.B(n_20120),
+	.Y(n_20184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705471 (
+	.A(n_20106),
+	.B(n_20125),
+	.Y(n_20183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705472 (
+	.A(n_20106),
+	.B(n_20124),
+	.Y(n_20182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705473 (
+	.A(n_20110),
+	.B(n_20136),
+	.Y(n_11640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705474 (
+	.A(n_20122),
+	.B(n_20118),
+	.Y(n_20180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705475 (
+	.A(n_20110),
+	.B(n_20135),
+	.Y(n_11432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705476 (
+	.A(n_20106),
+	.B(n_20131),
+	.Y(n_20178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705477 (
+	.A(n_20105),
+	.B(n_20111),
+	.Y(n_20177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705478 (
+	.A(n_20125),
+	.B(n_20104),
+	.Y(n_20176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705479 (
+	.A(n_20106),
+	.B(n_20129),
+	.Y(n_11453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705480 (
+	.A(n_20105),
+	.B(n_20130),
+	.Y(n_20174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705481 (
+	.A(n_20106),
+	.B(n_20128),
+	.Y(n_11637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705482 (
+	.A(n_20104),
+	.B(n_20131),
+	.Y(n_11478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705483 (
+	.A(n_20110),
+	.B(n_20119),
+	.Y(n_11483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705484 (
+	.A(n_20124),
+	.B(n_20104),
+	.Y(n_20170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705485 (
+	.A(n_20106),
+	.B(n_20126),
+	.Y(n_20169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705486 (
+	.A(n_20104),
+	.B(n_20113),
+	.Y(n_20168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705487 (
+	.A(n_20104),
+	.B(n_20112),
+	.Y(n_11423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705488 (
+	.A(n_20109),
+	.B(n_20133),
+	.Y(n_11490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705489 (
+	.A(n_20127),
+	.B(n_20104),
+	.Y(n_20165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705490 (
+	.A(n_20104),
+	.B(n_20114),
+	.Y(n_11479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705491 (
+	.A(n_20106),
+	.B(n_20112),
+	.Y(n_20163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705492 (
+	.A(n_20109),
+	.B(n_20119),
+	.Y(n_20162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705493 (
+	.A(n_20107),
+	.B(n_20113),
+	.Y(n_20161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705494 (
+	.A(n_20106),
+	.B(n_20111),
+	.Y(n_11632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705495 (
+	.A(n_20106),
+	.B(n_20134),
+	.Y(n_11554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705496 (
+	.A(n_20132),
+	.B(n_20105),
+	.Y(n_20158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705497 (
+	.A(n_20108),
+	.B(n_20132),
+	.Y(n_20157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705498 (
+	.A(n_20104),
+	.B(n_20128),
+	.Y(n_11422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705499 (
+	.A(n_20110),
+	.B(n_20137),
+	.Y(n_11439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705500 (
+	.A(n_20122),
+	.B(n_20135),
+	.Y(n_20154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705501 (
+	.A(n_20105),
+	.B(n_20115),
+	.Y(n_20153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705502 (
+	.A(n_20106),
+	.B(n_20132),
+	.Y(n_11488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705503 (
+	.A(n_20122),
+	.B(n_20133),
+	.Y(n_20151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705504 (
+	.A(n_20104),
+	.B(n_20111),
+	.Y(n_11420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705505 (
+	.A(n_20106),
+	.B(n_20113),
+	.Y(n_20149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705506 (
+	.A(n_20109),
+	.B(n_20116),
+	.Y(n_20148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705507 (
+	.A(n_20109),
+	.B(n_20120),
+	.Y(n_20147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705508 (
+	.A(n_20105),
+	.B(n_20124),
+	.Y(n_11459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705509 (
+	.A(n_20109),
+	.B(n_20136),
+	.Y(n_20145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705510 (
+	.A(n_20122),
+	.B(n_20119),
+	.Y(n_20144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705511 (
+	.A(n_20122),
+	.B(n_20136),
+	.Y(n_20143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705512 (
+	.A(n_20122),
+	.B(n_20137),
+	.Y(n_20142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705513 (
+	.A(n_20106),
+	.B(n_20115),
+	.Y(n_20141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705514 (
+	.A(n_20106),
+	.B(n_20130),
+	.Y(n_20140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705515 (
+	.A(n_20105),
+	.B(n_20129),
+	.Y(n_11435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705516 (
+	.A(n_20122),
+	.B(n_20116),
+	.Y(n_20138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g705518 (
+	.A(n_20098),
+	.B(n_20096),
+	.Y(n_20137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g705519 (
+	.A(n_20094),
+	.B(n_20101),
+	.Y(n_20136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g705520 (
+	.A(n_20092),
+	.B(n_20096),
+	.Y(n_20135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g705521 (
+	.A(n_20098),
+	.B(n_20097),
+	.Y(n_20134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g705522 (
+	.A(n_20096),
+	.B(n_20093),
+	.Y(n_20133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g705523 (
+	.A(n_20098),
+	.B(n_20099),
+	.Y(n_20132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g705524 (
+	.A(n_20098),
+	.B(n_20102),
+	.Y(n_20131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g705525 (
+	.A(n_20094),
+	.B(n_20099),
+	.Y(n_20130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g705526 (
+	.A(n_20092),
+	.B(n_20099),
+	.Y(n_20129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g705527 (
+	.A(n_20092),
+	.B(n_20102),
+	.Y(n_20128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g705528 (
+	.A(n_20093),
+	.B(n_20102),
+	.Y(n_20127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g705529 (
+	.A(n_20097),
+	.B(n_20093),
+	.Y(n_20126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g705530 (
+	.A(n_20100),
+	.B(n_20093),
+	.Y(n_20125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g705531 (
+	.A(n_20094),
+	.B(n_20100),
+	.Y(n_20124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g705532 (
+	.A(n_20103),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [5]),
+	.Y(n_20123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g705533 (
+	.A(n_20076),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [5]),
+	.Y(n_20122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g705534 (
+	.A(n_20095),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [8]),
+	.Y(n_20121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g705536 (
+	.A(n_20092),
+	.B(n_20101),
+	.Y(n_20120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g705537 (
+	.A(n_20101),
+	.B(n_20093),
+	.Y(n_20119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g705538 (
+	.A(n_20094),
+	.B(n_20096),
+	.Y(n_20118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g705539 (
+	.A(n_20099),
+	.B(n_20093),
+	.Y(n_20117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g705540 (
+	.A(n_20098),
+	.B(n_20101),
+	.Y(n_20116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g705541 (
+	.A(n_20092),
+	.B(n_20097),
+	.Y(n_20115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g705542 (
+	.A(n_20094),
+	.B(n_20102),
+	.Y(n_20114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g705543 (
+	.A(n_20098),
+	.B(n_20100),
+	.Y(n_20113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g705544 (
+	.A(n_20092),
+	.B(n_20100),
+	.Y(n_20112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g705545 (
+	.A(n_20094),
+	.B(n_20097),
+	.Y(n_20111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g705546 (
+	.A(n_20103),
+	.B(n_20089),
+	.Y(n_20110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g705547 (
+	.A(n_20076),
+	.B(n_20089),
+	.Y(n_20109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g705548 (
+	.A(n_20095),
+	.B(n_20090),
+	.Y(n_20108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g705549 (
+	.A(n_20076),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [8]),
+	.Y(n_20107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g705550 (
+	.A(n_20103),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [8]),
+	.Y(n_20106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g705551 (
+	.A(n_20077),
+	.B(n_20090),
+	.Y(n_20105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g705552 (
+	.A(n_20077),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [8]),
+	.Y(n_20104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g705554 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [6]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [7]),
+	.X(n_20103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705555 (
+	.A(n_20091),
+	.B(n_20089),
+	.Y(n_20102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705556 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [8]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [4]),
+	.Y(n_20101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705557 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [4]),
+	.B(n_20089),
+	.Y(n_20100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705558 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [5]),
+	.B(n_20091),
+	.Y(n_20099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705559 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [3]),
+	.B(n_20087),
+	.Y(n_20098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705561 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [5]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [4]),
+	.Y(n_20097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705562 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [8]),
+	.B(n_20091),
+	.Y(n_20096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705563 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [7]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [6]),
+	.Y(n_20095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705564 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [2]),
+	.B(n_20088),
+	.Y(n_20094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705565 (
+	.A(n_20087),
+	.B(n_20088),
+	.Y(n_20093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g705566 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [3]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [2]),
+	.Y(n_20092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705567 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [4]),
+	.Y(n_20091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g705568 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [8]),
+	.Y(n_20090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705569 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [5]),
+	.Y(n_20089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g705570 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[18]),
+	.B(n_20081),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g705571 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[22]),
+	.B(n_20082),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g705572 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[19]),
+	.B(n_20080),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g705575 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [3]),
+	.Y(n_20088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g705576 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [2]),
+	.Y(n_20087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g705577 (
+	.A1_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[20]),
+	.A2_N(n_20086),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[20]),
+	.B2(n_20086),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g705578 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[21]),
+	.B(n_20085),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g705579 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[17]),
+	.B(n_20084),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g705580 (
+	.A1_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[16]),
+	.A2_N(n_20083),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[16]),
+	.B2(n_20083),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g705581 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[6]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[13]),
+	.Y(n_20086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g705582 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[7]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[14]),
+	.X(n_20085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g705583 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[10]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[3]),
+	.X(n_20084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g705584 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[8]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[15]),
+	.X(n_20082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g705585 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[11]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[4]),
+	.X(n_20081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g705586 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[12]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[5]),
+	.X(n_20080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g705587 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[9]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[2]),
+	.Y(n_20083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g705588 (
+	.A_N(\brqrv_top_brqrv_ifu_bpred.bp_leak_one_f ),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_req_f),
+	.Y(n_32238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g705589 (
+	.A_N(n_32706),
+	.B(brqrv_top_brqrv_exu_i0_br_way_r),
+	.Y(n_30263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g750823 (
+	.A_N(n_20133),
+	.B(n_20095),
+	.C(n_20089),
+	.X(n_12498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g705590 (
+	.A_N(n_20116),
+	.B(n_20095),
+	.C(n_20089),
+	.X(n_11403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g705591 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [7]),
+	.B_N(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [6]),
+	.Y(n_20077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g705592 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [6]),
+	.B_N(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [7]),
+	.Y(n_20076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g604329 (
+	.A1(n_20075),
+	.A2(n_30780),
+	.B1(n_32219),
+	.C1(n_30521),
+	.D1(n_32220),
+	.Y(n_33319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g604330 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[2]),
+	.Y(n_20075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g750824 (
+	.A1(n_20074),
+	.A2(n_30780),
+	.B1(n_30722),
+	.C1(n_32223),
+	.D1(n_32224),
+	.Y(n_33318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g604331 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[1]),
+	.Y(n_20074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g610727 (
+	.A(n_20073),
+	.B(n_30722),
+	.Y(n_33317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g610728 (
+	.A1(n_20072),
+	.A2(n_30787),
+	.B1(n_534),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.Y(n_20073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g610729 (
+	.A(n_20071),
+	.B(n_20057),
+	.C(n_19986),
+	.D(n_20062),
+	.Y(n_20072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a311oi_1 g610730 (
+	.A1(n_19882),
+	.A2(n_19863),
+	.A3(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[25]),
+	.B1(n_20061),
+	.C1(n_20070),
+	.Y(n_20071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g610731 (
+	.A(n_20069),
+	.B(n_20056),
+	.C(n_20055),
+	.D(n_20042),
+	.Y(n_20070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 g610732 (
+	.A1(n_20047),
+	.A2(n_20050),
+	.A3(n_20066),
+	.A4(n_20067),
+	.B1(n_30787),
+	.Y(n_32224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g610733 (
+	.A1(n_19910),
+	.A2(n_19856),
+	.A3(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[30]),
+	.B1(n_20068),
+	.Y(n_20069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o311ai_1 g610734 (
+	.A1(n_19852),
+	.A2(n_19853),
+	.A3(n_19878),
+	.B1(n_19995),
+	.C1(n_20065),
+	.Y(n_20068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g610735 (
+	.A(n_20063),
+	.B(n_20049),
+	.C(n_19904),
+	.D(n_20022),
+	.Y(n_20067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 g610736 (
+	.A1(n_20053),
+	.A2(n_20045),
+	.A3(n_20052),
+	.A4(n_20048),
+	.B1(n_30787),
+	.Y(n_32220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g610737 (
+	.A(n_39280),
+	.B(n_20060),
+	.C(n_20017),
+	.D(n_20059),
+	.Y(n_20066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g610738 (
+	.A1(n_19881),
+	.A2(n_19870),
+	.A3(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[16]),
+	.B1(n_20064),
+	.Y(n_20065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g610739 (
+	.A1(n_19859),
+	.A2(n_20026),
+	.B1(n_20058),
+	.Y(n_20064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g610740 (
+	.A1(n_19915),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[15]),
+	.B1(n_20039),
+	.C1(n_20040),
+	.Y(n_20063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g610741 (
+	.A(n_20054),
+	.B(n_20033),
+	.C(n_19977),
+	.X(n_20062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g610742 (
+	.A1(n_30756),
+	.A2(n_20021),
+	.B1(n_19862),
+	.B2(n_19938),
+	.C1(n_20038),
+	.Y(n_20061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g610743 (
+	.A1(n_19834),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[61]),
+	.B1(n_19914),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[63]),
+	.C1(n_20044),
+	.Y(n_20060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g610744 (
+	.A1(n_19835),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[43]),
+	.B1(n_19913),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[41]),
+	.C1(n_20043),
+	.Y(n_20059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g610745 (
+	.A1(n_19834),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[60]),
+	.B1(n_19914),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[62]),
+	.C1(n_20046),
+	.Y(n_20058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g610746 (
+	.A1(n_19935),
+	.A2(n_19857),
+	.B1(n_20028),
+	.C1(n_20051),
+	.Y(n_20057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g610747 (
+	.A1(n_19835),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[42]),
+	.B1(n_19913),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[40]),
+	.C1(n_20041),
+	.Y(n_20056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g610748 (
+	.A(n_20036),
+	.B(n_20012),
+	.C(n_20023),
+	.D(n_20034),
+	.Y(n_20055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g610749 (
+	.A(n_20024),
+	.B(n_20009),
+	.C(n_19996),
+	.D(n_20004),
+	.X(n_20054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g610750 (
+	.A(n_19983),
+	.B(n_19984),
+	.C(n_19981),
+	.D(n_19982),
+	.Y(n_20053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g610751 (
+	.A(n_19978),
+	.B(n_19976),
+	.C(n_19979),
+	.D(n_19980),
+	.Y(n_20052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g610752 (
+	.A(n_19994),
+	.B(n_19993),
+	.C(n_19998),
+	.D(n_20011),
+	.Y(n_20051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g610753 (
+	.A(n_20007),
+	.B(n_20010),
+	.C(n_20006),
+	.D(n_20008),
+	.Y(n_20050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g610754 (
+	.A(n_19987),
+	.B(n_20000),
+	.C(n_19997),
+	.D(n_19961),
+	.X(n_20049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g610755 (
+	.A(n_19988),
+	.B(n_19990),
+	.C(n_19952),
+	.D(n_19999),
+	.Y(n_20048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g610756 (
+	.A(n_20003),
+	.B(n_20005),
+	.C(n_20002),
+	.D(n_20001),
+	.Y(n_20047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g610757 (
+	.A1(n_19862),
+	.A2(n_20025),
+	.B1(n_19936),
+	.B2(n_30723),
+	.Y(n_20046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g610758 (
+	.A(n_19975),
+	.B(n_20019),
+	.C(n_20020),
+	.D(n_20018),
+	.Y(n_20045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g610759 (
+	.A1(n_19862),
+	.A2(n_20035),
+	.B1(n_19940),
+	.B2(n_30723),
+	.Y(n_20044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g610760 (
+	.A1(n_19858),
+	.A2(n_20032),
+	.B1(n_19939),
+	.B2(n_30723),
+	.Y(n_20043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32a_1 g610761 (
+	.A1(n_30783),
+	.A2(n_19903),
+	.A3(n_30723),
+	.B1(n_30782),
+	.B2(n_20031),
+	.X(n_20042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g610762 (
+	.A1(n_19858),
+	.A2(n_20030),
+	.B1(n_19937),
+	.B2(n_30723),
+	.Y(n_20041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g610763 (
+	.A1(n_19859),
+	.A2(n_20029),
+	.B1(n_19901),
+	.B2(n_19909),
+	.Y(n_20040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g610764 (
+	.A1(n_30783),
+	.A2(n_19900),
+	.A3(n_30723),
+	.B1(n_30782),
+	.B2(n_20027),
+	.Y(n_20039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g610765 (
+	.A(n_19992),
+	.B(n_19991),
+	.C(n_19985),
+	.D(n_19989),
+	.X(n_20038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g610767 (
+	.A1(n_19847),
+	.A2(n_19944),
+	.A3(n_30756),
+	.B1(n_30782),
+	.B2(n_19916),
+	.Y(n_20036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g610768 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[51]),
+	.A2(n_19907),
+	.B1(n_19908),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[53]),
+	.C1(n_19917),
+	.C2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[55]),
+	.Y(n_20035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o311ai_1 g610769 (
+	.A1(n_30783),
+	.A2(n_19898),
+	.A3(n_30756),
+	.B1(n_19902),
+	.C1(n_19943),
+	.Y(n_20034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g610770 (
+	.A1(n_19930),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[4]),
+	.B1(n_20015),
+	.Y(n_20033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g610771 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[35]),
+	.A2(n_19907),
+	.B1(n_19908),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[37]),
+	.C1(n_19917),
+	.C2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[39]),
+	.Y(n_20032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g610772 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[2]),
+	.A2(n_19907),
+	.B1(n_19908),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[4]),
+	.C1(n_19917),
+	.C2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[6]),
+	.Y(n_20031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g610773 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[34]),
+	.A2(n_19907),
+	.B1(n_19908),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[36]),
+	.C1(n_19917),
+	.C2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[38]),
+	.Y(n_20030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g610774 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[19]),
+	.A2(n_19907),
+	.B1(n_19908),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[21]),
+	.C1(n_19917),
+	.C2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[23]),
+	.Y(n_20029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o311ai_1 g610775 (
+	.A1(n_19842),
+	.A2(n_19905),
+	.A3(n_30756),
+	.B1(n_19899),
+	.C1(n_19942),
+	.Y(n_20028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g610776 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[3]),
+	.A2(n_19907),
+	.B1(n_19908),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[5]),
+	.C1(n_19917),
+	.C2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[7]),
+	.Y(n_20027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g610777 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[18]),
+	.A2(n_19907),
+	.B1(n_19908),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[20]),
+	.C1(n_19917),
+	.C2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[22]),
+	.Y(n_20026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g610778 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[50]),
+	.A2(n_19907),
+	.B1(n_19908),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[52]),
+	.C1(n_19917),
+	.C2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[54]),
+	.Y(n_20025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g610779 (
+	.A1(n_19929),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[44]),
+	.B1(n_19919),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[48]),
+	.Y(n_20024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g610780 (
+	.A(n_19859),
+	.B(n_19954),
+	.Y(n_20023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g610781 (
+	.A(n_19910),
+	.B(n_19856),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[31]),
+	.Y(n_20022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g610782 (
+	.A1(n_19869),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[24]),
+	.B1(n_19945),
+	.Y(n_20021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g610783 (
+	.A1(n_19912),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[70]),
+	.B1(n_19896),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[66]),
+	.Y(n_20020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g610784 (
+	.A(n_19974),
+	.B(n_19973),
+	.X(n_20019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g610785 (
+	.A1(n_19918),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[74]),
+	.B1(n_19928),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[78]),
+	.Y(n_20018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g610786 (
+	.A1(n_19918),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[73]),
+	.B1(n_19928),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[77]),
+	.Y(n_20017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g610787 (
+	.A(n_19972),
+	.B(n_19971),
+	.X(n_20016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g610788 (
+	.A(n_19967),
+	.B(n_19968),
+	.Y(n_20015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g610790 (
+	.A1(n_19912),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[69]),
+	.B1(n_19896),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[65]),
+	.Y(n_20013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g610791 (
+	.A(n_30782),
+	.B(n_19941),
+	.Y(n_20012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g610792 (
+	.A1(n_19918),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[72]),
+	.B1(n_19928),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[76]),
+	.Y(n_20011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g610793 (
+	.A1(n_19890),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[33]),
+	.B1(n_19932),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[29]),
+	.Y(n_20010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g610794 (
+	.A1(n_19890),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[32]),
+	.B1(n_19932),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[28]),
+	.Y(n_20009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g610795 (
+	.A(n_19965),
+	.B(n_19966),
+	.X(n_20008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g610796 (
+	.A1(n_19929),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[45]),
+	.B1(n_19919),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[49]),
+	.Y(n_20007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g610797 (
+	.A(n_19963),
+	.B(n_19964),
+	.X(n_20006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g610798 (
+	.A1(n_19920),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[121]),
+	.B1(n_19924),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[125]),
+	.Y(n_20005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g610799 (
+	.A(n_19962),
+	.B(n_19960),
+	.X(n_20004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g610800 (
+	.A1(n_19921),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[117]),
+	.B1(n_19927),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[113]),
+	.Y(n_20003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g610801 (
+	.A1(n_19923),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[101]),
+	.B1(n_19895),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[97]),
+	.Y(n_20002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g610802 (
+	.A1(n_19922),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[105]),
+	.B1(n_19933),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[109]),
+	.Y(n_20001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g610803 (
+	.A1(n_19911),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[61]),
+	.B1(n_19930),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[5]),
+	.Y(n_20000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g610804 (
+	.A1(n_19925),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[26]),
+	.B1(n_19934),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[10]),
+	.Y(n_19999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g610805 (
+	.A1(n_19912),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[68]),
+	.B1(n_19896),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[64]),
+	.Y(n_19998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g610806 (
+	.A1(n_19925),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[25]),
+	.B1(n_19934),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[9]),
+	.Y(n_19997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g610807 (
+	.A(n_19958),
+	.B(n_19959),
+	.X(n_19996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g610808 (
+	.A1_N(n_19906),
+	.A2_N(n_19909),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[14]),
+	.B2(n_19915),
+	.Y(n_19995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g610809 (
+	.A(n_19957),
+	.B(n_19956),
+	.X(n_19994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g610810 (
+	.A(n_19955),
+	.B(n_19953),
+	.X(n_19993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g610811 (
+	.A1(n_19921),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[116]),
+	.B1(n_19927),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[112]),
+	.Y(n_19992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g610812 (
+	.A1(n_19920),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[120]),
+	.B1(n_19924),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[124]),
+	.Y(n_19991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g610813 (
+	.A1(n_19911),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[62]),
+	.B1(n_19930),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[6]),
+	.Y(n_19990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g610814 (
+	.A1(n_19923),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[100]),
+	.B1(n_19895),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[96]),
+	.Y(n_19989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g610815 (
+	.A1(n_19926),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[22]),
+	.B1(n_19680),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[18]),
+	.Y(n_19988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g610816 (
+	.A1(n_19926),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[21]),
+	.B1(n_19680),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[17]),
+	.Y(n_19987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g610817 (
+	.A1(n_19925),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[24]),
+	.B1(n_19934),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[8]),
+	.Y(n_19986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g610818 (
+	.A1(n_19922),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[104]),
+	.B1(n_19933),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[108]),
+	.Y(n_19985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g610819 (
+	.A1(n_19890),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[34]),
+	.B1(n_19932),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[30]),
+	.Y(n_19984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g610820 (
+	.A1(n_19929),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[46]),
+	.B1(n_19919),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[50]),
+	.Y(n_19983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g610821 (
+	.A(n_19950),
+	.B(n_19951),
+	.X(n_19982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g610822 (
+	.A(n_19948),
+	.B(n_19949),
+	.X(n_19981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g610823 (
+	.A1(n_19922),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[106]),
+	.B1(n_19933),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[110]),
+	.Y(n_19980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g610824 (
+	.A1(n_19923),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[102]),
+	.B1(n_19895),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[98]),
+	.Y(n_19979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g610825 (
+	.A1(n_19921),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[118]),
+	.B1(n_19927),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[114]),
+	.Y(n_19978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g610826 (
+	.A1(n_19926),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[20]),
+	.B1(n_19680),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[16]),
+	.Y(n_19977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g610827 (
+	.A1(n_19920),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[122]),
+	.B1(n_19924),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[126]),
+	.Y(n_19976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g610828 (
+	.A(n_19947),
+	.B(n_19946),
+	.X(n_19975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g610829 (
+	.A_N(n_30726),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[94]),
+	.Y(n_19974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g610830 (
+	.A_N(n_30727),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[90]),
+	.Y(n_19973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g610831 (
+	.A_N(n_30726),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[93]),
+	.Y(n_19972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g610832 (
+	.A_N(n_30727),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[89]),
+	.Y(n_19971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g610833 (
+	.A_N(n_30731),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[81]),
+	.Y(n_19970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g610834 (
+	.A_N(n_30730),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[85]),
+	.Y(n_19969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g610835 (
+	.A_N(n_30749),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[12]),
+	.Y(n_19968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g610836 (
+	.A(n_19911),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[60]),
+	.Y(n_19967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g610837 (
+	.A_N(n_30746),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[53]),
+	.Y(n_19966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g610838 (
+	.A_N(n_30743),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[57]),
+	.Y(n_19965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g610839 (
+	.A_N(n_30754),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[37]),
+	.Y(n_19964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g610840 (
+	.A_N(n_30752),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[41]),
+	.Y(n_19963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g610841 (
+	.A_N(n_30743),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[56]),
+	.Y(n_19962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g610842 (
+	.A_N(n_30749),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[13]),
+	.Y(n_19961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g610843 (
+	.A_N(n_30746),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[52]),
+	.Y(n_19960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g610844 (
+	.A_N(n_30754),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[36]),
+	.Y(n_19959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g610845 (
+	.A_N(n_30752),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[40]),
+	.Y(n_19958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g610846 (
+	.A_N(n_30731),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[80]),
+	.Y(n_19957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g610847 (
+	.A_N(n_30730),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[84]),
+	.Y(n_19956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g610848 (
+	.A_N(n_30726),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[92]),
+	.Y(n_19955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g610849 (
+	.A1(n_19883),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[10]),
+	.B1(n_19894),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[11]),
+	.Y(n_19954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g610850 (
+	.A_N(n_30727),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[88]),
+	.Y(n_19953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g610851 (
+	.A_N(n_30749),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[14]),
+	.Y(n_19952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g610852 (
+	.A_N(n_30746),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[54]),
+	.Y(n_19951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g610853 (
+	.A_N(n_30743),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[58]),
+	.Y(n_19950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g610854 (
+	.A_N(n_30754),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[38]),
+	.Y(n_19949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g610855 (
+	.A_N(n_30752),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[42]),
+	.Y(n_19948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g610856 (
+	.A_N(n_30731),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[82]),
+	.Y(n_19947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g610857 (
+	.A_N(n_30730),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[86]),
+	.Y(n_19946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g610858 (
+	.A1(n_19871),
+	.A2(n_19872),
+	.B1(n_19844),
+	.Y(n_19945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g610859 (
+	.A1(n_19856),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[15]),
+	.B1(n_19854),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[14]),
+	.C1(n_19877),
+	.Y(n_19944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g610860 (
+	.A(n_19882),
+	.B(n_19860),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[9]),
+	.Y(n_19943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g610861 (
+	.A(n_19882),
+	.B(n_19857),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[17]),
+	.Y(n_19942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g610862 (
+	.A1(n_19883),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[2]),
+	.B1(n_19894),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[3]),
+	.Y(n_19941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g610863 (
+	.A1_N(n_19844),
+	.A2_N(n_19879),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[49]),
+	.B2(n_19869),
+	.Y(n_19940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g610864 (
+	.A1_N(n_19842),
+	.A2_N(n_19875),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[33]),
+	.B2(n_19867),
+	.Y(n_19939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g610865 (
+	.A1(n_19883),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[26]),
+	.B1(n_19894),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[27]),
+	.Y(n_19938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g610866 (
+	.A1_N(n_19842),
+	.A2_N(n_19880),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[32]),
+	.B2(n_19867),
+	.Y(n_19937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g610867 (
+	.A1_N(n_19844),
+	.A2_N(n_19874),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[48]),
+	.B2(n_19869),
+	.Y(n_19936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g610868 (
+	.A1(n_19883),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[18]),
+	.B1(n_19894),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[19]),
+	.X(n_19935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g610869 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[14]),
+	.B(n_30722),
+	.X(n_30521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g610871 (
+	.A(n_30734),
+	.Y(n_19933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g610872 (
+	.A(n_19932),
+	.Y(n_30740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g610874 (
+	.A(n_19930),
+	.Y(n_30753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g610875 (
+	.A(n_30750),
+	.Y(n_19929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g610876 (
+	.A(n_30735),
+	.Y(n_19928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g610878 (
+	.A(n_19926),
+	.Y(n_30744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g610879 (
+	.A(n_19925),
+	.Y(n_30741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g610881 (
+	.A(n_19923),
+	.Y(n_30738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g610882 (
+	.A(n_19922),
+	.Y(n_30736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g610885 (
+	.A(n_19919),
+	.Y(n_30747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g610886 (
+	.A(n_19918),
+	.Y(n_30737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g610887 (
+	.A(n_19882),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[1]),
+	.Y(n_19916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g610888 (
+	.A(n_30787),
+	.B(n_19865),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_picm_mken_ff),
+	.Y(n_30722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g610889 (
+	.A(n_30782),
+	.B(n_19888),
+	.Y(n_19934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g610890 (
+	.A(n_19893),
+	.B(n_19863),
+	.Y(n_30734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g610891 (
+	.A(n_30783),
+	.B(n_19884),
+	.Y(n_19932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g610893 (
+	.A(n_30783),
+	.B(n_19892),
+	.Y(n_19680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g610894 (
+	.A(n_30782),
+	.B(n_19885),
+	.Y(n_19930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g610895 (
+	.A(n_19893),
+	.B(n_19860),
+	.Y(n_30750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g610896 (
+	.A(n_19893),
+	.B(n_19857),
+	.Y(n_30735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g610897 (
+	.A(n_19844),
+	.B(n_19892),
+	.Y(n_19927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g610898 (
+	.A(n_30783),
+	.B(n_19897),
+	.Y(n_19926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g610899 (
+	.A(n_30783),
+	.B(n_19889),
+	.Y(n_19925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g610900 (
+	.A(n_19844),
+	.B(n_19884),
+	.Y(n_19924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g610901 (
+	.A(n_19862),
+	.B(n_19885),
+	.Y(n_19923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g610902 (
+	.A(n_19862),
+	.B(n_19888),
+	.Y(n_19922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g610903 (
+	.A(n_19844),
+	.B(n_19897),
+	.Y(n_19921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g610904 (
+	.A(n_19844),
+	.B(n_19889),
+	.Y(n_19920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g610905 (
+	.A(n_19847),
+	.B(n_19892),
+	.Y(n_19919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g610906 (
+	.A(n_19891),
+	.B(n_19843),
+	.Y(n_30731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g610907 (
+	.A(n_19858),
+	.B(n_19888),
+	.Y(n_19918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g610908 (
+	.A(n_19886),
+	.B(n_19860),
+	.Y(n_30754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g610909 (
+	.A(n_19887),
+	.B(n_19860),
+	.Y(n_30752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g610910 (
+	.A(n_19849),
+	.B(n_30723),
+	.Y(n_19917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g610911 (
+	.A(n_30739),
+	.Y(n_19912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g610912 (
+	.A(n_19911),
+	.Y(n_30742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g610913 (
+	.A(n_19910),
+	.Y(n_19909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g610914 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[28]),
+	.A2(n_19854),
+	.B1(n_19861),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[26]),
+	.C1(n_19841),
+	.C2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[24]),
+	.Y(n_19906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g610915 (
+	.A1(n_19854),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[22]),
+	.B1(n_19856),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[23]),
+	.C1(n_19873),
+	.Y(n_19905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g610916 (
+	.A(n_19881),
+	.B(n_19870),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[17]),
+	.Y(n_19904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g610917 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[10]),
+	.A2(n_19861),
+	.B1(n_19854),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[12]),
+	.C1(n_19841),
+	.C2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[8]),
+	.Y(n_19903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g610918 (
+	.A(n_19866),
+	.B(n_19870),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[8]),
+	.Y(n_19902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g610919 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[25]),
+	.A2(n_19841),
+	.B1(n_19854),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[29]),
+	.C1(n_19861),
+	.C2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[27]),
+	.Y(n_19901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g610920 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[11]),
+	.A2(n_19861),
+	.B1(n_19841),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[9]),
+	.C1(n_19854),
+	.C2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[13]),
+	.Y(n_19900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g610921 (
+	.A(n_19866),
+	.B(n_19867),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[16]),
+	.Y(n_19899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g610922 (
+	.A1(n_19854),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[6]),
+	.B1(n_19856),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[7]),
+	.C1(n_19876),
+	.Y(n_19898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g610924 (
+	.A(n_30783),
+	.B(n_19855),
+	.C(n_30723),
+	.Y(n_19915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g610925 (
+	.A(n_19844),
+	.B(n_19855),
+	.C(n_30723),
+	.Y(n_19914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g610927 (
+	.A(n_19842),
+	.B(n_19840),
+	.C(n_30723),
+	.Y(n_19913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g610928 (
+	.A(n_19847),
+	.B(n_19889),
+	.X(n_30743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g610929 (
+	.A(n_19842),
+	.B(n_19897),
+	.X(n_30730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g610930 (
+	.A(n_19847),
+	.B(n_19897),
+	.X(n_30746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g610931 (
+	.A(n_19842),
+	.B(n_19889),
+	.X(n_30727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g610932 (
+	.A(n_19842),
+	.B(n_19884),
+	.X(n_30726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g610933 (
+	.A(n_19886),
+	.B(n_19857),
+	.Y(n_30739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g610934 (
+	.A(n_19847),
+	.B(n_19884),
+	.Y(n_19911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g610935 (
+	.A(n_19847),
+	.B(n_30723),
+	.Y(n_19910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g610936 (
+	.A(n_19848),
+	.B(n_30723),
+	.Y(n_19908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g610937 (
+	.A(n_19845),
+	.B(n_30723),
+	.Y(n_19907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g610938 (
+	.A(n_30733),
+	.Y(n_19896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g610939 (
+	.A(n_30732),
+	.Y(n_19895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g610940 (
+	.A(n_19891),
+	.Y(n_19892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g610941 (
+	.A(n_30748),
+	.Y(n_19890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g610942 (
+	.A(n_19887),
+	.Y(n_19888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g610943 (
+	.A(n_19886),
+	.Y(n_19885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g610944 (
+	.A(n_19868),
+	.B(n_19861),
+	.Y(n_19897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g610945 (
+	.A(n_19868),
+	.B(n_19867),
+	.Y(n_30733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g610946 (
+	.A(n_19868),
+	.B(n_19869),
+	.Y(n_30732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g610947 (
+	.A(n_19849),
+	.B(n_30756),
+	.Y(n_19894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g610948 (
+	.A(n_19849),
+	.B(n_30755),
+	.Y(n_19893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g610949 (
+	.A(n_19840),
+	.B(n_30755),
+	.Y(n_19891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g610950 (
+	.A(n_19868),
+	.B(n_19870),
+	.Y(n_30748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g610951 (
+	.A(n_19868),
+	.B(n_19854),
+	.Y(n_19889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g610952 (
+	.A(n_19848),
+	.B(n_30755),
+	.Y(n_19887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g610953 (
+	.A(n_19845),
+	.B(n_30755),
+	.Y(n_19886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g610954 (
+	.A(n_19868),
+	.B(n_19856),
+	.Y(n_19884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g610955 (
+	.A(n_19848),
+	.B(n_30756),
+	.Y(n_19883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g610956 (
+	.A(n_30723),
+	.Y(n_19881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g610957 (
+	.A1(n_19854),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[44]),
+	.B1(n_19856),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[46]),
+	.Y(n_19880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g610958 (
+	.A1(n_19861),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[59]),
+	.B1(n_19841),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[57]),
+	.Y(n_19879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g610959 (
+	.A1(n_19846),
+	.A2(n_30781),
+	.A3(n_19850),
+	.B1(n_19839),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[13]),
+	.Y(n_19878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g610960 (
+	.A1(n_19861),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[13]),
+	.B1(n_19841),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[12]),
+	.X(n_19877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g610961 (
+	.A1(n_19861),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[5]),
+	.B1(n_19841),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[4]),
+	.X(n_19876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g610962 (
+	.A1(n_19854),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[45]),
+	.B1(n_19856),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[47]),
+	.Y(n_19875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g610963 (
+	.A1(n_19861),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[58]),
+	.B1(n_19841),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[56]),
+	.Y(n_19874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g610964 (
+	.A1(n_19861),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[21]),
+	.B1(n_19841),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[20]),
+	.X(n_19873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g610965 (
+	.A1(n_19861),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[29]),
+	.B1(n_19841),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[28]),
+	.Y(n_19872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g610966 (
+	.A1(n_19854),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[30]),
+	.B1(n_19856),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[31]),
+	.Y(n_19871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g610967 (
+	.A(n_19845),
+	.B(n_30756),
+	.Y(n_19882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g610968 (
+	.A_N(n_30523),
+	.B(n_19864),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[14]),
+	.Y(n_30723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g610969 (
+	.A(n_30755),
+	.Y(n_19868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g610970 (
+	.A(n_30784),
+	.B(n_19859),
+	.Y(n_19870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g610971 (
+	.A(n_30784),
+	.B(n_19862),
+	.Y(n_19869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g610972 (
+	.A_N(n_30785),
+	.B(n_19864),
+	.Y(n_30755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g610973 (
+	.A(n_30756),
+	.Y(n_19866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g610974 (
+	.A(n_19864),
+	.B(n_30781),
+	.C(n_19851),
+	.X(n_19865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g610975 (
+	.A(n_30784),
+	.B(n_19858),
+	.Y(n_19867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g610976 (
+	.A_N(n_30785),
+	.B(n_19846),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[13]),
+	.Y(n_30756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g610977 (
+	.A(n_19863),
+	.Y(n_19862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g610980 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[13]),
+	.B_N(n_19846),
+	.Y(n_19864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g610981 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[4]),
+	.B(n_19844),
+	.Y(n_19863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g610982 (
+	.A(n_19838),
+	.B(n_19845),
+	.Y(n_19861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g610983 (
+	.A(n_19860),
+	.Y(n_19859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g610984 (
+	.A(n_19858),
+	.Y(n_19857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g610985 (
+	.A(n_19856),
+	.Y(n_19855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g610987 (
+	.A1(n_19836),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.B1(n_19850),
+	.Y(n_19853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g610988 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[4]),
+	.B(n_19847),
+	.Y(n_19860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g610989 (
+	.A(n_19843),
+	.B(n_19838),
+	.Y(n_19858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g610990 (
+	.A(n_19838),
+	.B(n_19849),
+	.Y(n_19856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g610991 (
+	.A(n_19838),
+	.B(n_19848),
+	.Y(n_19854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g610992 (
+	.A(n_19851),
+	.Y(n_19852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g610993 (
+	.A(n_534),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.Y(n_32219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g610994 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[0]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[1]),
+	.Y(n_19851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g610995 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[14]),
+	.B_N(brqrv_top_brqrv_pic_ctrl_inst_picm_mken_ff),
+	.Y(n_19850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g610996 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[3]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.Y(n_19849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g610997 (
+	.A_N(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[3]),
+	.Y(n_19848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g610998 (
+	.A_N(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[6]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[5]),
+	.Y(n_19847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g610999 (
+	.A(n_19843),
+	.Y(n_19842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g611000 (
+	.A(n_19841),
+	.Y(n_19840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g611001 (
+	.A(n_534),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.Y(n_32223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g611002 (
+	.A(n_31773),
+	.B(n_32529),
+	.X(n_30588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g611003 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[12]),
+	.B(n_30786),
+	.Y(n_19846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g611004 (
+	.A_N(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[3]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.Y(n_19845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g611005 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[6]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[5]),
+	.Y(n_19844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g611006 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[5]),
+	.B_N(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[6]),
+	.Y(n_19843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g611007 (
+	.A(n_19838),
+	.B(n_30784),
+	.Y(n_19841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g611008 (
+	.A(n_30522),
+	.Y(n_19839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g611009 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[4]),
+	.Y(n_19838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g611012 (
+	.A(n_30785),
+	.Y(n_19836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g750825 (
+	.A(n_30723),
+	.B(n_19842),
+	.C_N(n_19861),
+	.Y(n_19835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g611013 (
+	.A(n_30723),
+	.B(n_19844),
+	.C_N(n_19854),
+	.Y(n_19834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g611014 (
+	.A_N(n_30782),
+	.B(n_19893),
+	.Y(n_30749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g750826 (
+	.A1(n_19678),
+	.A2(brqrv_top_brqrv_dec_arf_n_9953),
+	.B1(n_19764),
+	.C1(n_19780),
+	.D1(n_19833),
+	.Y(n_33350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g750827 (
+	.A(n_19831),
+	.B(n_19778),
+	.C(n_19790),
+	.D(n_19783),
+	.Y(n_33128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g750828 (
+	.A1(n_351),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[193]),
+	.B1(n_19808),
+	.C1(n_19832),
+	.Y(n_19833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g750829 (
+	.A(n_19830),
+	.B(n_19816),
+	.C(n_19804),
+	.D(n_19802),
+	.Y(n_19832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g750830 (
+	.A(n_19827),
+	.B(n_19826),
+	.C(n_19797),
+	.D(n_19812),
+	.X(n_19831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g750831 (
+	.A1(n_19691),
+	.A2(n_30780),
+	.B1(n_19714),
+	.C1(n_30521),
+	.D1(n_19829),
+	.Y(n_33320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g750832 (
+	.A1(n_504),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[865]),
+	.B1(n_19828),
+	.Y(n_19830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 g750833 (
+	.A1(n_19731),
+	.A2(n_19781),
+	.A3(n_39173),
+	.A4(n_19823),
+	.B1(n_30787),
+	.Y(n_19829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g750834 (
+	.A(n_19824),
+	.B(n_19815),
+	.C(n_19799),
+	.D(n_19801),
+	.Y(n_19828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g750835 (
+	.A1(n_504),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[866]),
+	.B1(n_19805),
+	.C1(n_19822),
+	.Y(n_19827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g750836 (
+	.A1(n_347),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[802]),
+	.B1(n_492),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[34]),
+	.C1(n_19825),
+	.Y(n_19826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g750837 (
+	.A(n_19819),
+	.B(n_19779),
+	.C(n_19792),
+	.D(n_19794),
+	.Y(n_19825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g750838 (
+	.A1(n_501),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[321]),
+	.B1(n_19821),
+	.Y(n_19824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g750839 (
+	.A1(n_19698),
+	.A2(n_30742),
+	.B1(n_19688),
+	.B2(n_30753),
+	.C1(n_19820),
+	.Y(n_19823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g750840 (
+	.A(n_19814),
+	.B(n_19807),
+	.C(n_39281),
+	.D(n_19811),
+	.Y(n_19822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g750841 (
+	.A(n_19798),
+	.B(n_19795),
+	.C(n_19803),
+	.D(n_19793),
+	.Y(n_19821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g750842 (
+	.A1(n_19681),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[15]),
+	.B1(n_19680),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[19]),
+	.C1(n_19818),
+	.Y(n_19820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g750843 (
+	.A1(n_360),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[258]),
+	.B1(n_355),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[226]),
+	.C1(n_19810),
+	.Y(n_19819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g750844 (
+	.A1(n_19685),
+	.A2(n_30741),
+	.B1(n_19690),
+	.B2(n_30744),
+	.C1(n_19809),
+	.Y(n_19818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g750846 (
+	.A1(n_506),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[961]),
+	.B1(n_19806),
+	.Y(n_19816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g607453 (
+	.A1(n_499),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[449]),
+	.B1(n_19800),
+	.Y(n_19815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g607454 (
+	.A1(n_493),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[706]),
+	.B1(n_359),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[674]),
+	.Y(n_19814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g607455 (
+	.A(n_19732),
+	.B(n_39175),
+	.C(n_19734),
+	.D(n_39174),
+	.Y(n_19813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g607456 (
+	.A1(n_510),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[770]),
+	.B1(n_496),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[834]),
+	.Y(n_19812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g607457 (
+	.A1(n_505),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[578]),
+	.B1(n_350),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[546]),
+	.Y(n_19811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g607458 (
+	.A1(n_19702),
+	.A2(n_30857),
+	.B1(n_19704),
+	.B2(n_30856),
+	.Y(n_19810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g607459 (
+	.A(n_19730),
+	.B(n_19728),
+	.C(n_19733),
+	.D(n_19727),
+	.Y(n_19809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g607460 (
+	.A1(n_19683),
+	.A2(n_30877),
+	.B1(n_19785),
+	.Y(n_19808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g607461 (
+	.A1(n_508),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[514]),
+	.B1(n_352),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[642]),
+	.Y(n_19807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g607462 (
+	.A(n_19788),
+	.B(n_19789),
+	.Y(n_19806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g607463 (
+	.A(n_19786),
+	.B(n_19787),
+	.Y(n_19805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g607464 (
+	.A1(n_496),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[833]),
+	.B1(n_347),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[801]),
+	.Y(n_19804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g607465 (
+	.A1(n_494),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[609]),
+	.B1(n_493),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[705]),
+	.Y(n_19803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g607466 (
+	.A1(n_510),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[769]),
+	.B1(n_354),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[737]),
+	.Y(n_19802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g607467 (
+	.A1(n_495),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[385]),
+	.B1(n_503),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[417]),
+	.Y(n_19801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g607468 (
+	.A1(n_19689),
+	.A2(n_30857),
+	.B1(n_19791),
+	.Y(n_19800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g607469 (
+	.A1(n_360),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[257]),
+	.B1(n_355),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[225]),
+	.Y(n_19799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g607470 (
+	.A1(n_352),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[641]),
+	.B1(n_359),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[673]),
+	.Y(n_19798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g607471 (
+	.A1(n_511),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[994]),
+	.B1(n_509),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[130]),
+	.Y(n_19797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g607473 (
+	.A1(n_508),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[513]),
+	.B1(n_507),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[481]),
+	.Y(n_19795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g607474 (
+	.A1(n_495),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[386]),
+	.B1(n_353),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[354]),
+	.Y(n_19794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g607475 (
+	.A1(n_505),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[577]),
+	.B1(n_350),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[545]),
+	.Y(n_19793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g607476 (
+	.A1(n_501),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[322]),
+	.B1(n_499),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[450]),
+	.Y(n_19792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g607477 (
+	.A(n_353),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[353]),
+	.Y(n_19791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g607478 (
+	.A(n_354),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[738]),
+	.Y(n_19790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g607479 (
+	.A_N(n_30865),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[929]),
+	.Y(n_19789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g607480 (
+	.A_N(n_30872),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[897]),
+	.Y(n_19788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g607481 (
+	.A(n_506),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[962]),
+	.Y(n_19787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g607482 (
+	.A_N(n_30872),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[898]),
+	.Y(n_19786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g607483 (
+	.A(n_511),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[993]),
+	.Y(n_19785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g607485 (
+	.A_N(n_30865),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[930]),
+	.Y(n_19783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g607487 (
+	.A(n_19736),
+	.B(n_19761),
+	.Y(n_59146_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g607488 (
+	.A(n_19759),
+	.B(n_19736),
+	.Y(n_32974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g607489 (
+	.A(n_19736),
+	.B(n_19760),
+	.Y(n_58974_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g607490 (
+	.A(n_19763),
+	.B(n_19736),
+	.Y(n_32975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g607491 (
+	.A(n_19763),
+	.B(n_19735),
+	.Y(n_32977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g607492 (
+	.A(n_19735),
+	.B(n_19762),
+	.Y(n_59540_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g607493 (
+	.A(n_19736),
+	.B(n_19762),
+	.Y(n_59464_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g607494 (
+	.A(n_19735),
+	.B(n_19761),
+	.Y(n_59222_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g607495 (
+	.A(n_19759),
+	.B(n_19735),
+	.Y(n_32976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g607496 (
+	.A(n_19735),
+	.B(n_19760),
+	.Y(n_59069_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g607497 (
+	.A1(n_19706),
+	.A2(n_30739),
+	.B1(n_19707),
+	.B2(n_30733),
+	.C1(n_19750),
+	.Y(n_19781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g607498 (
+	.A1(n_509),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[129]),
+	.B1(n_497),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[161]),
+	.Y(n_19780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g607499 (
+	.A1(n_358),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[66]),
+	.B1(n_497),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[162]),
+	.Y(n_19779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g607500 (
+	.A1(n_351),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[194]),
+	.B1(n_349),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[98]),
+	.Y(n_19778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g607506 (
+	.A(n_511),
+	.Y(n_30875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g607510 (
+	.A(n_359),
+	.Y(n_30864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g607513 (
+	.A(n_352),
+	.Y(n_30882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g607514 (
+	.A(n_349),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[97]),
+	.Y(n_19764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g607515 (
+	.A(n_19720),
+	.B(n_19742),
+	.Y(n_30860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g607516 (
+	.A(n_19720),
+	.B(n_19740),
+	.Y(n_30858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g607517 (
+	.A(n_19741),
+	.B(n_19716),
+	.Y(n_354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g607518 (
+	.A(n_19744),
+	.B(n_19716),
+	.Y(n_504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g607519 (
+	.A(n_19720),
+	.B(n_19674),
+	.Y(n_30881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g607520 (
+	.A(n_19743),
+	.B(n_19716),
+	.Y(n_511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g607521 (
+	.A(n_19718),
+	.B(n_19742),
+	.Y(n_30861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g607522 (
+	.A(n_19744),
+	.B(n_19717),
+	.Y(n_496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g607523 (
+	.A(n_19722),
+	.B(n_19740),
+	.Y(n_30856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g607524 (
+	.A(n_19722),
+	.B(n_19742),
+	.Y(n_30857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g607525 (
+	.A(n_19741),
+	.B(n_19721),
+	.Y(n_359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g607526 (
+	.A(n_19741),
+	.B(n_19717),
+	.Y(n_493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g607527 (
+	.A(n_19744),
+	.B(n_19719),
+	.Y(n_510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g607528 (
+	.A(n_19741),
+	.B(n_19719),
+	.Y(n_352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g607530 (
+	.A(n_507),
+	.Y(n_30880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g607537 (
+	.A1(n_19679),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[87]),
+	.B1(n_19686),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[83]),
+	.C1(n_19729),
+	.Y(n_19750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g607538 (
+	.A(n_19737),
+	.B_N(n_32978),
+	.Y(n_19763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g607539 (
+	.A(n_19738),
+	.B(n_32979),
+	.X(n_19762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g607540 (
+	.A(n_19737),
+	.B(n_32979),
+	.Y(n_19761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g607541 (
+	.A(n_32979),
+	.B(n_19738),
+	.Y(n_19760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g607542 (
+	.A(n_32978),
+	.B(n_19737),
+	.Y(n_19759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g607543 (
+	.A(n_19718),
+	.B(n_19674),
+	.Y(n_30879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g607544 (
+	.A(n_19743),
+	.B(n_19721),
+	.X(n_30865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g607545 (
+	.A(n_19716),
+	.B_N(n_19740),
+	.Y(n_507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g607546 (
+	.A(n_19743),
+	.B(n_19717),
+	.Y(n_506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g607547 (
+	.A(n_19718),
+	.B(n_19740),
+	.Y(n_30862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g607548 (
+	.A(n_19743),
+	.B(n_19719),
+	.X(n_30872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g607549 (
+	.A(n_19715),
+	.B(n_19674),
+	.Y(n_30883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g607550 (
+	.A(n_19722),
+	.B(n_19674),
+	.Y(n_30863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g607551 (
+	.A(n_19744),
+	.B(n_19721),
+	.Y(n_347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g607552 (
+	.A(n_19715),
+	.B(n_19742),
+	.Y(n_30859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g607556 (
+	.A(n_355),
+	.Y(n_30855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g607557 (
+	.A(n_509),
+	.Y(n_30873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g607559 (
+	.A(n_19715),
+	.B(n_29896),
+	.Y(n_30876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g607560 (
+	.A(n_19718),
+	.B(n_29896),
+	.Y(n_30877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g607561 (
+	.A(n_31719),
+	.B(n_19717),
+	.Y(n_351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g607562 (
+	.A(n_31719),
+	.B(n_19716),
+	.Y(n_355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g607563 (
+	.A(n_31719),
+	.B(n_19719),
+	.Y(n_509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g607564 (
+	.A(n_19725),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[19]),
+	.Y(n_19744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g607565 (
+	.A_N(n_19723),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[19]),
+	.Y(n_19743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g607566 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[19]),
+	.B(n_19724),
+	.Y(n_19742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g607568 (
+	.A_N(brqrv_top_brqrv_dec_dec_i0_instr_d[18]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[19]),
+	.C(brqrv_top_brqrv_dec_dec_i0_instr_d[17]),
+	.Y(n_19741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g607569 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[19]),
+	.B(n_19723),
+	.Y(n_19740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g607570 (
+	.A(n_19738),
+	.Y(n_19737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g607571 (
+	.A(n_19736),
+	.Y(n_19735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g607572 (
+	.A1(n_19677),
+	.A2(n_30736),
+	.B1(n_19703),
+	.B2(n_30734),
+	.Y(n_19734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g607573 (
+	.A1(n_19695),
+	.A2(n_30754),
+	.B1(n_19696),
+	.B2(n_30752),
+	.Y(n_19733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g607574 (
+	.A1(n_19708),
+	.A2(n_30738),
+	.B1(n_19682),
+	.B2(n_30732),
+	.Y(n_19732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g607575 (
+	.A1(n_19700),
+	.A2(n_30726),
+	.B1(n_19699),
+	.B2(n_30727),
+	.Y(n_19731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g607576 (
+	.A1(n_19697),
+	.A2(n_30740),
+	.B1(n_19710),
+	.B2(n_30748),
+	.Y(n_19730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g607577 (
+	.A1(n_19711),
+	.A2(n_30737),
+	.B1(n_19694),
+	.B2(n_30735),
+	.Y(n_19729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g607578 (
+	.A1(n_19687),
+	.A2(n_30750),
+	.B1(n_19693),
+	.B2(n_30747),
+	.Y(n_19728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g607579 (
+	.A1(n_19705),
+	.A2(n_30743),
+	.B1(n_19684),
+	.B2(n_30746),
+	.Y(n_19727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g607581 (
+	.A(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[3]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [5]),
+	.X(n_32978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g607582 (
+	.A(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[6]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [8]),
+	.X(n_32979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g607583 (
+	.A(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[5]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [7]),
+	.X(n_19738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g607584 (
+	.A(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[4]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [6]),
+	.Y(n_19736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g607585 (
+	.A(n_19724),
+	.Y(n_19725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g607586 (
+	.A(n_19722),
+	.Y(n_19721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g607587 (
+	.A_N(brqrv_top_brqrv_dec_dec_i0_instr_d[17]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[18]),
+	.Y(n_19724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g607588 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[18]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[17]),
+	.Y(n_19723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g607589 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[16]),
+	.B_N(brqrv_top_brqrv_dec_dec_i0_instr_d[15]),
+	.Y(n_19722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g607590 (
+	.A(n_19720),
+	.Y(n_19719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g607591 (
+	.A(n_19718),
+	.Y(n_19717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g607592 (
+	.A(n_19716),
+	.Y(n_19715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g607593 (
+	.A_N(n_30787),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.Y(n_19714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g607594 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[15]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[16]),
+	.Y(n_19720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g607595 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[15]),
+	.B_N(brqrv_top_brqrv_dec_dec_i0_instr_d[16]),
+	.Y(n_19718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g607596 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[16]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[15]),
+	.Y(n_19716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g607599 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[75]),
+	.Y(n_19711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g607600 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[35]),
+	.Y(n_19710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g607602 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[103]),
+	.Y(n_19708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g607603 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[67]),
+	.Y(n_19707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g607604 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[71]),
+	.Y(n_19706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g607605 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[59]),
+	.Y(n_19705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g607606 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[418]),
+	.Y(n_19704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g607607 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[111]),
+	.Y(n_19703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g607608 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[290]),
+	.Y(n_19702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g607610 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[95]),
+	.Y(n_19700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g607611 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[91]),
+	.Y(n_19699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g607612 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[63]),
+	.Y(n_19698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g607613 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[31]),
+	.Y(n_19697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g607614 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[43]),
+	.Y(n_19696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g607615 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[39]),
+	.Y(n_19695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g607616 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[79]),
+	.Y(n_19694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g607617 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[51]),
+	.Y(n_19693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g607619 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[3]),
+	.Y(n_19691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g607620 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[23]),
+	.Y(n_19690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g607621 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[289]),
+	.Y(n_19689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g607622 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[7]),
+	.Y(n_19688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g607623 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[47]),
+	.Y(n_19687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g607624 (
+	.A(n_30731),
+	.Y(n_19686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g607625 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[27]),
+	.Y(n_19685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g607626 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[55]),
+	.Y(n_19684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g607627 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[65]),
+	.Y(n_19683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g607628 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[99]),
+	.Y(n_19682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g607629 (
+	.A(n_30749),
+	.Y(n_19681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g607631 (
+	.A(n_30730),
+	.Y(n_19679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g607632 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[33]),
+	.Y(n_19678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g607633 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[107]),
+	.Y(n_19677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g750847 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[18]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[17]),
+	.C_N(brqrv_top_brqrv_dec_dec_i0_instr_d[19]),
+	.Y(n_19674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g750848 (
+	.A1(n_19671),
+	.A2(brqrv_top_brqrv_ifu_aln_n_2310),
+	.B1(n_19672),
+	.B2(brqrv_top_brqrv_ifu_aln_n_2316),
+	.C1(n_19673),
+	.Y(n_34860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g750849 (
+	.A_N(brqrv_top_brqrv_ifu_aln_n_2319),
+	.B(brqrv_top_brqrv_ifu_aln_q1pc[31]),
+	.Y(n_19673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750850 (
+	.A(brqrv_top_brqrv_ifu_aln_q2pc[31]),
+	.Y(n_19672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750851 (
+	.A(brqrv_top_brqrv_ifu_aln_q0pc[31]),
+	.Y(n_19671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g608006 (
+	.A1_N(n_34715),
+	.A2_N(n_19670),
+	.B1(n_34715),
+	.B2(n_19670),
+	.Y(brqrv_top_dccm_rd_addr_lo[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g608007 (
+	.A(n_34726),
+	.B(n_32830),
+	.Y(n_19670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608008 (
+	.A(n_19473),
+	.B(n_32841),
+	.Y(n_32830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608010 (
+	.A(n_34725),
+	.B(n_34714),
+	.Y(n_32841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g608011 (
+	.A(n_34714),
+	.B(n_34725),
+	.X(n_30528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608013 (
+	.A(n_34724),
+	.B(n_34713),
+	.Y(n_32842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608014 (
+	.A(n_19669),
+	.B(n_19654),
+	.Y(n_34726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g608015 (
+	.A(n_19645),
+	.B(n_19663),
+	.C(n_19666),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608016 (
+	.A(n_19668),
+	.B(n_19648),
+	.Y(n_34725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608017 (
+	.A1(brqrv_top_brqrv_exu_n_888),
+	.A2(n_485),
+	.B1(n_484),
+	.B2(n_33128),
+	.C1(n_338),
+	.C2(n_33177),
+	.Y(n_19669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g608018 (
+	.A1(n_338),
+	.A2(n_33208),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.B2(n_32914),
+	.C1(n_19667),
+	.X(n_34724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g608020 (
+	.A1(n_19663),
+	.A2(n_19645),
+	.B1(n_19641),
+	.C1(n_19662),
+	.Y(n_37573_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608021 (
+	.A1(n_485),
+	.A2(brqrv_top_brqrv_exu_n_887),
+	.B1(n_484),
+	.B2(n_33350),
+	.Y(n_19668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g608022 (
+	.A1(n_484),
+	.A2(n_33209),
+	.B1(n_485),
+	.B2(n_33206),
+	.X(n_19667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608023 (
+	.A(n_19664),
+	.B(n_32843),
+	.Y(n_33476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g608024 (
+	.A(n_19641),
+	.B(n_19662),
+	.Y(n_19666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g608025 (
+	.A(n_484),
+	.Y(n_30706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608026 (
+	.A(n_19660),
+	.B(n_19647),
+	.Y(brqrv_top_brqrv_exu_n_888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g608027 (
+	.A(n_30707),
+	.B(n_30884),
+	.Y(n_484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608028 (
+	.A1(n_19611),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_numvld_any[1]),
+	.B1(n_19657),
+	.B2(n_19653),
+	.C1(n_19591),
+	.C2(n_19603),
+	.Y(n_19664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g608029 (
+	.A1(n_19640),
+	.A2(brqrv_top_brqrv_dec_i0_result_r[1]),
+	.B1(n_339),
+	.B2(n_33210),
+	.C1(n_19658),
+	.X(brqrv_top_brqrv_exu_n_887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608030 (
+	.A(n_19659),
+	.B(n_19646),
+	.Y(n_33206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g608031 (
+	.A(n_19617),
+	.B(n_19643),
+	.CIN(n_19638),
+	.COUT(n_19662),
+	.SUM(n_19663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g608033 (
+	.A(n_32555),
+	.B(brqrv_top_brqrv_exu_i0_rs1_bypass_en_d),
+	.X(n_30707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608034 (
+	.A1(n_483),
+	.A2(n_33409),
+	.B1(n_33177),
+	.B2(n_340),
+	.Y(n_19660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608035 (
+	.A1(n_483),
+	.A2(n_33407),
+	.B1(n_33208),
+	.B2(n_340),
+	.Y(n_19659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g608036 (
+	.A1(n_483),
+	.A2(n_33408),
+	.B1(n_33211),
+	.B2(n_340),
+	.X(n_19658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608037 (
+	.A(n_30709),
+	.B(n_19650),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_bypass_en_d), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g608038 (
+	.A(n_483),
+	.Y(n_30709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g608039 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_numvld_any[0]),
+	.B(n_19610),
+	.CIN(n_19599),
+	.COUT(n_19657),
+	.SUM(n_19655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g608040 (
+	.A(n_32555),
+	.B(n_19652),
+	.Y(n_483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608041 (
+	.A1(n_39131),
+	.A2(brqrv_top_brqrv_dec_tlu_meihap[2]),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.B2(brqrv_top_brqrv_dma_mem_addr[2]),
+	.Y(n_19654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g608042 (
+	.A1(n_19521),
+	.A2(n_30879),
+	.B1(n_19500),
+	.B2(n_30881),
+	.C1(n_19649),
+	.Y(n_33209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g608043 (
+	.A(n_19611),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_numvld_any[1]),
+	.X(n_19653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g608044 (
+	.A1(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[1]),
+	.A2(n_19507),
+	.B1(n_19568),
+	.C1(n_19622),
+	.D1(n_19650),
+	.Y(n_19652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g608046 (
+	.A1(n_351),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[192]),
+	.B1(n_358),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[64]),
+	.C1(n_19642),
+	.Y(n_19649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g608048 (
+	.A(n_19640),
+	.B(n_339),
+	.C(n_340),
+	.Y(n_19650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608049 (
+	.A1(n_338),
+	.A2(n_33211),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.B2(n_32915),
+	.Y(n_19648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608050 (
+	.A1(n_19640),
+	.A2(brqrv_top_brqrv_dec_i0_result_r[2]),
+	.B1(n_339),
+	.B2(n_33145),
+	.Y(n_19647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608051 (
+	.A1(n_19640),
+	.A2(brqrv_top_brqrv_dec_i0_result_r[0]),
+	.B1(n_339),
+	.B2(n_33207),
+	.Y(n_19646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g608052 (
+	.A(n_485),
+	.Y(n_30884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g608053 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3460),
+	.B(n_19618),
+	.CIN(n_19630),
+	.COUT(n_19643),
+	.SUM(n_19645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g608054 (
+	.A(n_30888),
+	.B(n_338),
+	.Y(n_485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g608055 (
+	.A1(n_19517),
+	.A2(n_30880),
+	.B1(n_19485),
+	.B2(n_30864),
+	.C1(n_19637),
+	.Y(n_19642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g608056 (
+	.A1(n_19606),
+	.A2(n_19636),
+	.B1(n_32843),
+	.X(brqrv_top_brqrv_lsu_stbuf_stbuf_numvld_any[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g608059 (
+	.A(n_19556),
+	.B(n_19554),
+	.CIN(n_19629),
+	.COUT(n_19641),
+	.SUM(n_19638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608060 (
+	.A(n_19636),
+	.B(n_19606),
+	.Y(n_32843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g608061 (
+	.A(n_19619),
+	.B(n_32555),
+	.C(n_19633),
+	.Y(n_19640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g608062 (
+	.A(n_19552),
+	.B(n_340),
+	.C(n_32612),
+	.Y(n_30885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g608063 (
+	.A(n_19576),
+	.B(n_19577),
+	.C(n_19621),
+	.D(n_19632),
+	.Y(n_19637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g608064 (
+	.A(n_19546),
+	.B(n_19547),
+	.C(n_19613),
+	.D(n_19620),
+	.X(n_33409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g608065 (
+	.A1(n_30852),
+	.A2(n_19631),
+	.B1(n_30851),
+	.B2(n_19605),
+	.Y(n_33208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g608067 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_vld[2]),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_vld[0]),
+	.CIN(n_19602),
+	.COUT(n_19636),
+	.SUM(brqrv_top_brqrv_lsu_stbuf_stbuf_numvld_any[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608068 (
+	.A(n_19633),
+	.B(brqrv_top_brqrv_dec_decode_i0_x_c[1]),
+	.Y(n_30887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g608070 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[10]),
+	.B(n_34696),
+	.X(n_34695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608071 (
+	.A(n_19633),
+	.B(n_32611),
+	.Y(n_30712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g608072 (
+	.A1(n_19502),
+	.A2(n_30883),
+	.B1(n_39179),
+	.C1(n_19544),
+	.D1(n_19615),
+	.Y(n_19632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g608073 (
+	.A(n_39231),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_d[9]),
+	.X(n_34696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g608074 (
+	.A(n_19570),
+	.B(n_19608),
+	.C(n_19600),
+	.D(n_32555),
+	.Y(n_19633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g608075 (
+	.A(n_19631),
+	.Y(brqrv_top_brqrv_lsu_bus_read_data_m[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608076 (
+	.A(n_19623),
+	.B(n_19626),
+	.Y(n_34713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g608077 (
+	.A(n_19551),
+	.B(n_19580),
+	.C(n_19581),
+	.D(n_19609),
+	.Y(n_19631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608078 (
+	.A(n_19627),
+	.B(n_19628),
+	.Y(n_34715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608079 (
+	.A(n_19624),
+	.B(n_19625),
+	.Y(n_34714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g608080 (
+	.A(n_29918),
+	.B(n_19508),
+	.CIN(n_19543),
+	.COUT(n_19629),
+	.SUM(n_19630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g608081 (
+	.A_N(n_30687),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[9]),
+	.Y(n_19628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g608082 (
+	.A_N(n_30689),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[22]),
+	.Y(n_19627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g608083 (
+	.A_N(n_30687),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[7]),
+	.Y(n_19626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g608084 (
+	.A_N(n_30687),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[8]),
+	.Y(n_19625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g608085 (
+	.A_N(n_30689),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[21]),
+	.Y(n_19624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g608086 (
+	.A_N(n_30689),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[20]),
+	.Y(n_19623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g608087 (
+	.A1(n_19507),
+	.A2(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[1]),
+	.B1(n_30710),
+	.C1(n_19616),
+	.Y(n_19622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g608088 (
+	.A1(n_19484),
+	.A2(n_30875),
+	.B1(n_19490),
+	.B2(brqrv_top_brqrv_dec_arf_n_9953),
+	.C1(n_19612),
+	.Y(n_19621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g608089 (
+	.A1(n_19596),
+	.A2(n_30693),
+	.B1(n_19597),
+	.B2(n_30691),
+	.C1(n_19550),
+	.Y(n_19620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g608090 (
+	.A1(brqrv_top_brqrv_dec_decode_i0_r_c[1]),
+	.A2(n_31592),
+	.B1(brqrv_top_brqrv_dec_decode_r_d[1]),
+	.C1(n_19572),
+	.D1(n_19607),
+	.Y(n_19619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g608091 (
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.A2(n_19594),
+	.B1(n_19552),
+	.B2(n_19586),
+	.C1(brqrv_top_brqrv_dec_extint_stall),
+	.X(brqrv_top_brqrv_lsu_lsu_pkt_d[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g608092 (
+	.A1(n_573),
+	.A2(n_30679),
+	.B1(n_576),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[65]),
+	.C1(n_19614),
+	.X(n_33408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g608093 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_valid),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3467),
+	.CIN(n_31816),
+	.COUT(n_19617),
+	.SUM(n_19618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g608094 (
+	.A1(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[0]),
+	.A2(n_8323),
+	.B1(n_19549),
+	.C1(n_19566),
+	.D1(n_19565),
+	.Y(n_19616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g608095 (
+	.A(n_39177),
+	.B(n_39178),
+	.C(n_19589),
+	.D(n_39176),
+	.Y(n_19615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g608096 (
+	.A(n_30688),
+	.B(n_30888),
+	.X(n_30687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g608097 (
+	.A(n_30690),
+	.B(n_30888),
+	.X(n_30689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g608098 (
+	.A1(n_3595),
+	.A2(n_30692),
+	.B1(n_19491),
+	.B2(n_30697),
+	.C1(n_19595),
+	.Y(n_19614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g608099 (
+	.A1(n_19598),
+	.A2(n_30692),
+	.B1(n_19519),
+	.B2(n_30697),
+	.Y(n_19613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g608100 (
+	.A(n_19585),
+	.B(n_19574),
+	.C(n_19584),
+	.D(n_19575),
+	.Y(n_19612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32o_1 g608101 (
+	.A1(n_19552),
+	.A2(n_19560),
+	.A3(brqrv_top_brqrv_dec_dec_i0_instr_d[12]),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.B2(n_19601),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g608102 (
+	.A1(n_30851),
+	.A2(n_19604),
+	.B1(n_30852),
+	.B2(n_19488),
+	.Y(n_33211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g608103 (
+	.A(n_19603),
+	.B(n_19591),
+	.X(n_19611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g608104 (
+	.A(n_19592),
+	.B(n_19603),
+	.Y(n_19610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g608105 (
+	.A_N(n_30889),
+	.B(brqrv_top_brqrv_dec_lsu_valid_raw_d),
+	.Y(n_30888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g608106 (
+	.A1(n_30850),
+	.A2(n_19496),
+	.B1(n_19593),
+	.Y(n_19609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g608107 (
+	.A(n_19562),
+	.B(n_19561),
+	.C(brqrv_top_brqrv_dec_decode_x_d[1]),
+	.Y(n_19608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g608108 (
+	.A(n_19578),
+	.B(n_19564),
+	.C(n_19563),
+	.D(n_19571),
+	.Y(n_19607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g608109 (
+	.A(n_19605),
+	.Y(brqrv_top_brqrv_lsu_lsu_ld_data_m[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g608110 (
+	.A(n_19604),
+	.Y(brqrv_top_brqrv_lsu_lsu_ld_data_m[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g608111 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_vld[1]),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_vld[3]),
+	.COUT(n_19606),
+	.SUM(n_19602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g608112 (
+	.A(n_19553),
+	.B(n_30892),
+	.Y(n_19601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g608113 (
+	.A1(n_577),
+	.A2(brqrv_top_brqrv_dec_dec_i0_instr_d[16]),
+	.B1(brqrv_top_brqrv_dec_decode_x_d[5]),
+	.B2(n_19507),
+	.C1(n_19567),
+	.Y(n_19600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g608114 (
+	.A1(n_33284),
+	.A2(n_346),
+	.B1(n_33295),
+	.B2(n_491),
+	.C1(n_19582),
+	.Y(n_19605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g608115 (
+	.A1(n_33285),
+	.A2(n_346),
+	.B1(n_33299),
+	.B2(n_491),
+	.C1(n_19583),
+	.Y(n_19604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g608116 (
+	.A(brqrv_top_brqrv_dec_extint_stall),
+	.B(n_30890),
+	.X(n_30889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g608117 (
+	.A(brqrv_top_brqrv_lsu_n_1518),
+	.B(n_19592),
+	.Y(n_19603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g608118 (
+	.A(n_19559),
+	.B(n_19591),
+	.Y(n_19599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g608119 (
+	.A(n_19598),
+	.Y(n_30668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g608120 (
+	.A(n_19597),
+	.Y(n_30670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g608121 (
+	.A(n_19596),
+	.Y(n_30669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608122 (
+	.A1(n_30680),
+	.A2(n_574),
+	.B1(n_575),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[1]),
+	.C1(n_425),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[97]),
+	.Y(n_19595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g608123 (
+	.A(n_19553),
+	.B(n_19558),
+	.C(brqrv_top_brqrv_dma_mem_sz[1]),
+	.X(n_19594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608124 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[96]),
+	.A2(n_2712),
+	.B1(n_441),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[32]),
+	.C1(n_30820),
+	.C2(n_537),
+	.Y(n_19593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g608125 (
+	.A1(n_19539),
+	.A2(n_30705),
+	.B1(n_19537),
+	.B2(brqrv_top_brqrv_exu_mul_valid_x),
+	.C1(n_39226),
+	.Y(n_33207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g608126 (
+	.A1(n_30705),
+	.A2(n_39137),
+	.B1(n_30704),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2436),
+	.C1(n_19555),
+	.Y(n_33210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g608127 (
+	.A1(n_2571),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[58]),
+	.B1(n_565),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[90]),
+	.C1(n_19579),
+	.Y(n_19598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g608128 (
+	.A1(n_2571),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[42]),
+	.B1(n_565),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[74]),
+	.C1(n_19573),
+	.Y(n_19597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g608129 (
+	.A1(n_2571),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[50]),
+	.B1(n_565),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[82]),
+	.C1(n_19569),
+	.Y(n_19596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g608130 (
+	.A(n_19557),
+	.B(n_33353),
+	.Y(n_32848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g608132 (
+	.A1(n_19533),
+	.A2(n_30872),
+	.B1(n_19529),
+	.B2(n_30865),
+	.Y(n_19589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g608135 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[4]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[6]),
+	.C(n_555),
+	.Y(n_19586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g608136 (
+	.A1(n_19526),
+	.A2(n_30862),
+	.B1(n_19480),
+	.B2(n_30859),
+	.Y(n_19585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g608137 (
+	.A1(n_19528),
+	.A2(n_30855),
+	.B1(n_19520),
+	.B2(n_30860),
+	.Y(n_19584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g608138 (
+	.A1(n_30801),
+	.A2(n_19499),
+	.B1(n_30804),
+	.B2(n_19524),
+	.Y(n_19583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g608139 (
+	.A1(n_30801),
+	.A2(n_19518),
+	.B1(n_30804),
+	.B2(n_19478),
+	.Y(n_19582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g608140 (
+	.A1(n_19516),
+	.A2(n_30817),
+	.B1(n_19486),
+	.B2(n_30816),
+	.Y(n_19581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g608141 (
+	.A1(n_19498),
+	.A2(n_38479),
+	.B1(n_19534),
+	.B2(n_30814),
+	.Y(n_19580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g608142 (
+	.A1(n_19540),
+	.A2(n_30703),
+	.B1(n_19541),
+	.B2(n_31761),
+	.Y(n_19579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g608143 (
+	.A_N(n_31060),
+	.B(brqrv_top_brqrv_lsu_addr_in_dccm_m),
+	.Y(n_19592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g608144 (
+	.A_N(brqrv_top_brqrv_dma_mem_sz[1]),
+	.B(n_19558),
+	.Y(n_30892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g608145 (
+	.A(n_32816),
+	.B(n_19559),
+	.Y(n_19591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g608146 (
+	.A_N(n_33354),
+	.B(n_19560),
+	.Y(n_30890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g608147 (
+	.A(brqrv_top_brqrv_dec_dec_i0_waddr_r[2]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[17]),
+	.X(n_19578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g608148 (
+	.A1(n_19479),
+	.A2(n_30873),
+	.B1(n_19492),
+	.B2(n_30863),
+	.Y(n_19577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g608149 (
+	.A1(n_19538),
+	.A2(n_30876),
+	.B1(n_19482),
+	.B2(brqrv_top_brqrv_dec_arf_n_10568),
+	.Y(n_19576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g608150 (
+	.A1(n_19483),
+	.A2(n_30856),
+	.B1(n_19503),
+	.B2(n_30858),
+	.Y(n_19575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g608151 (
+	.A1(n_19523),
+	.A2(n_30861),
+	.B1(n_19501),
+	.B2(n_30857),
+	.Y(n_19574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g608152 (
+	.A1(n_19532),
+	.A2(n_30703),
+	.B1(n_19494),
+	.B2(n_31761),
+	.Y(n_19573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g608153 (
+	.A1_N(brqrv_top_brqrv_dec_dec_i0_waddr_r[0]),
+	.A2_N(n_8323),
+	.B1(brqrv_top_brqrv_dec_dec_i0_waddr_r[0]),
+	.B2(n_8323),
+	.Y(n_19572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g608154 (
+	.A1_N(brqrv_top_brqrv_dec_dec_i0_waddr_r[1]),
+	.A2_N(n_19507),
+	.B1(brqrv_top_brqrv_dec_dec_i0_waddr_r[1]),
+	.B2(n_19507),
+	.Y(n_19571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g608155 (
+	.A1_N(brqrv_top_brqrv_dec_decode_x_d[4]),
+	.A2_N(n_8323),
+	.B1(brqrv_top_brqrv_dec_decode_x_d[4]),
+	.B2(n_8323),
+	.Y(n_19570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g608156 (
+	.A1(n_2782),
+	.A2(n_30703),
+	.B1(n_19525),
+	.B2(n_31761),
+	.Y(n_19569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g608157 (
+	.A(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[2]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[17]),
+	.Y(n_19568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g608158 (
+	.A(brqrv_top_brqrv_dec_decode_x_d[8]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[19]),
+	.Y(n_19567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g608159 (
+	.A(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[4]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[19]),
+	.Y(n_19566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g608160 (
+	.A(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[3]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[18]),
+	.Y(n_19565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g608161 (
+	.A(brqrv_top_brqrv_dec_dec_i0_waddr_r[4]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[19]),
+	.X(n_19564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g608162 (
+	.A(brqrv_top_brqrv_dec_dec_i0_waddr_r[3]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[18]),
+	.X(n_19563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g608163 (
+	.A(brqrv_top_brqrv_dec_decode_x_d[7]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[18]),
+	.Y(n_19562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g608164 (
+	.A(brqrv_top_brqrv_dec_decode_x_d[6]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[17]),
+	.Y(n_19561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g608165 (
+	.A(n_19557),
+	.Y(n_30688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g608166 (
+	.A(n_19556),
+	.Y(n_31582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608167 (
+	.A(n_22518),
+	.B(brqrv_top_brqrv_dec_dec_ib0_valid_d),
+	.Y(n_32586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g608168 (
+	.A_N(brqrv_top_brqrv_exu_mul_valid_x),
+	.B(brqrv_top_brqrv_exu_alu_result_x[1]),
+	.Y(n_19555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g608169 (
+	.A(brqrv_top_brqrv_dec_decode_i0_r_c[0]),
+	.B(brqrv_top_brqrv_dec_decode_i0_r_c[2]),
+	.X(n_31592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g608170 (
+	.A_N(n_30440),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_m[6]),
+	.Y(n_31060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g608171 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[4]),
+	.B(n_32847),
+	.Y(n_19560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g608172 (
+	.A_N(n_30800),
+	.B(brqrv_top_brqrv_lsu_addr_in_dccm_r),
+	.Y(n_19559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608173 (
+	.A(n_31871),
+	.B(n_32908),
+	.Y(n_19558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608174 (
+	.A(n_31764),
+	.B(n_31735),
+	.Y(n_32612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g608175 (
+	.A(n_31764),
+	.B(n_33354),
+	.Y(n_19557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g608176 (
+	.A_N(n_32907),
+	.B(n_31871),
+	.Y(brqrv_top_brqrv_dma_mem_sz[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g608177 (
+	.A(brqrv_top_brqrv_lsu_n_1518),
+	.B(n_31822),
+	.Y(n_19554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g608178 (
+	.A(n_32816),
+	.B_N(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.Y(n_19556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g608179 (
+	.A(n_19553),
+	.Y(brqrv_top_brqrv_dma_mem_sz[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g608180 (
+	.A(n_19552),
+	.Y(n_30886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g608181 (
+	.A(n_30849),
+	.B_N(n_33244),
+	.Y(n_19551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608182 (
+	.A(n_576),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[66]),
+	.Y(n_19550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608183 (
+	.A(n_8323),
+	.B(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[0]),
+	.Y(n_19549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g608185 (
+	.A(n_30694),
+	.B_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[2]),
+	.Y(n_19547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g608186 (
+	.A(n_30695),
+	.B_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[98]),
+	.Y(n_19546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g608188 (
+	.A_N(n_30882),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[640]),
+	.Y(n_19544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g608189 (
+	.A(n_31735),
+	.B(n_33354),
+	.X(n_30690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g608190 (
+	.A(brqrv_top_brqrv_dec_decode_i0_x_c[0]),
+	.B(brqrv_top_brqrv_dec_decode_i0_x_c[2]),
+	.X(n_32611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608191 (
+	.A(n_31871),
+	.B(n_32906),
+	.Y(n_19553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g608192 (
+	.A(n_31822),
+	.B_N(brqrv_top_brqrv_lsu_n_1518),
+	.Y(n_19543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g608193 (
+	.A(n_33354),
+	.B(n_32542),
+	.Y(n_19552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g608195 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[122]),
+	.Y(n_19541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g608196 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[26]),
+	.Y(n_19540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g608197 (
+	.A(n_34736),
+	.Y(n_19539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g608198 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[96]),
+	.Y(n_19538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g608199 (
+	.A(brqrv_top_brqrv_exu_alu_result_x[0]),
+	.Y(n_19537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g608202 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[64]),
+	.Y(n_19534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g608203 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[896]),
+	.Y(n_19533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g608204 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[10]),
+	.Y(n_19532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g608207 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[928]),
+	.Y(n_19529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g608208 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[224]),
+	.Y(n_19528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g608210 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[448]),
+	.Y(n_19526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g608211 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[114]),
+	.Y(n_19525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g608213 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[320]),
+	.Y(n_19523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g608215 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[576]),
+	.Y(n_19521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g608216 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[256]),
+	.Y(n_19520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g608217 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[34]),
+	.Y(n_19519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g608218 (
+	.A(n_33276),
+	.Y(n_19518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g608219 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[480]),
+	.Y(n_19517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g608220 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[0]),
+	.Y(n_19516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g608223 (
+	.A(n_30691),
+	.Y(n_574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g608225 (
+	.A(n_30696),
+	.Y(n_576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g608228 (
+	.A(n_30626),
+	.Y(n_19508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g608233 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[384]),
+	.Y(n_19503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g608234 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[608]),
+	.Y(n_19502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g608235 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[288]),
+	.Y(n_19501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g608236 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[512]),
+	.Y(n_19500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g608237 (
+	.A(n_33277),
+	.Y(n_19499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g608238 (
+	.A(n_30826),
+	.Y(n_19498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g608242 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[106]),
+	.Y(n_19494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g608244 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[544]),
+	.Y(n_19492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g608245 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[33]),
+	.Y(n_19491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g608246 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[32]),
+	.Y(n_19490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g608248 (
+	.A(brqrv_top_brqrv_lsu_bus_read_data_m[1]),
+	.Y(n_19488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g608250 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[0]),
+	.Y(n_19486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g608251 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[672]),
+	.Y(n_19485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g608252 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[992]),
+	.Y(n_19484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g608253 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[416]),
+	.Y(n_19483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g608254 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[160]),
+	.Y(n_19482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g608256 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[352]),
+	.Y(n_19480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g608257 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[128]),
+	.Y(n_19479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g608258 (
+	.A(n_33268),
+	.Y(n_19478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g750852 (
+	.A_N(n_32842),
+	.B(n_30528),
+	.Y(n_19473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g606426 (
+	.A(n_19472),
+	.B(n_19471),
+	.Y(n_32811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g606427 (
+	.A1_N(n_31609),
+	.A2_N(n_19470),
+	.B1(brqrv_top_brqrv_i0_ap[3]),
+	.B2(n_19470),
+	.Y(n_19472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 g606428 (
+	.A1(n_19464),
+	.A2(n_19462),
+	.A3(n_19468),
+	.A4(n_19466),
+	.B1(brqrv_top_brqrv_dec_i0_predict_p_d[31]),
+	.Y(n_19471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g606429 (
+	.A(brqrv_top_brqrv_exu_i0_predict_p_d[54]),
+	.Y(n_19470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g606430 (
+	.A(n_19469),
+	.B(n_19467),
+	.C(n_31642),
+	.Y(brqrv_top_brqrv_exu_i0_predict_p_d[54]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g606431 (
+	.A1(n_19465),
+	.A2(n_33087),
+	.B1(n_33086),
+	.Y(n_19469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g606432 (
+	.A(n_19461),
+	.B(n_19458),
+	.C(n_19459),
+	.D(n_19460),
+	.Y(n_19468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g606433 (
+	.A(brqrv_top_brqrv_exu_i_alu_lt),
+	.B(n_33144),
+	.Y(n_19467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g606434 (
+	.A(n_39198),
+	.B(n_39209),
+	.C(n_19463),
+	.D(n_39210),
+	.Y(n_19466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g606435 (
+	.A(brqrv_top_brqrv_exu_i_alu_lt),
+	.Y(n_19465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g606444 (
+	.A1(n_19378),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[20]),
+	.B1(n_327990_BAR),
+	.C1(n_327991_BAR),
+	.X(brqrv_top_brqrv_dec_tlu_dpc_ns[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g606445 (
+	.A1(n_19378),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[26]),
+	.B1(n_327822_BAR),
+	.C1(n_327823_BAR),
+	.X(brqrv_top_brqrv_dec_tlu_dpc_ns[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g606446 (
+	.A1(n_19378),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[24]),
+	.B1(n_327846_BAR),
+	.C1(n_327847_BAR),
+	.X(brqrv_top_brqrv_dec_tlu_dpc_ns[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g606447 (
+	.A0(n_19448),
+	.A1(n_34154),
+	.S(brqrv_top_brqrv_i0_ap[5]),
+	.Y(brqrv_top_brqrv_exu_i_alu_lt), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g606448 (
+	.A(n_39200),
+	.B(n_39203),
+	.C(n_39208),
+	.D(n_39204),
+	.Y(n_19464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g606449 (
+	.A1(n_19378),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[14]),
+	.B1(n_327930_BAR),
+	.C1(n_327931_BAR),
+	.X(brqrv_top_brqrv_dec_tlu_dpc_ns[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g606450 (
+	.A1(n_19378),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[13]),
+	.B1(n_327942_BAR),
+	.C1(n_327943_BAR),
+	.X(brqrv_top_brqrv_dec_tlu_dpc_ns[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g606451 (
+	.A1(n_19378),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[6]),
+	.B1(n_328303_BAR),
+	.C1(n_328304_BAR),
+	.X(brqrv_top_brqrv_dec_tlu_dpc_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g606452 (
+	.A1(n_19378),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[2]),
+	.B1(n_328339_BAR),
+	.C1(n_328340_BAR),
+	.X(brqrv_top_brqrv_dec_tlu_dpc_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g606453 (
+	.A(n_39221),
+	.B(n_39211),
+	.C(n_38500),
+	.D(n_39213),
+	.Y(n_19463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g606454 (
+	.A1(n_19378),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[19]),
+	.B1(n_327882_BAR),
+	.C1(n_327883_BAR),
+	.X(brqrv_top_brqrv_dec_tlu_dpc_ns[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g606455 (
+	.A(n_39217),
+	.B(n_39214),
+	.C(n_39218),
+	.D(n_39212),
+	.Y(n_19462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g606456 (
+	.A(n_39216),
+	.B(n_39220),
+	.C(n_39215),
+	.D(n_38497),
+	.Y(n_19461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g606457 (
+	.A(n_39197),
+	.B(n_39223),
+	.C(n_39222),
+	.D(n_38498),
+	.Y(n_19460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g606458 (
+	.A(n_39199),
+	.B(n_38499),
+	.C(n_39205),
+	.D(n_39206),
+	.Y(n_19459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g606459 (
+	.A(n_39207),
+	.B(n_39202),
+	.C(n_39201),
+	.D(n_39219),
+	.Y(n_19458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g606469 (
+	.A(n_264876_BAR),
+	.B(n_19409),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g606470 (
+	.A(n_264870_BAR),
+	.B(n_19414),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g606474 (
+	.A(n_264899_BAR),
+	.B(n_19407),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g606477 (
+	.A(n_264886_BAR),
+	.B(n_19402),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g606478 (
+	.A(n_264892_BAR),
+	.B(n_19393),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g606479 (
+	.A(n_264878_BAR),
+	.B(n_19398),
+	.Y(brqrv_top_brqrv_picm_rdaddr[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g606481 (
+	.A(n_261110_BAR),
+	.B(n_39311),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g606486 (
+	.A(n_264882_BAR),
+	.B(n_19383),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g606489 (
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_26),
+	.A2(n_33657),
+	.B1(n_32806),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g606490 (
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_26),
+	.A2(n_33655),
+	.B1(n_32588),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g606491 (
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_26),
+	.A2(n_33654),
+	.B1(n_32808),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g606492 (
+	.A1(n_33669),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_26),
+	.B1(n_32590),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g606493 (
+	.A1(n_33664),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_26),
+	.B1(n_32587),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g606494 (
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_26),
+	.A2(n_33653),
+	.B1(n_32591),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g606495 (
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_26),
+	.A2(n_33651),
+	.B1(n_32592),
+	.X(brqrv_top_brqrv_picm_rdaddr[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g606496 (
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_26),
+	.A2(n_33662),
+	.B1(n_32593),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g606497 (
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_26),
+	.A2(n_33661),
+	.B1(n_32594),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g606498 (
+	.A1(n_33667),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_26),
+	.B1(n_32589),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g606499 (
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_26),
+	.A2(n_33659),
+	.B1(n_32595),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g606500 (
+	.A1(n_31642),
+	.A2(n_19380),
+	.B1(n_32810),
+	.Y(brqrv_top_brqrv_exu_i0_flush_path_d[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g606501 (
+	.A(n_19406),
+	.B(n_349536_BAR),
+	.C(n_31693),
+	.Y(brqrv_top_brqrv_dec_tlu_npc_r[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g606502 (
+	.A(n_349634_BAR),
+	.B(n_31683),
+	.C(n_19401),
+	.Y(brqrv_top_brqrv_dec_tlu_npc_r[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g606503 (
+	.A(n_349610_BAR),
+	.B(n_19400),
+	.C(n_32614),
+	.Y(brqrv_top_brqrv_dec_tlu_npc_r[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g606504 (
+	.A(n_349542_BAR),
+	.B(n_31692),
+	.C(n_19390),
+	.Y(brqrv_top_brqrv_dec_tlu_npc_r[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g606505 (
+	.A(n_349640_BAR),
+	.B(n_31682),
+	.C(n_19397),
+	.Y(brqrv_top_brqrv_dec_tlu_npc_r[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g606506 (
+	.A(n_349554_BAR),
+	.B(n_31690),
+	.C(n_19395),
+	.Y(brqrv_top_brqrv_dec_tlu_npc_r[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g606507 (
+	.A(n_349530_BAR),
+	.B(n_31694),
+	.C(n_19404),
+	.Y(brqrv_top_brqrv_dec_tlu_npc_r[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g606509 (
+	.A1_N(n_33089),
+	.A2_N(n_19380),
+	.B1(n_33088),
+	.B2(n_19380),
+	.Y(n_19448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g606540 (
+	.A(n_349590_BAR),
+	.B(n_19387),
+	.C(n_31685),
+	.Y(brqrv_top_brqrv_dec_tlu_npc_r[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g606541 (
+	.A(n_349584_BAR),
+	.B(n_19384),
+	.C(n_31686),
+	.Y(brqrv_top_brqrv_dec_tlu_npc_r[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g606542 (
+	.A(n_297270_BAR),
+	.B(n_32202),
+	.C(n_19396),
+	.Y(brqrv_top_brqrv_dec_tlu_npc_r[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g606543 (
+	.A(n_296988_BAR),
+	.B(n_31042),
+	.C(n_19382),
+	.Y(brqrv_top_brqrv_dec_tlu_npc_r[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g606544 (
+	.A(n_349548_BAR),
+	.B(n_19391),
+	.C(n_31691),
+	.Y(brqrv_top_brqrv_dec_tlu_npc_r[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g606545 (
+	.A(n_349616_BAR),
+	.B(n_19394),
+	.C(n_31684),
+	.Y(brqrv_top_brqrv_dec_tlu_npc_r[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g606546 (
+	.A(n_349566_BAR),
+	.B(n_19399),
+	.C(n_31689),
+	.Y(brqrv_top_brqrv_dec_tlu_npc_r[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g606547 (
+	.A(n_349572_BAR),
+	.B(n_19405),
+	.C(n_31688),
+	.Y(brqrv_top_brqrv_dec_tlu_npc_r[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g606548 (
+	.A(n_349578_BAR),
+	.B(n_19386),
+	.C(n_31687),
+	.Y(brqrv_top_brqrv_dec_tlu_npc_r[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g606552 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_26),
+	.B(n_33658),
+	.Y(n_19414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g606557 (
+	.A(n_33666),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_26),
+	.Y(n_19409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g606559 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_26),
+	.B(n_33656),
+	.Y(n_19407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g606560 (
+	.A(n_39138),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_upper_r[30]),
+	.Y(n_19406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g606561 (
+	.A(n_39138),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_upper_r[16]),
+	.Y(n_19405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g606562 (
+	.A(n_39138),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_upper_r[28]),
+	.Y(n_19404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g606564 (
+	.A(n_33665),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_26),
+	.Y(n_19402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g606565 (
+	.A(n_39138),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_upper_r[27]),
+	.Y(n_19401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g606566 (
+	.A(n_39138),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_upper_r[26]),
+	.Y(n_19400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g606567 (
+	.A(n_39138),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_upper_r[17]),
+	.Y(n_19399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g606568 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_26),
+	.B(n_33652),
+	.Y(n_19398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g606569 (
+	.A(n_39138),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_upper_r[21]),
+	.Y(n_19397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g606570 (
+	.A(n_39138),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_upper_r[6]),
+	.Y(n_19396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g606571 (
+	.A(n_39138),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_upper_r[20]),
+	.Y(n_19395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g606572 (
+	.A(n_39138),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_upper_r[18]),
+	.Y(n_19394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g606573 (
+	.A(n_33663),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_26),
+	.Y(n_19393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g606575 (
+	.A(n_39138),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_upper_r[19]),
+	.Y(n_19391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g606576 (
+	.A(n_39138),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_upper_r[24]),
+	.Y(n_19390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g606579 (
+	.A(n_39138),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_upper_r[14]),
+	.Y(n_19387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g606580 (
+	.A(n_39138),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_upper_r[15]),
+	.Y(n_19386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g606582 (
+	.A(n_39138),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_upper_r[13]),
+	.Y(n_19384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g606583 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_26),
+	.B(n_33660),
+	.Y(n_19383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g606584 (
+	.A(n_39138),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_upper_r[2]),
+	.Y(n_19382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g606586 (
+	.A(n_34155),
+	.Y(n_19380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g606588 (
+	.A(n_31313),
+	.Y(n_19378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g750853 (
+	.A(n_30516),
+	.B(brqrv_top_brqrv_ifu_aln_first2B),
+	.C(n_30518),
+	.X(n_31576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g750854 (
+	.A(brqrv_top_brqrv_dec_decode_flush_final_r),
+	.B(n_32586),
+	.C(n_19374),
+	.D(n_19376),
+	.X(n_30518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g750855 (
+	.A1(n_19375),
+	.A2(n_32536),
+	.B1(n_32848),
+	.Y(n_19376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g750856 (
+	.A(n_33353),
+	.B(brqrv_top_brqrv_lsu_store_stall_any),
+	.Y(n_19375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g750857 (
+	.A1(n_19373),
+	.A2(n_32536),
+	.B1(n_30690),
+	.Y(n_19374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750858 (
+	.A(n_19373),
+	.B(n_39360),
+	.Y(brqrv_top_brqrv_lsu_store_stall_any), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g750859 (
+	.A1_N(n_37573_BAR),
+	.A2_N(n_19371),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3187),
+	.B2(n_19371),
+	.Y(n_19373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g750861 (
+	.A_N(brqrv_top_brqrv_lsu_stbuf_n_865),
+	.B(brqrv_top_brqrv_dec_lsu_valid_raw_d),
+	.Y(n_19371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750862 (
+	.A(brqrv_top_brqrv_lsu_stbuf_n_865),
+	.B(brqrv_top_brqrv_dec_lsu_valid_raw_d),
+	.Y(n_19370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g750863 (
+	.A1_N(brqrv_top_dccm_rd_addr_lo[2]),
+	.A2_N(brqrv_top_dccm_rd_addr_hi[2]),
+	.B1(brqrv_top_dccm_rd_addr_lo[2]),
+	.B2(brqrv_top_dccm_rd_addr_hi[2]),
+	.Y(brqrv_top_brqrv_lsu_stbuf_n_865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g750866 (
+	.A_N(brqrv_top_brqrv_lsu_nonblock_load_inv_tag_r[1]),
+	.B(brqrv_top_brqrv_lsu_nonblock_load_inv_tag_r[0]),
+	.Y(n_31583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g750867 (
+	.A_N(brqrv_top_brqrv_lsu_nonblock_load_inv_tag_r[0]),
+	.B(brqrv_top_brqrv_lsu_nonblock_load_inv_tag_r[1]),
+	.Y(n_32455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750868 (
+	.A(brqrv_top_brqrv_lsu_nonblock_load_inv_tag_r[1]),
+	.B(brqrv_top_brqrv_lsu_nonblock_load_inv_tag_r[0]),
+	.Y(n_31503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g750869 (
+	.A1(n_1817),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[126]),
+	.B1(n_1580),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[94]),
+	.C1(n_19369),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g750870 (
+	.A(n_19368),
+	.B(n_32578),
+	.C(n_32573),
+	.Y(n_30500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g750871 (
+	.A1(n_1581),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[30]),
+	.B1(n_1617),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[62]),
+	.X(n_19369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g604344 (
+	.A(n_31526),
+	.B_N(brqrv_top_brqrv_dec_dec_csr_wrdata_r[30]),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g604345 (
+	.A(n_32515),
+	.B_N(brqrv_top_brqrv_dec_dec_csr_wrdata_r[30]),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g604346 (
+	.A(n_32789),
+	.B(n_29898),
+	.Y(n_19368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g604646 (
+	.A(n_19363),
+	.B(n_32578),
+	.C(n_32790),
+	.D(n_32573),
+	.Y(n_30511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g604647 (
+	.A(n_19366),
+	.B(n_6028),
+	.Y(n_30503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750872 (
+	.A(n_19366),
+	.B(n_32579),
+	.Y(n_30502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g750873 (
+	.A_N(brqrv_top_brqrv_dec_tlu_n_12944),
+	.B(n_19365),
+	.Y(n_31712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g750874 (
+	.A_N(brqrv_top_brqrv_dec_tlu_n_12947),
+	.B(n_19365),
+	.Y(n_31714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g750875 (
+	.A(n_19359),
+	.B(brqrv_top_brqrv_dec_tlu_n_13117),
+	.C(n_32573),
+	.D(n_32574),
+	.Y(n_30505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g750876 (
+	.A(n_19364),
+	.B(n_6031),
+	.C(n_32571),
+	.Y(n_30504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g750879 (
+	.A(n_19364),
+	.B(n_32574),
+	.C(n_32570),
+	.Y(n_30501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g750880 (
+	.A(n_32579),
+	.B(n_19361),
+	.X(n_30494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g750881 (
+	.A(n_31700),
+	.B(brqrv_top_brqrv_dec_tlu_n_8029),
+	.X(n_30512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g750882 (
+	.A_N(n_19361),
+	.B(n_32790),
+	.Y(n_30496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g750883 (
+	.A(n_32790),
+	.B(brqrv_top_brqrv_dec_tlu_n_8029),
+	.Y(n_19366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g750884 (
+	.A(n_32578),
+	.B(n_31699),
+	.Y(n_19365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g750885 (
+	.A1(n_32570),
+	.A2(n_39171),
+	.B1(n_32541),
+	.Y(n_31705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750886 (
+	.A(n_19362),
+	.B(n_32790),
+	.Y(n_30497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g750887 (
+	.A(n_32578),
+	.B(n_6031),
+	.C(n_31704),
+	.X(n_30495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g750888 (
+	.A(n_32578),
+	.B(n_6031),
+	.C(n_31701),
+	.X(n_30498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g750889 (
+	.A(n_32579),
+	.B(n_32574),
+	.C(n_32550),
+	.D(n_32580),
+	.X(n_30509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g750892 (
+	.A(n_31711),
+	.B(n_30514),
+	.Y(n_19362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g750893 (
+	.A(n_32550),
+	.B(n_31703),
+	.Y(n_19364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g750894 (
+	.A(n_31698),
+	.B(n_32580),
+	.Y(n_19363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 g750895 (
+	.A(n_32573),
+	.B(n_32571),
+	.C_N(n_32581),
+	.X(n_31699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g604673 (
+	.A_N(n_32552),
+	.B(n_32574),
+	.C(n_32578),
+	.Y(n_19361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g604674 (
+	.A(n_32572),
+	.B(n_32573),
+	.C(n_32570),
+	.Y(brqrv_top_brqrv_dec_tlu_n_8029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g604675 (
+	.A(n_19360),
+	.Y(n_30490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g604676 (
+	.A(n_19359),
+	.Y(n_31703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g604678 (
+	.A(n_30514),
+	.B(n_32545),
+	.X(n_30483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g604679 (
+	.A_N(brqrv_top_brqrv_dec_tlu_mtsel[1]),
+	.B(brqrv_top_brqrv_dec_tlu_mtsel[0]),
+	.Y(brqrv_top_brqrv_dec_tlu_n_12950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g604680 (
+	.A(n_32790),
+	.B(n_32578),
+	.Y(brqrv_top_brqrv_dec_tlu_n_13117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g604681 (
+	.A(brqrv_top_brqrv_dec_tlu_mtsel[0]),
+	.B(brqrv_top_brqrv_dec_tlu_mtsel[1]),
+	.Y(n_19360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g604682 (
+	.A_N(brqrv_top_brqrv_dec_tlu_mtsel[0]),
+	.B(brqrv_top_brqrv_dec_tlu_mtsel[1]),
+	.Y(brqrv_top_brqrv_dec_tlu_n_12947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g604683 (
+	.A(n_6028),
+	.B(n_32580),
+	.Y(n_19359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g604684 (
+	.A(n_32790),
+	.B(n_30514),
+	.X(n_31267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g604685 (
+	.A(n_31582),
+	.B(n_31497),
+	.X(n_31492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g604686 (
+	.A(brqrv_top_brqrv_dec_tlu_mtsel[1]),
+	.B(brqrv_top_brqrv_dec_tlu_mtsel[0]),
+	.Y(brqrv_top_brqrv_dec_tlu_n_12944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g604687 (
+	.A(n_32578),
+	.B(n_32579),
+	.Y(n_31711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g604688 (
+	.A(n_19357),
+	.B(n_6028),
+	.Y(n_31704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g604689 (
+	.A(n_6031),
+	.B(n_32570),
+	.Y(n_31698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g604690 (
+	.A(n_6028),
+	.B(n_32790),
+	.Y(n_31700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g604691 (
+	.A(n_19357),
+	.B(n_32579),
+	.Y(n_31701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g604692 (
+	.A(n_32570),
+	.Y(n_19357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g604694 (
+	.A(n_32579),
+	.Y(n_6028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g750896 (
+	.A(n_31404),
+	.B(n_19354),
+	.Y(n_31403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g750897 (
+	.A(n_19320),
+	.B(n_19321),
+	.CIN(n_19335),
+	.COUT(n_19354),
+	.SUM(n_31405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g750898 (
+	.A1(n_31705),
+	.A2(brqrv_top_brqrv_dec_tlu_meihap[30]),
+	.B1(n_19353),
+	.Y(n_300427_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g750899 (
+	.A1(n_31081),
+	.A2(n_19349),
+	.B1(n_19352),
+	.Y(n_31078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g750900 (
+	.A1(n_31083),
+	.A2(n_19347),
+	.B1(n_19352),
+	.Y(n_31077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g750901 (
+	.A1(n_8199),
+	.A2(n_31267),
+	.B1(n_30512),
+	.C1(n_19319),
+	.D1(n_19348),
+	.Y(n_19353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750902 (
+	.A(n_31434),
+	.B(n_31430),
+	.Y(n_31426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750903 (
+	.A(n_19350),
+	.B(n_31430),
+	.Y(n_31427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750904 (
+	.A(n_19351),
+	.B(n_31434),
+	.Y(n_31428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g750905 (
+	.A_N(n_33354),
+	.B(n_19345),
+	.C(brqrv_top_brqrv_dec_dec_i0_instr_d[6]),
+	.D(brqrv_top_brqrv_dec_dec_i0_instr_d[4]),
+	.Y(n_31646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g750906 (
+	.A_N(n_31079),
+	.B(n_19342),
+	.C(n_19341),
+	.Y(n_19352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750907 (
+	.A(n_31430),
+	.Y(n_19351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750908 (
+	.A(n_31434),
+	.Y(n_19350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750909 (
+	.A(n_19346),
+	.B(n_19344),
+	.Y(n_19349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750910 (
+	.A(n_31431),
+	.B(n_31435),
+	.Y(n_31430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750911 (
+	.A(n_31437),
+	.B(n_31435),
+	.Y(n_31434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g750912 (
+	.A(n_19322),
+	.B(n_19325),
+	.C(n_19323),
+	.D(n_19329),
+	.Y(n_19348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g750913 (
+	.A1(n_19336),
+	.A2(n_19338),
+	.B1_N(n_33354),
+	.Y(n_31645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750914 (
+	.A(n_19343),
+	.B(n_19340),
+	.Y(n_19347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g750915 (
+	.A(n_31411),
+	.B(n_31415),
+	.C(n_31409),
+	.D(n_31413),
+	.X(brqrv_top_brqrv_lsu_lsu_bus_buffer_pend_any), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g750916 (
+	.A1(n_19333),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspageQ[11]),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rsp_pickage[10]),
+	.Y(n_19346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g750917 (
+	.A1(n_19328),
+	.A2(brqrv_top_brqrv_dec_dec_i0_instr_d[14]),
+	.B1(brqrv_top_brqrv_dec_decode_i0_dec_n_186),
+	.X(n_19345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g750918 (
+	.A(n_19327),
+	.B(n_31433),
+	.C(n_19320),
+	.Y(n_31431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g750919 (
+	.A(n_31438),
+	.B(n_19337),
+	.X(n_31437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g750920 (
+	.A(n_31436),
+	.B(n_19339),
+	.X(n_31435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g750921 (
+	.A1(n_19332),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspageQ[9]),
+	.B1(n_19331),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspageQ[8]),
+	.Y(n_19344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g750922 (
+	.A1(n_19333),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspageQ[7]),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rsp_pickage[5]),
+	.Y(n_19343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g750923 (
+	.A1(n_19331),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspageQ[12]),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rsp_pickage[15]),
+	.Y(n_19342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g750924 (
+	.A1(n_19332),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspageQ[13]),
+	.B1(n_19334),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspageQ[14]),
+	.Y(n_19341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g750925 (
+	.A1(n_19331),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspageQ[4]),
+	.B1(n_19334),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspageQ[6]),
+	.Y(n_19340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g750926 (
+	.A(n_31807),
+	.B(n_31803),
+	.C(n_31806),
+	.D(n_31505),
+	.Y(n_19339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g750927 (
+	.A1(n_39140),
+	.A2(brqrv_top_brqrv_dec_tlu_mdccmect[30]),
+	.B1(n_39142),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc4[30]),
+	.C1(n_19330),
+	.Y(n_314068_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g750928 (
+	.A1(n_31738),
+	.A2(n_19318),
+	.B1(n_31739),
+	.Y(n_19338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g750929 (
+	.A(n_31801),
+	.B(n_32661),
+	.C(n_31439),
+	.D(n_31800),
+	.Y(n_19337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g750930 (
+	.A(n_31765),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[47]),
+	.C(brqrv_top_brqrv_dec_dec_i0_instr_d[13]),
+	.D_N(brqrv_top_brqrv_dec_decode_i0_dec_n_483),
+	.Y(n_19336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g750931 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_ff [3]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_ff [4]),
+	.C(n_19326),
+	.X(n_30895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g750932 (
+	.A1(n_39141),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[30]),
+	.B1(n_39146),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[68]),
+	.C1(n_19324),
+	.Y(n_271734_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g750933 (
+	.A(n_31438),
+	.B(n_31436),
+	.X(n_19335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g605524 (
+	.A(n_19334),
+	.Y(n_31081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750934 (
+	.A(n_19333),
+	.Y(n_31079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g750935 (
+	.A(n_19332),
+	.Y(n_31083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g750936 (
+	.A(n_19331),
+	.Y(n_31085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g750937 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[11]),
+	.B(n_31052),
+	.X(n_31419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750938 (
+	.A(n_31424),
+	.B(n_31410),
+	.Y(n_31409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g750939 (
+	.A_N(brqrv_top_brqrv_dec_tlu_force_halt),
+	.B(n_31812),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[5]),
+	.Y(n_31439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750940 (
+	.A(n_31438),
+	.B(n_31412),
+	.Y(n_31411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g750941 (
+	.A(n_31432),
+	.B(n_31416),
+	.Y(n_31415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g750942 (
+	.A_N(brqrv_top_brqrv_dec_tlu_force_halt),
+	.B(n_31810),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[15]),
+	.Y(n_31505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g750943 (
+	.A(n_31438),
+	.B(n_31436),
+	.X(n_31404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g750944 (
+	.A(n_31082),
+	.B_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[6]),
+	.Y(n_19334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g750945 (
+	.A(n_31080),
+	.B_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[9]),
+	.Y(n_19333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g750946 (
+	.A(n_31084),
+	.B_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[3]),
+	.Y(n_19332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g750947 (
+	.A(n_31086),
+	.B_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[0]),
+	.Y(n_19331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g750948 (
+	.A1(n_19314),
+	.A2(n_30494),
+	.B1(n_19307),
+	.B2(n_30509),
+	.Y(n_19330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g750949 (
+	.A1(n_19303),
+	.A2(n_30511),
+	.B1(n_19311),
+	.B2(n_30502),
+	.Y(n_19329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g750950 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[15]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[16]),
+	.C(n_31750),
+	.X(n_19328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g750951 (
+	.A(n_31809),
+	.B(n_31813),
+	.C(n_31811),
+	.X(n_19327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g750952 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_ff [1]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_ff [2]),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_ff [6]),
+	.X(n_19326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g750953 (
+	.A1(n_19308),
+	.A2(n_30496),
+	.B1(n_19316),
+	.B2(n_30498),
+	.Y(n_19325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g750954 (
+	.A1(n_19302),
+	.A2(n_31714),
+	.B1(n_19305),
+	.B2(n_31712),
+	.Y(n_19324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g750955 (
+	.A1(n_19313),
+	.A2(n_30501),
+	.B1(n_19304),
+	.B2(n_30503),
+	.Y(n_19323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g750956 (
+	.A1(n_19309),
+	.A2(n_30497),
+	.B1(n_19317),
+	.B2(n_30483),
+	.Y(n_19322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g750957 (
+	.A_N(brqrv_top_brqrv_dec_tlu_force_halt),
+	.B(n_31804),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[10]),
+	.Y(n_31433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605549 (
+	.A(n_31436),
+	.B(n_31414),
+	.Y(n_31413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g605550 (
+	.A(n_31424),
+	.Y(n_19321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605551 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_i0_predict_p_x[32]),
+	.Y(n_31531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g605552 (
+	.A(n_31610),
+	.B(n_31642),
+	.X(n_31596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g605553 (
+	.A_N(n_32574),
+	.B(n_32572),
+	.Y(n_29898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g605554 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[13]),
+	.B_N(brqrv_top_brqrv_dec_dec_i0_instr_d[14]),
+	.Y(brqrv_top_brqrv_dec_decode_i0_dec_n_186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g605555 (
+	.A_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[4]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[5]),
+	.Y(n_31084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g605556 (
+	.A_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[1]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[2]),
+	.Y(n_31086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g605557 (
+	.A_N(n_31941),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[6]),
+	.Y(n_31416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g605558 (
+	.A_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[7]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[8]),
+	.Y(n_31082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g605559 (
+	.A_N(n_31866),
+	.B(n_31810),
+	.Y(n_31436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g605560 (
+	.A_N(n_31865),
+	.B(n_31812),
+	.Y(n_31438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g605561 (
+	.A_N(n_31864),
+	.B(n_31814),
+	.Y(n_31424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g605562 (
+	.A(n_19320),
+	.Y(n_31432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g605563 (
+	.A(brqrv_top_brqrv_lsu_nonblock_load_inv_tag_r[0]),
+	.B(brqrv_top_brqrv_lsu_nonblock_load_inv_tag_r[1]),
+	.X(n_31581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g605564 (
+	.A_N(n_30505),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc6[30]),
+	.Y(n_32160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g605565 (
+	.A_N(n_30504),
+	.B(n_34644),
+	.Y(n_19319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g605566 (
+	.A_N(n_30495),
+	.B(brqrv_top_brqrv_dec_tlu_mtval[30]),
+	.Y(n_32192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g605567 (
+	.A_N(n_31828),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[12]),
+	.Y(n_19318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g605568 (
+	.A_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[10]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[11]),
+	.Y(n_31080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605569 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[10]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[9]),
+	.Y(n_31052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g605570 (
+	.A_N(n_29910),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[0]),
+	.Y(n_31410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g605571 (
+	.A_N(n_29917),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[3]),
+	.Y(n_31412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g605572 (
+	.A_N(n_29911),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[9]),
+	.Y(n_31414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g605573 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[5]),
+	.B_N(brqrv_top_brqrv_dec_dec_i0_instr_d[12]),
+	.Y(brqrv_top_brqrv_dec_decode_i0_dec_n_483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g605574 (
+	.A(n_31805),
+	.B_N(n_31804),
+	.Y(n_19320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g605575 (
+	.A(brqrv_top_brqrv_dec_tlu_mepc[30]),
+	.Y(n_19317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g605576 (
+	.A(brqrv_top_brqrv_dec_tlu_mcause[30]),
+	.Y(n_19316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g605578 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5[30]),
+	.Y(n_19314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g605579 (
+	.A(brqrv_top_brqrv_dec_tlu_minstretl[30]),
+	.Y(n_19313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g605581 (
+	.A(brqrv_top_brqrv_dec_tlu_mie[5]),
+	.Y(n_19311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g605583 (
+	.A(brqrv_top_brqrv_dec_tlu_mscratch[30]),
+	.Y(n_19309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g605584 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel[30]),
+	.Y(n_19308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g605585 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3h[30]),
+	.Y(n_19307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g605587 (
+	.A(brqrv_top_brqrv_trigger_pkt_any[144]),
+	.Y(n_19305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g605589 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[29]),
+	.Y(n_19304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g605591 (
+	.A(n_34643),
+	.Y(n_19303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g605592 (
+	.A(brqrv_top_brqrv_trigger_pkt_any[106]),
+	.Y(n_19302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g686307 (
+	.A1(n_19265),
+	.A2(n_32524),
+	.B1(n_19275),
+	.B2(n_32525),
+	.C1(n_17554),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.sv2v_tmp_BEF15 [5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g686308 (
+	.A1(n_19265),
+	.A2(n_19278),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[1]),
+	.C1(n_19285),
+	.D1(n_19300),
+	.Y(n_32524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686310 (
+	.A(n_19217),
+	.B(n_19286),
+	.C(n_19298),
+	.D(n_32238),
+	.Y(n_19300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686311 (
+	.A(n_19299),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[1]),
+	.Y(n_32525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686312 (
+	.A(n_19217),
+	.B(n_19295),
+	.C(n_19296),
+	.D(n_32238),
+	.Y(n_19299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g686313 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_p1_f [0]),
+	.A2(n_19277),
+	.B1(n_19297),
+	.Y(n_19298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686314 (
+	.A1(n_19270),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_p1_f [1]),
+	.B1(n_19271),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_p1_f [2]),
+	.C1(n_19294),
+	.Y(n_19297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g686315 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_p1_f [2]),
+	.A2(n_19279),
+	.B1(n_19284),
+	.C1(n_19290),
+	.D1(n_19293),
+	.Y(n_19296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686316 (
+	.A(n_19291),
+	.B(n_19283),
+	.C(n_19281),
+	.D(n_19289),
+	.Y(n_19295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g686317 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_p1_f [2]),
+	.A2(n_19271),
+	.B1(n_19292),
+	.C1(n_19287),
+	.D1(n_19282),
+	.Y(n_19294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a41oi_1 g686318 (
+	.A1(n_19258),
+	.A2(n_19201),
+	.A3(n_18925),
+	.A4(n_18926),
+	.B1(n_19288),
+	.Y(n_19293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a41oi_1 g686319 (
+	.A1(n_19255),
+	.A2(n_19199),
+	.A3(n_18908),
+	.A4(n_18909),
+	.B1(n_19280),
+	.Y(n_19292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g686320 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_p1_f [0]),
+	.B(n_19276),
+	.Y(n_19291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g686321 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_p1_f [4]),
+	.B(n_19273),
+	.Y(n_19290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g686322 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_p1_f [5]),
+	.B(n_19272),
+	.Y(n_19289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g686323 (
+	.A1_N(n_19267),
+	.A2_N(n_19275),
+	.B1(n_19267),
+	.B2(n_19275),
+	.Y(n_19288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g686324 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_p1_f [3]),
+	.B(n_19274),
+	.Y(n_19287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g686325 (
+	.A1_N(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_p1_f [0]),
+	.A2_N(n_19277),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_p1_f [1]),
+	.B2(n_19270),
+	.Y(n_19286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686326 (
+	.A(n_19265),
+	.B(n_19278),
+	.Y(n_19285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g686327 (
+	.A(n_19279),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_p1_f [2]),
+	.Y(n_19284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g686328 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_p1_f [1]),
+	.B(n_19269),
+	.Y(n_19283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g686329 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_p1_f [5]),
+	.B(n_19268),
+	.Y(n_19282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g686330 (
+	.A1_N(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_p1_f [3]),
+	.A2_N(n_19266),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_p1_f [3]),
+	.B2(n_19266),
+	.Y(n_19281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g686331 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_p1_f [4]),
+	.B(n_19264),
+	.Y(n_19280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686332 (
+	.A(n_19011),
+	.B(n_19009),
+	.C(n_19213),
+	.D(n_19263),
+	.Y(n_19279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686333 (
+	.A(n_19260),
+	.B(n_19214),
+	.C(n_19007),
+	.D(n_19010),
+	.Y(n_19276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686334 (
+	.A(n_19261),
+	.B(n_19215),
+	.C(n_19034),
+	.D(n_19036),
+	.Y(n_19278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686335 (
+	.A(n_18994),
+	.B(n_18995),
+	.C(n_19216),
+	.D(n_19259),
+	.Y(n_19277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686336 (
+	.A(n_19257),
+	.B(n_19200),
+	.C(n_18928),
+	.D(n_18929),
+	.Y(n_19274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686337 (
+	.A(n_19256),
+	.B(n_19208),
+	.C(n_18970),
+	.D(n_18972),
+	.Y(n_19273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686338 (
+	.A(n_19254),
+	.B(n_19193),
+	.C(n_18858),
+	.D(n_18855),
+	.Y(n_19272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686339 (
+	.A(n_18865),
+	.B(n_19032),
+	.C(n_19209),
+	.D(n_19262),
+	.Y(n_19275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686340 (
+	.A(n_19247),
+	.B(n_19206),
+	.C(n_18976),
+	.D(n_18922),
+	.Y(n_19269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686341 (
+	.A(n_18975),
+	.B(n_18974),
+	.C(n_19207),
+	.D(n_19252),
+	.Y(n_19271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686342 (
+	.A(n_19012),
+	.B(n_19014),
+	.C(n_19212),
+	.D(n_19251),
+	.Y(n_19270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686343 (
+	.A(n_19253),
+	.B(n_19191),
+	.C(n_18954),
+	.D(n_18953),
+	.Y(n_19268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686344 (
+	.A(n_18889),
+	.B(n_18890),
+	.C(n_19197),
+	.D(n_19248),
+	.Y(n_19264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686345 (
+	.A(n_19249),
+	.B(n_19198),
+	.C(n_18888),
+	.D(n_18884),
+	.Y(n_19267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686346 (
+	.A(n_19250),
+	.B(n_19203),
+	.C(n_18927),
+	.D(n_18921),
+	.Y(n_19266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686347 (
+	.A(n_18857),
+	.B(n_18860),
+	.C(n_19192),
+	.D(n_19246),
+	.Y(n_19265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686348 (
+	.A(n_19241),
+	.B(n_19238),
+	.C(n_18998),
+	.D(n_19171),
+	.Y(n_19263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686349 (
+	.A(n_19239),
+	.B(n_19220),
+	.C(n_18887),
+	.D(n_19106),
+	.Y(n_19262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686350 (
+	.A(n_19027),
+	.B(n_19185),
+	.C(n_19244),
+	.D(n_19243),
+	.Y(n_19261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686351 (
+	.A(n_18985),
+	.B(n_19162),
+	.C(n_19235),
+	.D(n_19240),
+	.Y(n_19260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686352 (
+	.A(n_19237),
+	.B(n_19236),
+	.C(n_18988),
+	.D(n_19165),
+	.Y(n_19259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686353 (
+	.A(n_19135),
+	.B(n_19134),
+	.C(n_19229),
+	.D(n_19226),
+	.Y(n_19258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686354 (
+	.A(n_18920),
+	.B(n_19141),
+	.C(n_19230),
+	.D(n_19228),
+	.Y(n_19257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686355 (
+	.A(n_18956),
+	.B(n_19152),
+	.C(n_19233),
+	.D(n_19245),
+	.Y(n_19256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686356 (
+	.A(n_19128),
+	.B(n_19126),
+	.C(n_19224),
+	.D(n_19225),
+	.Y(n_19255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686357 (
+	.A(n_19100),
+	.B(n_19190),
+	.C(n_19232),
+	.D(n_19231),
+	.Y(n_19254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686358 (
+	.A(n_19146),
+	.B(n_19145),
+	.C(n_19202),
+	.D(n_19218),
+	.Y(n_19253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686359 (
+	.A(n_19234),
+	.B(n_19205),
+	.C(n_19155),
+	.D(n_19157),
+	.Y(n_19252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686360 (
+	.A(n_19242),
+	.B(n_19211),
+	.C(n_19175),
+	.D(n_19176),
+	.Y(n_19251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686361 (
+	.A(n_19124),
+	.B(n_19122),
+	.C(n_19196),
+	.D(n_19227),
+	.Y(n_19250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686362 (
+	.A(n_19112),
+	.B(n_19113),
+	.C(n_19194),
+	.D(n_19221),
+	.Y(n_19249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686363 (
+	.A(n_19222),
+	.B(n_19195),
+	.C(n_19116),
+	.D(n_19117),
+	.Y(n_19248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686364 (
+	.A(n_19110),
+	.B(n_19109),
+	.C(n_19204),
+	.D(n_19223),
+	.Y(n_19247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686365 (
+	.A(n_19219),
+	.B(n_19210),
+	.C(n_19103),
+	.D(n_19101),
+	.Y(n_19246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686366 (
+	.A(n_19147),
+	.B(n_19144),
+	.C(n_18949),
+	.D(n_18952),
+	.Y(n_19245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686367 (
+	.A(n_19188),
+	.B(n_19189),
+	.C(n_19031),
+	.D(n_19029),
+	.Y(n_19244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686368 (
+	.A(n_19182),
+	.B(n_19183),
+	.C(n_19026),
+	.D(n_19024),
+	.Y(n_19243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686369 (
+	.A(n_19008),
+	.B(n_19178),
+	.C(n_19179),
+	.D(n_19180),
+	.Y(n_19242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686370 (
+	.A(n_19001),
+	.B(n_19004),
+	.C(n_19177),
+	.D(n_19174),
+	.Y(n_19241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686371 (
+	.A(n_19169),
+	.B(n_19173),
+	.C(n_18993),
+	.D(n_18989),
+	.Y(n_19240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686372 (
+	.A(n_18895),
+	.B(n_18946),
+	.C(n_19161),
+	.D(n_19172),
+	.Y(n_19239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686373 (
+	.A(n_18987),
+	.B(n_18990),
+	.C(n_19166),
+	.D(n_19170),
+	.Y(n_19238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686374 (
+	.A(n_18992),
+	.B(n_18991),
+	.C(n_19168),
+	.D(n_19167),
+	.Y(n_19237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686375 (
+	.A(n_18986),
+	.B(n_18984),
+	.C(n_19164),
+	.D(n_19163),
+	.Y(n_19236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686376 (
+	.A(n_19154),
+	.B(n_19151),
+	.C(n_18968),
+	.D(n_18973),
+	.Y(n_19235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686377 (
+	.A(n_18969),
+	.B(n_19160),
+	.C(n_19158),
+	.D(n_19159),
+	.Y(n_19234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686378 (
+	.A(n_19153),
+	.B(n_19156),
+	.C(n_18962),
+	.D(n_18960),
+	.Y(n_19233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686379 (
+	.A(n_19186),
+	.B(n_19187),
+	.C(n_19030),
+	.D(n_19035),
+	.Y(n_19232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686380 (
+	.A(n_19104),
+	.B(n_19102),
+	.C(n_19044),
+	.D(n_19046),
+	.Y(n_19231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686381 (
+	.A(n_19142),
+	.B(n_18924),
+	.C(n_19143),
+	.D(n_18923),
+	.Y(n_19230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686382 (
+	.A(n_19137),
+	.B(n_18918),
+	.C(n_18915),
+	.D(n_18919),
+	.Y(n_19229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686383 (
+	.A(n_19140),
+	.B(n_19139),
+	.C(n_18916),
+	.D(n_18917),
+	.Y(n_19228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686384 (
+	.A(n_19138),
+	.B(n_19129),
+	.C(n_18905),
+	.D(n_19136),
+	.Y(n_19227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686385 (
+	.A(n_19127),
+	.B(n_19130),
+	.C(n_18907),
+	.D(n_18903),
+	.Y(n_19226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686386 (
+	.A(n_19131),
+	.B(n_19132),
+	.C(n_18904),
+	.D(n_18906),
+	.Y(n_19225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686387 (
+	.A(n_19123),
+	.B(n_18902),
+	.C(n_18900),
+	.D(n_18901),
+	.Y(n_19224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686388 (
+	.A(n_19133),
+	.B(n_19111),
+	.C(n_18885),
+	.D(n_19125),
+	.Y(n_19223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686389 (
+	.A(n_18883),
+	.B(n_19121),
+	.C(n_19120),
+	.D(n_19119),
+	.Y(n_19222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686390 (
+	.A(n_19118),
+	.B(n_19114),
+	.C(n_18878),
+	.D(n_19115),
+	.Y(n_19221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686391 (
+	.A(n_18853),
+	.B(n_19050),
+	.C(n_19181),
+	.D(n_19184),
+	.Y(n_19220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686392 (
+	.A(n_18854),
+	.B(n_19107),
+	.C(n_19105),
+	.D(n_19108),
+	.Y(n_19219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686393 (
+	.A(n_19148),
+	.B(n_19150),
+	.C(n_18948),
+	.D(n_19149),
+	.Y(n_19218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686394 (
+	.A(n_18996),
+	.B(n_18999),
+	.C(n_18997),
+	.D(n_19000),
+	.Y(n_19216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686395 (
+	.A(n_19037),
+	.B(n_19042),
+	.C(n_19040),
+	.D(n_19039),
+	.Y(n_19215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686396 (
+	.A(n_19028),
+	.B(n_19033),
+	.C(n_19013),
+	.D(n_19025),
+	.Y(n_19214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686397 (
+	.A(n_19015),
+	.B(n_19018),
+	.C(n_19023),
+	.D(n_19021),
+	.Y(n_19213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686398 (
+	.A(n_19019),
+	.B(n_19017),
+	.C(n_19016),
+	.D(n_19020),
+	.Y(n_19212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686399 (
+	.A(n_19003),
+	.B(n_19002),
+	.C(n_19005),
+	.D(n_19006),
+	.Y(n_19211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686400 (
+	.A(n_19043),
+	.B(n_19045),
+	.C(n_19047),
+	.D(n_19048),
+	.Y(n_19210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686401 (
+	.A(n_18943),
+	.B(n_18874),
+	.C(n_19022),
+	.D(n_18965),
+	.Y(n_19209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686402 (
+	.A(n_18983),
+	.B(n_18914),
+	.C(n_18982),
+	.D(n_18978),
+	.Y(n_19208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686403 (
+	.A(n_18981),
+	.B(n_18979),
+	.C(n_18980),
+	.D(n_18977),
+	.Y(n_19207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686404 (
+	.A(n_18971),
+	.B(n_18942),
+	.C(n_18964),
+	.D(n_18951),
+	.Y(n_19206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686405 (
+	.A(n_18967),
+	.B(n_18966),
+	.C(n_18961),
+	.D(n_18963),
+	.Y(n_19205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g686406 (
+	.A1(n_17555),
+	.A2(brqrv_top_brqrv_exu_i0_br_index_r[8]),
+	.B1(n_17574),
+	.C1(n_19051),
+	.Y(n_19217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686407 (
+	.A(n_19041),
+	.B(n_19049),
+	.C(n_18856),
+	.D(n_18859),
+	.Y(n_19204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686408 (
+	.A(n_18947),
+	.B(n_18950),
+	.C(n_18939),
+	.D(n_18934),
+	.Y(n_19203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686409 (
+	.A(n_18945),
+	.B(n_18944),
+	.C(n_18938),
+	.D(n_18941),
+	.Y(n_19202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686410 (
+	.A(n_18940),
+	.B(n_18937),
+	.C(n_18933),
+	.D(n_18930),
+	.Y(n_19201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686411 (
+	.A(n_18935),
+	.B(n_18936),
+	.C(n_18932),
+	.D(n_18931),
+	.Y(n_19200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686412 (
+	.A(n_18911),
+	.B(n_18912),
+	.C(n_18913),
+	.D(n_18910),
+	.Y(n_19199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686413 (
+	.A(n_18898),
+	.B(n_18899),
+	.C(n_18891),
+	.D(n_18894),
+	.Y(n_19198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686414 (
+	.A(n_18893),
+	.B(n_18897),
+	.C(n_18892),
+	.D(n_18896),
+	.Y(n_19197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686415 (
+	.A(n_18875),
+	.B(n_18879),
+	.C(n_18882),
+	.D(n_18886),
+	.Y(n_19196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686416 (
+	.A(n_18880),
+	.B(n_18881),
+	.C(n_18877),
+	.D(n_18876),
+	.Y(n_19195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686417 (
+	.A(n_18868),
+	.B(n_18870),
+	.C(n_18872),
+	.D(n_18873),
+	.Y(n_19194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686418 (
+	.A(n_18869),
+	.B(n_18871),
+	.C(n_18862),
+	.D(n_18864),
+	.Y(n_19193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686419 (
+	.A(n_18867),
+	.B(n_18861),
+	.C(n_18863),
+	.D(n_18866),
+	.Y(n_19192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g686420 (
+	.A(n_18957),
+	.B(n_18955),
+	.C(n_18958),
+	.D(n_18959),
+	.Y(n_19191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686421 (
+	.A(n_18745),
+	.B(n_18743),
+	.C(n_18742),
+	.D(n_18744),
+	.Y(n_19190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686422 (
+	.A1(n_17746),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1429]),
+	.B1(n_17724),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1452]),
+	.C1(n_19038),
+	.Y(n_19189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686423 (
+	.A1(n_11608),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1153]),
+	.B1(n_11451),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1176]),
+	.C1(n_19099),
+	.Y(n_19188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686424 (
+	.A1(n_17730),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1034]),
+	.B1(n_17731),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1057]),
+	.C1(n_19098),
+	.Y(n_19187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686425 (
+	.A1(n_17722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [896]),
+	.B1(n_17723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [919]),
+	.C1(n_19097),
+	.Y(n_19186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686426 (
+	.A(n_18731),
+	.B(n_18728),
+	.C(n_18732),
+	.D(n_18729),
+	.Y(n_19185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686427 (
+	.A(n_18719),
+	.B(n_18718),
+	.C(n_18703),
+	.D(n_18707),
+	.Y(n_19184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686428 (
+	.A1(n_17729),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [923]),
+	.B1(n_17726),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [946]),
+	.C1(n_19096),
+	.Y(n_19183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686429 (
+	.A1(n_11484),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [739]),
+	.B1(n_11552),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [762]),
+	.C1(n_19095),
+	.Y(n_19182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686430 (
+	.A(n_18687),
+	.B(n_18695),
+	.C(n_18680),
+	.D(n_18683),
+	.Y(n_19181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686431 (
+	.A(n_18717),
+	.B(n_18715),
+	.C(n_18716),
+	.D(n_18714),
+	.Y(n_19180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686432 (
+	.A(n_18713),
+	.B(n_18711),
+	.C(n_18710),
+	.D(n_18712),
+	.Y(n_19179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686433 (
+	.A(n_18708),
+	.B(n_18709),
+	.C(n_18705),
+	.D(n_18706),
+	.Y(n_19178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686434 (
+	.A(n_18704),
+	.B(n_18702),
+	.C(n_18699),
+	.D(n_18697),
+	.Y(n_19177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686435 (
+	.A1(n_11454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1306]),
+	.B1(n_17741),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1329]),
+	.C1(n_19094),
+	.Y(n_19176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686436 (
+	.A1(n_11605),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1122]),
+	.B1(n_17719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1145]),
+	.C1(n_19093),
+	.Y(n_19175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686437 (
+	.A(n_18693),
+	.B(n_18689),
+	.C(n_18690),
+	.D(n_18691),
+	.Y(n_19174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686438 (
+	.A1(n_11600),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1351]),
+	.B1(n_17743),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1374]),
+	.C1(n_19092),
+	.Y(n_19173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686439 (
+	.A(n_18688),
+	.B(n_18655),
+	.C(n_18643),
+	.D(n_18658),
+	.Y(n_19172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686440 (
+	.A1(n_11413),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [65]),
+	.B1(n_17714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [88]),
+	.C1(n_19090),
+	.Y(n_19171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686441 (
+	.A(n_18675),
+	.B(n_18674),
+	.C(n_18669),
+	.D(n_18671),
+	.Y(n_19170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686442 (
+	.A1(n_11608),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1167]),
+	.B1(n_11451),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1190]),
+	.C1(n_19091),
+	.Y(n_19169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686443 (
+	.A(n_18672),
+	.B(n_18673),
+	.C(n_18668),
+	.D(n_18670),
+	.Y(n_19168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686444 (
+	.A(n_18667),
+	.B(n_18664),
+	.C(n_18663),
+	.D(n_18666),
+	.Y(n_19167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686445 (
+	.A(n_18662),
+	.B(n_18665),
+	.C(n_18660),
+	.D(n_18661),
+	.Y(n_19166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686446 (
+	.A1(n_17715),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [155]),
+	.B1(n_17716),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [178]),
+	.C1(n_19089),
+	.Y(n_19165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686447 (
+	.A(n_18654),
+	.B(n_18652),
+	.C(n_18651),
+	.D(n_18653),
+	.Y(n_19164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686448 (
+	.A(n_18650),
+	.B(n_18649),
+	.C(n_18648),
+	.D(n_18647),
+	.Y(n_19163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686449 (
+	.A(n_18645),
+	.B(n_18646),
+	.C(n_18642),
+	.D(n_18644),
+	.Y(n_19162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686450 (
+	.A(n_18641),
+	.B(n_18624),
+	.C(n_18612),
+	.D(n_18601),
+	.Y(n_19161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686451 (
+	.A(n_18639),
+	.B(n_18637),
+	.C(n_18638),
+	.D(n_18640),
+	.Y(n_19160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686452 (
+	.A(n_18636),
+	.B(n_18634),
+	.C(n_18633),
+	.D(n_18635),
+	.Y(n_19159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686453 (
+	.A(n_18632),
+	.B(n_18630),
+	.C(n_18629),
+	.D(n_18631),
+	.Y(n_19158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686454 (
+	.A1(n_17729),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [939]),
+	.B1(n_17726),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [962]),
+	.C1(n_19088),
+	.Y(n_19157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686455 (
+	.A1(n_17730),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1033]),
+	.B1(n_17731),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1056]),
+	.C1(n_19087),
+	.Y(n_19156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686456 (
+	.A1(n_17739),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [801]),
+	.B1(n_17732),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [824]),
+	.C1(n_19086),
+	.Y(n_19155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686457 (
+	.A1(n_17729),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [937]),
+	.B1(n_17726),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [960]),
+	.C1(n_19084),
+	.Y(n_19154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686458 (
+	.A1(n_17744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [849]),
+	.B1(n_11430),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [872]),
+	.C1(n_19085),
+	.Y(n_19153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686459 (
+	.A(n_18608),
+	.B(n_18606),
+	.C(n_18605),
+	.D(n_18607),
+	.Y(n_19152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686460 (
+	.A1(n_11484),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [753]),
+	.B1(n_11552),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [776]),
+	.C1(n_19083),
+	.Y(n_19151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686461 (
+	.A1(n_17727),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1080]),
+	.B1(n_11399),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1103]),
+	.C1(n_19082),
+	.Y(n_19150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686462 (
+	.A1(n_17739),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [804]),
+	.B1(n_17732),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [827]),
+	.C1(n_19081),
+	.Y(n_19149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686463 (
+	.A1(n_11413),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [68]),
+	.B1(n_17714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [91]),
+	.C1(n_19080),
+	.Y(n_19148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686464 (
+	.A1(n_11454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1309]),
+	.B1(n_17741),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1332]),
+	.C1(n_19079),
+	.Y(n_19147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686465 (
+	.A(n_18749),
+	.B(n_18438),
+	.C(n_18590),
+	.D(n_18591),
+	.Y(n_19146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686466 (
+	.A(n_18589),
+	.B(n_18584),
+	.C(n_18587),
+	.D(n_18585),
+	.Y(n_19145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686467 (
+	.A1(n_17713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1217]),
+	.B1(n_17734),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1240]),
+	.C1(n_19078),
+	.Y(n_19144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686468 (
+	.A1(n_11454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1308]),
+	.B1(n_17741),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1331]),
+	.C1(n_19077),
+	.Y(n_19143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686469 (
+	.A1(n_11605),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1124]),
+	.B1(n_17719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1147]),
+	.C1(n_19076),
+	.Y(n_19142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686470 (
+	.A(n_18573),
+	.B(n_18574),
+	.C(n_18571),
+	.D(n_18572),
+	.Y(n_19141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686471 (
+	.A1(n_17733),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [986]),
+	.B1(n_17709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1009]),
+	.C1(n_19075),
+	.Y(n_19140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686472 (
+	.A1(n_17722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [894]),
+	.B1(n_17723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [917]),
+	.C1(n_19074),
+	.Y(n_19139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686473 (
+	.A1(n_17733),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [986]),
+	.B1(n_17709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1009]),
+	.C1(n_19073),
+	.Y(n_19138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686474 (
+	.A1(n_17717),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [92]),
+	.B1(n_17718),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [115]),
+	.C1(n_19072),
+	.Y(n_19137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686475 (
+	.A1(n_11484),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [756]),
+	.B1(n_11552),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [779]),
+	.C1(n_19071),
+	.Y(n_19136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686476 (
+	.A(n_18559),
+	.B(n_18556),
+	.C(n_18557),
+	.D(n_18555),
+	.Y(n_19135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686477 (
+	.A(n_18550),
+	.B(n_18552),
+	.C(n_18553),
+	.D(n_18549),
+	.Y(n_19134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686478 (
+	.A1(n_17733),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [984]),
+	.B1(n_17709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1007]),
+	.C1(n_19068),
+	.Y(n_19133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686479 (
+	.A1(n_17730),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1012]),
+	.B1(n_17731),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1035]),
+	.C1(n_19070),
+	.Y(n_19132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686480 (
+	.A1(n_17739),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [782]),
+	.B1(n_17732),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [805]),
+	.C1(n_19069),
+	.Y(n_19131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686481 (
+	.A1(n_17730),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1012]),
+	.B1(n_17731),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1035]),
+	.C1(n_19067),
+	.Y(n_19130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686482 (
+	.A1(n_17717),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [112]),
+	.B1(n_17718),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [135]),
+	.C1(n_19066),
+	.Y(n_19129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686483 (
+	.A(n_18541),
+	.B(n_18540),
+	.C(n_18537),
+	.D(n_18536),
+	.Y(n_19128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686484 (
+	.A1(n_17722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [874]),
+	.B1(n_17723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [897]),
+	.C1(n_19065),
+	.Y(n_19127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686485 (
+	.A(n_18534),
+	.B(n_18533),
+	.C(n_18530),
+	.D(n_18531),
+	.Y(n_19126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686486 (
+	.A1(n_17722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [892]),
+	.B1(n_17723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [915]),
+	.C1(n_19063),
+	.Y(n_19125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686487 (
+	.A(n_18521),
+	.B(n_18517),
+	.C(n_18515),
+	.D(n_18514),
+	.Y(n_19124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686488 (
+	.A1(n_11594),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [0]),
+	.B1(n_11452),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [23]),
+	.C1(n_19064),
+	.Y(n_19123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686489 (
+	.A(n_18512),
+	.B(n_18508),
+	.C(n_18509),
+	.D(n_18513),
+	.Y(n_19122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686490 (
+	.A(n_18506),
+	.B(n_18507),
+	.C(n_18504),
+	.D(n_18505),
+	.Y(n_19121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686491 (
+	.A(n_18503),
+	.B(n_18502),
+	.C(n_18500),
+	.D(n_18501),
+	.Y(n_19120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686492 (
+	.A(n_18499),
+	.B(n_18498),
+	.C(n_18496),
+	.D(n_18497),
+	.Y(n_19119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686493 (
+	.A1(n_11600),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1337]),
+	.B1(n_17743),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1360]),
+	.C1(n_19062),
+	.Y(n_19118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686494 (
+	.A1(n_17733),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [987]),
+	.B1(n_17709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1010]),
+	.C1(n_19061),
+	.Y(n_19117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686495 (
+	.A1(n_17739),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [803]),
+	.B1(n_17732),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [826]),
+	.C1(n_19060),
+	.Y(n_19116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686496 (
+	.A1(n_17735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1245]),
+	.B1(n_17736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1268]),
+	.C1(n_19058),
+	.Y(n_19115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686497 (
+	.A1(n_11413),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [49]),
+	.B1(n_17714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [72]),
+	.C1(n_19057),
+	.Y(n_19114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686498 (
+	.A(n_18479),
+	.B(n_18478),
+	.C(n_18475),
+	.D(n_18476),
+	.Y(n_19113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686499 (
+	.A(n_18474),
+	.B(n_18472),
+	.C(n_18470),
+	.D(n_18471),
+	.Y(n_19112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686500 (
+	.A1(n_11413),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [64]),
+	.B1(n_17714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [87]),
+	.C1(n_19059),
+	.Y(n_19111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686501 (
+	.A(n_18468),
+	.B(n_18469),
+	.C(n_18467),
+	.D(n_18466),
+	.Y(n_19110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686502 (
+	.A(n_18464),
+	.B(n_18462),
+	.C(n_18465),
+	.D(n_18461),
+	.Y(n_19109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686503 (
+	.A(n_18456),
+	.B(n_18459),
+	.C(n_18457),
+	.D(n_18458),
+	.Y(n_19108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686504 (
+	.A(n_18452),
+	.B(n_18453),
+	.C(n_18451),
+	.D(n_18454),
+	.Y(n_19107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686505 (
+	.A1(n_17717),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [96]),
+	.B1(n_17718),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [119]),
+	.C1(n_19056),
+	.Y(n_19106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686506 (
+	.A(n_18449),
+	.B(n_18450),
+	.C(n_18447),
+	.D(n_18448),
+	.Y(n_19105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686507 (
+	.A1(n_17728),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1402]),
+	.B1(n_17745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1425]),
+	.C1(n_19055),
+	.Y(n_19104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686508 (
+	.A1(n_17730),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1016]),
+	.B1(n_17731),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1039]),
+	.C1(n_19054),
+	.Y(n_19103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686509 (
+	.A1(n_17713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1218]),
+	.B1(n_17734),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1241]),
+	.C1(n_19053),
+	.Y(n_19102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686510 (
+	.A1(n_17739),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [786]),
+	.B1(n_17732),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [809]),
+	.C1(n_19052),
+	.Y(n_19101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686511 (
+	.A(n_18400),
+	.B(n_18403),
+	.C(n_18390),
+	.D(n_18395),
+	.Y(n_19100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686512 (
+	.A(n_18736),
+	.B(n_18738),
+	.C(n_18737),
+	.Y(n_19099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686513 (
+	.A(n_18735),
+	.B(n_18734),
+	.C(n_18733),
+	.Y(n_19098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686514 (
+	.A(n_18727),
+	.B(n_18730),
+	.C(n_18726),
+	.Y(n_19097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686515 (
+	.A(n_18725),
+	.B(n_18724),
+	.C(n_18723),
+	.Y(n_19096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686516 (
+	.A(n_18721),
+	.B(n_18720),
+	.C(n_18722),
+	.Y(n_19095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686517 (
+	.A(n_18701),
+	.B(n_18698),
+	.C(n_18700),
+	.Y(n_19094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686518 (
+	.A(n_18694),
+	.B(n_18696),
+	.C(n_18692),
+	.Y(n_19093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686519 (
+	.A(n_18686),
+	.B(n_18685),
+	.C(n_18684),
+	.Y(n_19092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686520 (
+	.A(n_18681),
+	.B(n_18678),
+	.C(n_18682),
+	.Y(n_19091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686521 (
+	.A(n_18679),
+	.B(n_18677),
+	.C(n_18676),
+	.Y(n_19090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686522 (
+	.A(n_18657),
+	.B(n_18659),
+	.C(n_18656),
+	.Y(n_19089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686523 (
+	.A(n_18628),
+	.B(n_18627),
+	.C(n_18626),
+	.Y(n_19088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686524 (
+	.A(n_18625),
+	.B(n_18622),
+	.C(n_18620),
+	.Y(n_19087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686525 (
+	.A(n_18619),
+	.B(n_18623),
+	.C(n_18621),
+	.Y(n_19086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686526 (
+	.A(n_18618),
+	.B(n_18617),
+	.C(n_18616),
+	.Y(n_19085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686527 (
+	.A(n_18615),
+	.B(n_18614),
+	.C(n_18613),
+	.Y(n_19084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686528 (
+	.A(n_18610),
+	.B(n_18611),
+	.C(n_18609),
+	.Y(n_19083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686529 (
+	.A(n_18602),
+	.B(n_18604),
+	.C(n_18603),
+	.Y(n_19082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686530 (
+	.A(n_18600),
+	.B(n_18598),
+	.C(n_18599),
+	.Y(n_19081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686531 (
+	.A(n_18596),
+	.B(n_18597),
+	.C(n_18595),
+	.Y(n_19080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686532 (
+	.A(n_18586),
+	.B(n_18592),
+	.C(n_18588),
+	.Y(n_19079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686533 (
+	.A(n_18582),
+	.B(n_18581),
+	.C(n_18583),
+	.Y(n_19078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686534 (
+	.A(n_18580),
+	.B(n_18579),
+	.C(n_18578),
+	.Y(n_19077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686535 (
+	.A(n_18576),
+	.B(n_18577),
+	.C(n_18575),
+	.Y(n_19076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686536 (
+	.A(n_18569),
+	.B(n_18568),
+	.C(n_18570),
+	.Y(n_19075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686537 (
+	.A(n_18566),
+	.B(n_18565),
+	.C(n_18567),
+	.Y(n_19074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686538 (
+	.A(n_18564),
+	.B(n_18562),
+	.C(n_18558),
+	.Y(n_19073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686539 (
+	.A(n_18561),
+	.B(n_18563),
+	.C(n_18560),
+	.Y(n_19072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686540 (
+	.A(n_18554),
+	.B(n_18551),
+	.C(n_18548),
+	.Y(n_19071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686541 (
+	.A(n_18547),
+	.B(n_18546),
+	.C(n_18545),
+	.Y(n_19070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686542 (
+	.A(n_18543),
+	.B(n_18544),
+	.C(n_18542),
+	.Y(n_19069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686543 (
+	.A(n_18539),
+	.B(n_18529),
+	.C(n_18527),
+	.Y(n_19068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686544 (
+	.A(n_18538),
+	.B(n_18535),
+	.C(n_18532),
+	.Y(n_19067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686545 (
+	.A(n_18525),
+	.B(n_18528),
+	.C(n_18522),
+	.Y(n_19066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686546 (
+	.A(n_18524),
+	.B(n_18526),
+	.C(n_18523),
+	.Y(n_19065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686547 (
+	.A(n_18519),
+	.B(n_18518),
+	.C(n_18520),
+	.Y(n_19064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686548 (
+	.A(n_18516),
+	.B(n_18510),
+	.C(n_18511),
+	.Y(n_19063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686549 (
+	.A(n_18493),
+	.B(n_18491),
+	.C(n_18487),
+	.Y(n_19062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686550 (
+	.A(n_18492),
+	.B(n_18494),
+	.C(n_18495),
+	.Y(n_19061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686551 (
+	.A(n_18489),
+	.B(n_18488),
+	.C(n_18490),
+	.Y(n_19060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686552 (
+	.A(n_18477),
+	.B(n_18473),
+	.C(n_18483),
+	.Y(n_19059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686553 (
+	.A(n_18486),
+	.B(n_18485),
+	.C(n_18484),
+	.Y(n_19058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686554 (
+	.A(n_18481),
+	.B(n_18482),
+	.C(n_18480),
+	.Y(n_19057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686555 (
+	.A(n_18463),
+	.B(n_18460),
+	.C(n_18455),
+	.Y(n_19056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686556 (
+	.A(n_18445),
+	.B(n_18446),
+	.C(n_18443),
+	.Y(n_19055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686557 (
+	.A(n_18444),
+	.B(n_18442),
+	.C(n_18441),
+	.Y(n_19054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686558 (
+	.A(n_18593),
+	.B(n_18747),
+	.C(n_18746),
+	.Y(n_19053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686559 (
+	.A(n_18748),
+	.B(n_18440),
+	.C(n_18439),
+	.Y(n_19052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g686560 (
+	.A(n_30263),
+	.B(n_17573),
+	.C(n_17583),
+	.D(n_18594),
+	.X(n_19051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686561 (
+	.A(n_18380),
+	.B(n_18342),
+	.C(n_18363),
+	.D(n_18354),
+	.Y(n_19050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686562 (
+	.A1(n_17643),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [616]),
+	.B1(n_17645),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [639]),
+	.C1(n_18802),
+	.Y(n_19049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686563 (
+	.A(n_18435),
+	.B(n_18265),
+	.C(n_18434),
+	.D(n_18436),
+	.Y(n_19048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686564 (
+	.A(n_18433),
+	.B(n_18430),
+	.C(n_18427),
+	.D(n_18431),
+	.Y(n_19047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686565 (
+	.A1(n_17647),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [574]),
+	.B1(n_17650),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [597]),
+	.C1(n_18851),
+	.Y(n_19046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686566 (
+	.A(n_18422),
+	.B(n_18420),
+	.C(n_18419),
+	.D(n_18426),
+	.Y(n_19045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686567 (
+	.A1(n_17694),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [390]),
+	.B1(n_11647),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [413]),
+	.C1(n_18850),
+	.Y(n_19044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686568 (
+	.A(n_18417),
+	.B(n_18416),
+	.C(n_18414),
+	.D(n_18412),
+	.Y(n_19043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686569 (
+	.A(n_18405),
+	.B(n_18407),
+	.C(n_18408),
+	.D(n_18409),
+	.Y(n_19042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686570 (
+	.A1(n_17652),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [432]),
+	.B1(n_11559),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [455]),
+	.C1(n_18849),
+	.Y(n_19041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686571 (
+	.A(n_18399),
+	.B(n_18401),
+	.C(n_18402),
+	.D(n_18398),
+	.Y(n_19040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686572 (
+	.A(n_18391),
+	.B(n_18389),
+	.C(n_18393),
+	.D(n_18394),
+	.Y(n_19039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686573 (
+	.A(n_18740),
+	.B(n_18739),
+	.C(n_18741),
+	.Y(n_19038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686574 (
+	.A(n_18387),
+	.B(n_18386),
+	.C(n_18388),
+	.D(n_18385),
+	.Y(n_19037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686575 (
+	.A1(n_17672),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2579]),
+	.B1(n_17612),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2602]),
+	.C1(n_18848),
+	.Y(n_19036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686576 (
+	.A1(n_17695),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2782]),
+	.B1(n_17613),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2805]),
+	.C1(n_18846),
+	.Y(n_19035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686577 (
+	.A1(n_17687),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2487]),
+	.B1(n_17660),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2510]),
+	.C1(n_18847),
+	.Y(n_19034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686578 (
+	.A(n_18374),
+	.B(n_18371),
+	.C(n_18358),
+	.D(n_18367),
+	.Y(n_19033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686579 (
+	.A(n_18315),
+	.B(n_18369),
+	.C(n_18292),
+	.D(n_18350),
+	.Y(n_19032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686580 (
+	.A1(n_17637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [693]),
+	.B1(n_17630),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [716]),
+	.C1(n_18844),
+	.Y(n_19031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686581 (
+	.A1(n_17672),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2598]),
+	.B1(n_17612),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2621]),
+	.C1(n_18845),
+	.Y(n_19030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686582 (
+	.A1(n_17662),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [463]),
+	.B1(n_17665),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [486]),
+	.C1(n_18843),
+	.Y(n_19029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686583 (
+	.A(n_18357),
+	.B(n_18348),
+	.C(n_18349),
+	.D(n_18351),
+	.Y(n_19028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686584 (
+	.A(n_18353),
+	.B(n_18356),
+	.C(n_18352),
+	.D(n_18355),
+	.Y(n_19027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686585 (
+	.A1(n_17675),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2165]),
+	.B1(n_11624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2188]),
+	.C1(n_18842),
+	.Y(n_19026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686586 (
+	.A(n_18335),
+	.B(n_18340),
+	.C(n_18331),
+	.D(n_18337),
+	.Y(n_19025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686587 (
+	.A1(n_17690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1935]),
+	.B1(n_17669),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1958]),
+	.C1(n_18841),
+	.Y(n_19024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686588 (
+	.A1(n_11437),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2089]),
+	.B1(n_17615),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2112]),
+	.C1(n_18840),
+	.Y(n_19023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686589 (
+	.A1(n_11428),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1890]),
+	.B1(n_11440),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1913]),
+	.C1(n_18830),
+	.Y(n_19022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686590 (
+	.A1(n_17699),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2641]),
+	.B1(n_17703),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2664]),
+	.C1(n_18839),
+	.Y(n_19021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686591 (
+	.A1(n_11584),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2042]),
+	.B1(n_17668),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2065]),
+	.C1(n_18838),
+	.Y(n_19020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686592 (
+	.A1(n_17699),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2640]),
+	.B1(n_17703),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2663]),
+	.C1(n_18837),
+	.Y(n_19019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686593 (
+	.A1(n_17611),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2825]),
+	.B1(n_17638),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2848]),
+	.C1(n_18836),
+	.Y(n_19018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686594 (
+	.A1(n_17687),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2502]),
+	.B1(n_17660),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2525]),
+	.C1(n_18835),
+	.Y(n_19017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686595 (
+	.A1(n_17654),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1858]),
+	.B1(n_17702),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1881]),
+	.C1(n_18834),
+	.Y(n_19016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686596 (
+	.A1(n_17684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2319]),
+	.B1(n_17644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2342]),
+	.C1(n_18833),
+	.Y(n_19015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686597 (
+	.A(n_18304),
+	.B(n_18306),
+	.C(n_18308),
+	.D(n_18302),
+	.Y(n_19014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686598 (
+	.A(n_18324),
+	.B(n_18311),
+	.C(n_18305),
+	.D(n_18318),
+	.Y(n_19013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686599 (
+	.A(n_18300),
+	.B(n_18299),
+	.C(n_18296),
+	.D(n_18298),
+	.Y(n_19012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686600 (
+	.A(n_18297),
+	.B(n_18290),
+	.C(n_18291),
+	.D(n_18295),
+	.Y(n_19011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686601 (
+	.A1(n_17673),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1581]),
+	.B1(n_17632),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1604]),
+	.C1(n_18832),
+	.Y(n_19010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686602 (
+	.A(n_18288),
+	.B(n_18286),
+	.C(n_18280),
+	.D(n_18283),
+	.Y(n_19009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686603 (
+	.A(n_18285),
+	.B(n_18287),
+	.C(n_18281),
+	.D(n_18282),
+	.Y(n_19008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686604 (
+	.A1(n_11437),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2087]),
+	.B1(n_17615),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2110]),
+	.C1(n_18831),
+	.Y(n_19007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686605 (
+	.A(n_18271),
+	.B(n_18274),
+	.C(n_18273),
+	.D(n_18272),
+	.Y(n_19006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686606 (
+	.A(n_18270),
+	.B(n_18268),
+	.C(n_18267),
+	.D(n_18437),
+	.Y(n_19005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686607 (
+	.A(n_18257),
+	.B(n_18260),
+	.C(n_18263),
+	.D(n_18266),
+	.Y(n_19004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686608 (
+	.A(n_18264),
+	.B(n_18262),
+	.C(n_18259),
+	.D(n_18261),
+	.Y(n_19003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686609 (
+	.A(n_18258),
+	.B(n_18255),
+	.C(n_18253),
+	.D(n_18256),
+	.Y(n_19002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686610 (
+	.A(n_18252),
+	.B(n_18254),
+	.C(n_18247),
+	.D(n_18250),
+	.Y(n_19001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686611 (
+	.A1(n_17695),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2777]),
+	.B1(n_17613),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2800]),
+	.C1(n_18829),
+	.Y(n_19000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686612 (
+	.A1(n_17692),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2271]),
+	.B1(n_17693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2294]),
+	.C1(n_18828),
+	.Y(n_18999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686613 (
+	.A1(n_17622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [203]),
+	.B1(n_17624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [226]),
+	.C1(n_18827),
+	.Y(n_18998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686614 (
+	.A1(n_11472),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2731]),
+	.B1(n_17617),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2754]),
+	.C1(n_18826),
+	.Y(n_18997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686615 (
+	.A1(n_17677),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1765]),
+	.B1(n_17680),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1788]),
+	.C1(n_18825),
+	.Y(n_18996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686616 (
+	.A(n_18230),
+	.B(n_18231),
+	.C(n_18228),
+	.D(n_18232),
+	.Y(n_18995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686617 (
+	.A(n_18224),
+	.B(n_18225),
+	.C(n_18223),
+	.D(n_18226),
+	.Y(n_18994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686618 (
+	.A1(n_17647),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [569]),
+	.B1(n_17650),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [592]),
+	.C1(n_18824),
+	.Y(n_18993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686619 (
+	.A(n_18212),
+	.B(n_18213),
+	.C(n_18216),
+	.D(n_18215),
+	.Y(n_18992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686620 (
+	.A(n_18210),
+	.B(n_18209),
+	.C(n_18207),
+	.D(n_18211),
+	.Y(n_18991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686621 (
+	.A(n_18208),
+	.B(n_18203),
+	.C(n_18201),
+	.D(n_18206),
+	.Y(n_18990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686622 (
+	.A1(n_17619),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [523]),
+	.B1(n_17679),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [546]),
+	.C1(n_18822),
+	.Y(n_18989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686623 (
+	.A1(n_17626),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [339]),
+	.B1(n_17627),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [362]),
+	.C1(n_18823),
+	.Y(n_18988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686624 (
+	.A(n_18198),
+	.B(n_18193),
+	.C(n_18190),
+	.D(n_18197),
+	.Y(n_18987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686625 (
+	.A(n_18196),
+	.B(n_18195),
+	.C(n_18191),
+	.D(n_18192),
+	.Y(n_18986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686626 (
+	.A(n_18185),
+	.B(n_18181),
+	.C(n_18177),
+	.D(n_18183),
+	.Y(n_18985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686627 (
+	.A(n_18189),
+	.B(n_18188),
+	.C(n_18186),
+	.D(n_18187),
+	.Y(n_18984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686628 (
+	.A(n_18180),
+	.B(n_18182),
+	.C(n_18179),
+	.D(n_18184),
+	.Y(n_18983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686629 (
+	.A(n_18174),
+	.B(n_18171),
+	.C(n_18169),
+	.D(n_18176),
+	.Y(n_18982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686630 (
+	.A1(n_17695),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2779]),
+	.B1(n_17613),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2802]),
+	.C1(n_18821),
+	.Y(n_18981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686631 (
+	.A1(n_17690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1951]),
+	.B1(n_17669),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1974]),
+	.C1(n_18820),
+	.Y(n_18980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686632 (
+	.A1(n_17672),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2595]),
+	.B1(n_17612),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2618]),
+	.C1(n_18819),
+	.Y(n_18979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686633 (
+	.A(n_18166),
+	.B(n_18160),
+	.C(n_18158),
+	.D(n_18163),
+	.Y(n_18978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686634 (
+	.A1(n_17683),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1721]),
+	.B1(n_17688),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1744]),
+	.C1(n_18818),
+	.Y(n_18977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686635 (
+	.A1(n_11406),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2456]),
+	.B1(n_17666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2479]),
+	.C1(n_18786),
+	.Y(n_18976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686636 (
+	.A(n_18156),
+	.B(n_18154),
+	.C(n_18151),
+	.D(n_18153),
+	.Y(n_18975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686637 (
+	.A(n_18145),
+	.B(n_18148),
+	.C(n_18147),
+	.D(n_18150),
+	.Y(n_18974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686638 (
+	.A1(n_17695),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2777]),
+	.B1(n_17613),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2800]),
+	.C1(n_18817),
+	.Y(n_18973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686639 (
+	.A1(n_17681),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2229]),
+	.B1(n_11582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2252]),
+	.C1(n_18816),
+	.Y(n_18972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686640 (
+	.A(n_18139),
+	.B(n_18127),
+	.C(n_18119),
+	.D(n_18130),
+	.Y(n_18971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686641 (
+	.A1(n_17695),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2781]),
+	.B1(n_17613),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2804]),
+	.C1(n_18815),
+	.Y(n_18970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686642 (
+	.A(n_18138),
+	.B(n_18134),
+	.C(n_18136),
+	.D(n_18133),
+	.Y(n_18969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686643 (
+	.A1(n_17672),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2593]),
+	.B1(n_17612),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2616]),
+	.C1(n_18814),
+	.Y(n_18968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686644 (
+	.A(n_18126),
+	.B(n_18124),
+	.C(n_18123),
+	.D(n_18122),
+	.Y(n_18967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686645 (
+	.A(n_18121),
+	.B(n_18117),
+	.C(n_18120),
+	.D(n_18118),
+	.Y(n_18966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686646 (
+	.A1(n_11437),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2074]),
+	.B1(n_17615),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2097]),
+	.C1(n_18808),
+	.Y(n_18965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686647 (
+	.A(n_18083),
+	.B(n_18108),
+	.C(n_18075),
+	.D(n_18097),
+	.Y(n_18964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686648 (
+	.A(n_18116),
+	.B(n_18115),
+	.C(n_18114),
+	.D(n_18112),
+	.Y(n_18963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686649 (
+	.A1(n_17647),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [573]),
+	.B1(n_17650),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [596]),
+	.C1(n_18813),
+	.Y(n_18962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686650 (
+	.A(n_18110),
+	.B(n_18111),
+	.C(n_18106),
+	.D(n_18107),
+	.Y(n_18961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686651 (
+	.A1(n_17652),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [435]),
+	.B1(n_11559),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [458]),
+	.C1(n_18812),
+	.Y(n_18960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686652 (
+	.A(n_18104),
+	.B(n_18103),
+	.C(n_18100),
+	.D(n_18102),
+	.Y(n_18959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686653 (
+	.A(n_18099),
+	.B(n_18096),
+	.C(n_17749),
+	.D(n_18094),
+	.Y(n_18958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686654 (
+	.A(n_18089),
+	.B(n_18087),
+	.C(n_18090),
+	.D(n_18092),
+	.Y(n_18957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686655 (
+	.A(n_18091),
+	.B(n_18085),
+	.C(n_18081),
+	.D(n_18088),
+	.Y(n_18956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686656 (
+	.A(n_18084),
+	.B(n_18086),
+	.C(n_18080),
+	.D(n_18082),
+	.Y(n_18955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686657 (
+	.A1(n_17661),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2000]),
+	.B1(n_17664),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2023]),
+	.C1(n_18811),
+	.Y(n_18954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686658 (
+	.A1(n_17635),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2920]),
+	.B1(n_17686),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2943]),
+	.C1(n_18810),
+	.Y(n_18953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686659 (
+	.A1(n_11437),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2091]),
+	.B1(n_17615),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2114]),
+	.C1(n_18809),
+	.Y(n_18952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686660 (
+	.A(n_18056),
+	.B(n_18068),
+	.C(n_18047),
+	.D(n_18057),
+	.Y(n_18951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686661 (
+	.A(n_18069),
+	.B(n_18066),
+	.C(n_18058),
+	.D(n_18244),
+	.Y(n_18950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686662 (
+	.A1(n_11428),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1907]),
+	.B1(n_11440),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1930]),
+	.C1(n_18806),
+	.Y(n_18949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686663 (
+	.A1(n_17629),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [298]),
+	.B1(n_17640),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [321]),
+	.C1(n_18807),
+	.Y(n_18948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686664 (
+	.A(n_18054),
+	.B(n_18055),
+	.C(n_18040),
+	.D(n_18049),
+	.Y(n_18947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686665 (
+	.A(n_17944),
+	.B(n_18006),
+	.C(n_17983),
+	.D(n_18052),
+	.Y(n_18946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686666 (
+	.A1(n_17637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [712]),
+	.B1(n_17630),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [735]),
+	.C1(n_18805),
+	.Y(n_18945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686667 (
+	.A1(n_17619),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [528]),
+	.B1(n_17679),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [551]),
+	.C1(n_18804),
+	.Y(n_18944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686668 (
+	.A1(n_17635),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2902]),
+	.B1(n_17686),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2925]),
+	.C1(n_18799),
+	.Y(n_18943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686669 (
+	.A(n_18031),
+	.B(n_18004),
+	.C(n_18022),
+	.D(n_17994),
+	.Y(n_18942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686670 (
+	.A1(n_17671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1816]),
+	.B1(n_17674),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1839]),
+	.C1(n_18803),
+	.Y(n_18941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686671 (
+	.A(n_18039),
+	.B(n_18033),
+	.C(n_18036),
+	.D(n_18043),
+	.Y(n_18940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686672 (
+	.A(n_18038),
+	.B(n_18021),
+	.C(n_18014),
+	.D(n_18030),
+	.Y(n_18939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686673 (
+	.A1(n_17648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1632]),
+	.B1(n_17633),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1655]),
+	.C1(n_18852),
+	.Y(n_18938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686674 (
+	.A(n_18017),
+	.B(n_18028),
+	.C(n_18024),
+	.D(n_18019),
+	.Y(n_18937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686675 (
+	.A(n_18029),
+	.B(n_18027),
+	.C(n_18023),
+	.D(n_18025),
+	.Y(n_18936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686676 (
+	.A(n_18018),
+	.B(n_18016),
+	.C(n_18015),
+	.D(n_18020),
+	.Y(n_18935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686677 (
+	.A(n_17990),
+	.B(n_17984),
+	.C(n_17996),
+	.D(n_18005),
+	.Y(n_18934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686678 (
+	.A(n_18000),
+	.B(n_18012),
+	.C(n_18008),
+	.D(n_18002),
+	.Y(n_18933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686679 (
+	.A(n_18013),
+	.B(n_18011),
+	.C(n_18007),
+	.D(n_18009),
+	.Y(n_18932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686680 (
+	.A(n_18003),
+	.B(n_17999),
+	.C(n_17998),
+	.D(n_18001),
+	.Y(n_18931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686681 (
+	.A(n_17989),
+	.B(n_17997),
+	.C(n_17987),
+	.D(n_17993),
+	.Y(n_18930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686682 (
+	.A1(n_17641),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2366]),
+	.B1(n_17689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2389]),
+	.C1(n_18801),
+	.Y(n_18929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686683 (
+	.A1(n_17635),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2918]),
+	.B1(n_17686),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2941]),
+	.C1(n_18800),
+	.Y(n_18928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686684 (
+	.A1(n_17673),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1584]),
+	.B1(n_17632),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1607]),
+	.C1(n_18797),
+	.Y(n_18927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686685 (
+	.A1(n_11428),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1886]),
+	.B1(n_11440),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1909]),
+	.C1(n_18798),
+	.Y(n_18926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686686 (
+	.A1(n_17683),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1702]),
+	.B1(n_17688),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1725]),
+	.C1(n_18795),
+	.Y(n_18925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686687 (
+	.A1(n_17637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [710]),
+	.B1(n_17630),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [733]),
+	.C1(n_18796),
+	.Y(n_18924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686688 (
+	.A1(n_17652),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [434]),
+	.B1(n_11559),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [457]),
+	.C1(n_18794),
+	.Y(n_18923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686689 (
+	.A1(n_17699),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2640]),
+	.B1(n_17703),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2663]),
+	.C1(n_18793),
+	.Y(n_18922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686690 (
+	.A1(n_11584),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2044]),
+	.B1(n_17668),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2067]),
+	.C1(n_18791),
+	.Y(n_18921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686691 (
+	.A(n_17965),
+	.B(n_17963),
+	.C(n_18077),
+	.D(n_17964),
+	.Y(n_18920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686692 (
+	.A1(n_17643),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [598]),
+	.B1(n_17645),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [621]),
+	.C1(n_18792),
+	.Y(n_18919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686693 (
+	.A1(n_17652),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [414]),
+	.B1(n_11559),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [437]),
+	.C1(n_18789),
+	.Y(n_18918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686694 (
+	.A1(n_17631),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2136]),
+	.B1(n_17634),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2159]),
+	.C1(n_18790),
+	.Y(n_18917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686695 (
+	.A1(n_17654),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1860]),
+	.B1(n_17702),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1883]),
+	.C1(n_18788),
+	.Y(n_18916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686696 (
+	.A1(n_17626),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [322]),
+	.B1(n_17627),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [345]),
+	.C1(n_18787),
+	.Y(n_18915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686697 (
+	.A(n_18152),
+	.B(n_18149),
+	.C(n_18146),
+	.D(n_18155),
+	.Y(n_18914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686698 (
+	.A(n_17940),
+	.B(n_17941),
+	.C(n_17938),
+	.D(n_18061),
+	.Y(n_18913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686699 (
+	.A(n_18218),
+	.B(n_17936),
+	.C(n_17935),
+	.D(n_18269),
+	.Y(n_18912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686700 (
+	.A(n_18372),
+	.B(n_17933),
+	.C(n_17932),
+	.D(n_17772),
+	.Y(n_18911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686701 (
+	.A(n_17811),
+	.B(n_17931),
+	.C(n_17928),
+	.D(n_17929),
+	.Y(n_18910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686702 (
+	.A1(n_17648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1610]),
+	.B1(n_17633),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1633]),
+	.C1(n_18785),
+	.Y(n_18909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686703 (
+	.A1(n_17635),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2898]),
+	.B1(n_17686),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2921]),
+	.C1(n_18784),
+	.Y(n_18908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686704 (
+	.A1(n_17700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2530]),
+	.B1(n_11461),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2553]),
+	.C1(n_18783),
+	.Y(n_18907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686705 (
+	.A1(n_17637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [690]),
+	.B1(n_17630),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [713]),
+	.C1(n_18782),
+	.Y(n_18906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686706 (
+	.A1(n_17626),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [342]),
+	.B1(n_17627),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [365]),
+	.C1(n_18780),
+	.Y(n_18905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686707 (
+	.A1(n_17662),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [460]),
+	.B1(n_17665),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [483]),
+	.C1(n_18781),
+	.Y(n_18904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686708 (
+	.A1(n_17681),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2208]),
+	.B1(n_11582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2231]),
+	.C1(n_18779),
+	.Y(n_18903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686709 (
+	.A1(n_17675),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2162]),
+	.B1(n_11624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2185]),
+	.C1(n_18778),
+	.Y(n_18902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686710 (
+	.A1(n_17690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1932]),
+	.B1(n_17669),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1955]),
+	.C1(n_18777),
+	.Y(n_18901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686711 (
+	.A1(n_17629),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [276]),
+	.B1(n_17640),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [299]),
+	.C1(n_18776),
+	.Y(n_18900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686712 (
+	.A(n_17898),
+	.B(n_17901),
+	.C(n_17897),
+	.D(n_17896),
+	.Y(n_18899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686713 (
+	.A(n_17886),
+	.B(n_17895),
+	.C(n_17889),
+	.D(n_17892),
+	.Y(n_18898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686714 (
+	.A1(n_17672),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2597]),
+	.B1(n_17612),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2620]),
+	.C1(n_18775),
+	.Y(n_18897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686715 (
+	.A1(n_17683),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1723]),
+	.B1(n_17688),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1746]),
+	.C1(n_18774),
+	.Y(n_18896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686716 (
+	.A(n_17887),
+	.B(n_17939),
+	.C(n_17909),
+	.D(n_17921),
+	.Y(n_18895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686717 (
+	.A(n_17879),
+	.B(n_17884),
+	.C(n_17876),
+	.D(n_17881),
+	.Y(n_18894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686718 (
+	.A1(n_11406),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2459]),
+	.B1(n_17666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2482]),
+	.C1(n_18773),
+	.Y(n_18893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686719 (
+	.A1(n_17623),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1539]),
+	.B1(n_17628),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1562]),
+	.C1(n_18772),
+	.Y(n_18892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686720 (
+	.A(n_17873),
+	.B(n_17866),
+	.C(n_17870),
+	.D(n_17864),
+	.Y(n_18891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686721 (
+	.A(n_17874),
+	.B(n_17872),
+	.C(n_17869),
+	.D(n_17871),
+	.Y(n_18890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686722 (
+	.A(n_17868),
+	.B(n_17865),
+	.C(n_17862),
+	.D(n_17863),
+	.Y(n_18889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686723 (
+	.A1(n_17623),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1521]),
+	.B1(n_17628),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1544]),
+	.C1(n_18771),
+	.Y(n_18888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686724 (
+	.A1(n_17676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [234]),
+	.B1(n_17625),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [257]),
+	.C1(n_18761),
+	.Y(n_18887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686725 (
+	.A1(n_11406),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2458]),
+	.B1(n_17666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2481]),
+	.C1(n_18769),
+	.Y(n_18886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686726 (
+	.A1(n_17676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [248]),
+	.B1(n_17625),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [271]),
+	.C1(n_18767),
+	.Y(n_18885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686727 (
+	.A1(n_11584),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2027]),
+	.B1(n_17668),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2050]),
+	.C1(n_18768),
+	.Y(n_18884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686728 (
+	.A(n_17856),
+	.B(n_18233),
+	.C(n_17853),
+	.D(n_17855),
+	.Y(n_18883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686729 (
+	.A1(n_17692),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2274]),
+	.B1(n_17693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2297]),
+	.C1(n_18766),
+	.Y(n_18882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686730 (
+	.A(n_18279),
+	.B(n_17846),
+	.C(n_17843),
+	.D(n_17867),
+	.Y(n_18881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686731 (
+	.A(n_17842),
+	.B(n_17841),
+	.C(n_17838),
+	.D(n_17840),
+	.Y(n_18880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686732 (
+	.A1(n_17637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [710]),
+	.B1(n_17630),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [733]),
+	.C1(n_18764),
+	.Y(n_18879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686733 (
+	.A1(n_17629),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [279]),
+	.B1(n_17640),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [302]),
+	.C1(n_18765),
+	.Y(n_18878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686734 (
+	.A(n_17837),
+	.B(n_17836),
+	.C(n_18334),
+	.D(n_17835),
+	.Y(n_18877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686735 (
+	.A(n_17832),
+	.B(n_18032),
+	.C(n_17828),
+	.D(n_17830),
+	.Y(n_18876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686736 (
+	.A1(n_17619),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [526]),
+	.B1(n_17679),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [549]),
+	.C1(n_18763),
+	.Y(n_18875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686737 (
+	.A1(n_17673),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1568]),
+	.B1(n_17632),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1591]),
+	.C1(n_18770),
+	.Y(n_18874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686738 (
+	.A1(n_17611),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2809]),
+	.B1(n_17638),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2832]),
+	.C1(n_18762),
+	.Y(n_18873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686739 (
+	.A1(n_17699),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2625]),
+	.B1(n_17703),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2648]),
+	.C1(n_18760),
+	.Y(n_18872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686740 (
+	.A(n_17816),
+	.B(n_17812),
+	.C(n_17810),
+	.D(n_17806),
+	.Y(n_18871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686741 (
+	.A1(n_17643),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [601]),
+	.B1(n_17645),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [624]),
+	.C1(n_18759),
+	.Y(n_18870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686742 (
+	.A(n_17799),
+	.B(n_17797),
+	.C(n_17801),
+	.D(n_17804),
+	.Y(n_18869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686743 (
+	.A1(n_17694),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [371]),
+	.B1(n_11647),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [394]),
+	.C1(n_18758),
+	.Y(n_18868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686744 (
+	.A1(n_17614),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2856]),
+	.B1(n_17620),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2879]),
+	.C1(n_18757),
+	.Y(n_18867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686745 (
+	.A1(n_17654),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1844]),
+	.B1(n_17702),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1867]),
+	.C1(n_18756),
+	.Y(n_18866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686746 (
+	.A(n_18432),
+	.B(n_17761),
+	.C(n_18384),
+	.D(n_17783),
+	.Y(n_18865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686747 (
+	.A(n_17794),
+	.B(n_17788),
+	.C(n_17791),
+	.D(n_17786),
+	.Y(n_18864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686748 (
+	.A1(n_17631),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2120]),
+	.B1(n_17634),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2143]),
+	.C1(n_18755),
+	.Y(n_18863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686749 (
+	.A(n_17782),
+	.B(n_17776),
+	.C(n_17774),
+	.D(n_17779),
+	.Y(n_18862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686750 (
+	.A1(n_17692),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2258]),
+	.B1(n_17693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2281]),
+	.C1(n_18754),
+	.Y(n_18861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686751 (
+	.A(n_17773),
+	.B(n_17775),
+	.C(n_17777),
+	.D(n_17771),
+	.Y(n_18860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686752 (
+	.A1(n_11437),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2088]),
+	.B1(n_17615),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2111]),
+	.C1(n_18752),
+	.Y(n_18859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686753 (
+	.A1(n_17673),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1586]),
+	.B1(n_17632),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1609]),
+	.C1(n_18753),
+	.Y(n_18858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686754 (
+	.A(n_17770),
+	.B(n_17769),
+	.C(n_17768),
+	.D(n_17765),
+	.Y(n_18857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686755 (
+	.A1(n_11428),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1904]),
+	.B1(n_11440),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1927]),
+	.C1(n_18750),
+	.Y(n_18856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g686756 (
+	.A1(n_17675),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2184]),
+	.B1(n_11624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2207]),
+	.C1(n_18751),
+	.Y(n_18855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686757 (
+	.A(n_17756),
+	.B(n_17757),
+	.C(n_17753),
+	.D(n_17754),
+	.Y(n_18854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g686758 (
+	.A(n_17750),
+	.B(n_18396),
+	.C(n_18425),
+	.D(n_18411),
+	.Y(n_18853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686759 (
+	.A(n_18037),
+	.B(n_18035),
+	.C(n_18034),
+	.Y(n_18852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686760 (
+	.A(n_18418),
+	.B(n_18424),
+	.C(n_18428),
+	.Y(n_18851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686761 (
+	.A(n_18410),
+	.B(n_18413),
+	.C(n_18406),
+	.Y(n_18850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686762 (
+	.A(n_18392),
+	.B(n_18404),
+	.C(n_18397),
+	.Y(n_18849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686763 (
+	.A(n_18382),
+	.B(n_18383),
+	.C(n_18381),
+	.Y(n_18848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686764 (
+	.A(n_18377),
+	.B(n_18376),
+	.C(n_18379),
+	.Y(n_18847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686765 (
+	.A(n_18375),
+	.B(n_18373),
+	.C(n_18378),
+	.Y(n_18846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686766 (
+	.A(n_18368),
+	.B(n_18366),
+	.C(n_18370),
+	.Y(n_18845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686767 (
+	.A(n_18362),
+	.B(n_18364),
+	.C(n_18365),
+	.Y(n_18844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686768 (
+	.A(n_18359),
+	.B(n_18360),
+	.C(n_18361),
+	.Y(n_18843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686769 (
+	.A(n_18347),
+	.B(n_18345),
+	.C(n_18346),
+	.Y(n_18842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686770 (
+	.A(n_18341),
+	.B(n_18344),
+	.C(n_18343),
+	.Y(n_18841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686771 (
+	.A(n_18339),
+	.B(n_18336),
+	.C(n_18338),
+	.Y(n_18840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686772 (
+	.A(n_18329),
+	.B(n_18328),
+	.C(n_18333),
+	.Y(n_18839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686773 (
+	.A(n_18327),
+	.B(n_18332),
+	.C(n_18330),
+	.Y(n_18838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686774 (
+	.A(n_18323),
+	.B(n_18322),
+	.C(n_18326),
+	.Y(n_18837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686775 (
+	.A(n_18316),
+	.B(n_18321),
+	.C(n_18313),
+	.Y(n_18836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686776 (
+	.A(n_18317),
+	.B(n_18319),
+	.C(n_18314),
+	.Y(n_18835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686777 (
+	.A(n_18310),
+	.B(n_18312),
+	.C(n_18309),
+	.Y(n_18834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686778 (
+	.A(n_18307),
+	.B(n_18303),
+	.C(n_18301),
+	.Y(n_18833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686779 (
+	.A(n_18293),
+	.B(n_18289),
+	.C(n_18284),
+	.Y(n_18832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686780 (
+	.A(n_18278),
+	.B(n_18275),
+	.C(n_18276),
+	.Y(n_18831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686781 (
+	.A(n_18222),
+	.B(n_18320),
+	.C(n_18229),
+	.Y(n_18830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686782 (
+	.A(n_18249),
+	.B(n_18251),
+	.C(n_18248),
+	.Y(n_18829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686783 (
+	.A(n_18243),
+	.B(n_18246),
+	.C(n_18245),
+	.Y(n_18828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686784 (
+	.A(n_18242),
+	.B(n_18239),
+	.C(n_18237),
+	.Y(n_18827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686785 (
+	.A(n_18241),
+	.B(n_18240),
+	.C(n_18238),
+	.Y(n_18826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686786 (
+	.A(n_18235),
+	.B(n_18234),
+	.C(n_18236),
+	.Y(n_18825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686787 (
+	.A(n_18219),
+	.B(n_18214),
+	.C(n_18217),
+	.Y(n_18824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686788 (
+	.A(n_18205),
+	.B(n_18202),
+	.C(n_18200),
+	.Y(n_18823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686789 (
+	.A(n_18194),
+	.B(n_18199),
+	.C(n_18204),
+	.Y(n_18822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686790 (
+	.A(n_18175),
+	.B(n_18173),
+	.C(n_18172),
+	.Y(n_18821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686791 (
+	.A(n_18168),
+	.B(n_18167),
+	.C(n_18170),
+	.Y(n_18820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686792 (
+	.A(n_18164),
+	.B(n_18162),
+	.C(n_18165),
+	.Y(n_18819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686793 (
+	.A(n_18159),
+	.B(n_18161),
+	.C(n_18157),
+	.Y(n_18818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686794 (
+	.A(n_18143),
+	.B(n_18137),
+	.C(n_18140),
+	.Y(n_18817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686795 (
+	.A(n_18142),
+	.B(n_18141),
+	.C(n_18220),
+	.Y(n_18816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686796 (
+	.A(n_18131),
+	.B(n_18135),
+	.C(n_18132),
+	.Y(n_18815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686797 (
+	.A(n_18129),
+	.B(n_18125),
+	.C(n_18128),
+	.Y(n_18814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686798 (
+	.A(n_18105),
+	.B(n_18109),
+	.C(n_18113),
+	.Y(n_18813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686799 (
+	.A(n_18095),
+	.B(n_18101),
+	.C(n_18098),
+	.Y(n_18812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686800 (
+	.A(n_18079),
+	.B(n_18076),
+	.C(n_18078),
+	.Y(n_18811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686801 (
+	.A(n_18073),
+	.B(n_18074),
+	.C(n_18072),
+	.Y(n_18810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686802 (
+	.A(n_18070),
+	.B(n_18067),
+	.C(n_18071),
+	.Y(n_18809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686803 (
+	.A(n_18059),
+	.B(n_17934),
+	.C(n_18026),
+	.Y(n_18808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686804 (
+	.A(n_18065),
+	.B(n_18063),
+	.C(n_18062),
+	.Y(n_18807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686805 (
+	.A(n_18064),
+	.B(n_18060),
+	.C(n_18221),
+	.Y(n_18806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686806 (
+	.A(n_18051),
+	.B(n_18053),
+	.C(n_18050),
+	.Y(n_18805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686807 (
+	.A(n_18045),
+	.B(n_18046),
+	.C(n_18048),
+	.Y(n_18804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686808 (
+	.A(n_18044),
+	.B(n_18042),
+	.C(n_18041),
+	.Y(n_18803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686809 (
+	.A(n_18429),
+	.B(n_18421),
+	.C(n_18415),
+	.Y(n_18802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686810 (
+	.A(n_17995),
+	.B(n_17992),
+	.C(n_17991),
+	.Y(n_18801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686811 (
+	.A(n_17988),
+	.B(n_17986),
+	.C(n_17985),
+	.Y(n_18800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686812 (
+	.A(n_18010),
+	.B(n_18227),
+	.C(n_17789),
+	.Y(n_18799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686813 (
+	.A(n_17979),
+	.B(n_17982),
+	.C(n_17981),
+	.Y(n_18798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686814 (
+	.A(n_17978),
+	.B(n_17972),
+	.C(n_17966),
+	.Y(n_18797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686815 (
+	.A(n_17974),
+	.B(n_17977),
+	.C(n_17973),
+	.Y(n_18796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686816 (
+	.A(n_17971),
+	.B(n_17975),
+	.C(n_17969),
+	.Y(n_18795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686817 (
+	.A(n_17967),
+	.B(n_17968),
+	.C(n_17970),
+	.Y(n_18794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686818 (
+	.A(n_17960),
+	.B(n_17956),
+	.C(n_17976),
+	.Y(n_18793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686819 (
+	.A(n_17962),
+	.B(n_17961),
+	.C(n_17959),
+	.Y(n_18792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686820 (
+	.A(n_17946),
+	.B(n_17958),
+	.C(n_17952),
+	.Y(n_18791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686821 (
+	.A(n_17953),
+	.B(n_17955),
+	.C(n_17957),
+	.Y(n_18790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686822 (
+	.A(n_17949),
+	.B(n_17951),
+	.C(n_17954),
+	.Y(n_18789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686823 (
+	.A(n_17950),
+	.B(n_17948),
+	.C(n_17947),
+	.Y(n_18788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686824 (
+	.A(n_17945),
+	.B(n_17943),
+	.C(n_17942),
+	.Y(n_18787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686825 (
+	.A(n_17937),
+	.B(n_17930),
+	.C(n_17926),
+	.Y(n_18786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686826 (
+	.A(n_17906),
+	.B(n_17925),
+	.C(n_17927),
+	.Y(n_18785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686827 (
+	.A(n_17924),
+	.B(n_17980),
+	.C(n_17923),
+	.Y(n_18784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686828 (
+	.A(n_17919),
+	.B(n_17917),
+	.C(n_17915),
+	.Y(n_18783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686829 (
+	.A(n_17922),
+	.B(n_17920),
+	.C(n_17918),
+	.Y(n_18782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686830 (
+	.A(n_17916),
+	.B(n_17913),
+	.C(n_17914),
+	.Y(n_18781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686831 (
+	.A(n_17912),
+	.B(n_17910),
+	.C(n_17907),
+	.Y(n_18780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686832 (
+	.A(n_17908),
+	.B(n_17911),
+	.C(n_18325),
+	.Y(n_18779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686833 (
+	.A(n_17904),
+	.B(n_18277),
+	.C(n_17905),
+	.Y(n_18778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686834 (
+	.A(n_18144),
+	.B(n_18423),
+	.C(n_17903),
+	.Y(n_18777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686835 (
+	.A(n_17902),
+	.B(n_17900),
+	.C(n_17899),
+	.Y(n_18776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686836 (
+	.A(n_17893),
+	.B(n_17891),
+	.C(n_17894),
+	.Y(n_18775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686837 (
+	.A(n_18294),
+	.B(n_17888),
+	.C(n_17885),
+	.Y(n_18774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686838 (
+	.A(n_17880),
+	.B(n_17882),
+	.C(n_17883),
+	.Y(n_18773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686839 (
+	.A(n_17877),
+	.B(n_17878),
+	.C(n_17875),
+	.Y(n_18772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686840 (
+	.A(n_17861),
+	.B(n_17860),
+	.C(n_17859),
+	.Y(n_18771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686841 (
+	.A(n_17890),
+	.B(n_17847),
+	.C(n_17808),
+	.Y(n_18770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686842 (
+	.A(n_17858),
+	.B(n_17850),
+	.C(n_18178),
+	.Y(n_18769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686843 (
+	.A(n_17851),
+	.B(n_17854),
+	.C(n_17852),
+	.Y(n_18768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686844 (
+	.A(n_17849),
+	.B(n_17857),
+	.C(n_17845),
+	.Y(n_18767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686845 (
+	.A(n_17848),
+	.B(n_17839),
+	.C(n_17844),
+	.Y(n_18766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686846 (
+	.A(n_17831),
+	.B(n_17829),
+	.C(n_17833),
+	.Y(n_18765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686847 (
+	.A(n_17826),
+	.B(n_17827),
+	.C(n_17825),
+	.Y(n_18764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686848 (
+	.A(n_17821),
+	.B(n_17823),
+	.C(n_17822),
+	.Y(n_18763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686849 (
+	.A(n_17819),
+	.B(n_17818),
+	.C(n_17817),
+	.Y(n_18762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686850 (
+	.A(n_17824),
+	.B(n_17834),
+	.C(n_17820),
+	.Y(n_18761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686851 (
+	.A(n_17815),
+	.B(n_17814),
+	.C(n_17813),
+	.Y(n_18760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686852 (
+	.A(n_17809),
+	.B(n_17805),
+	.C(n_17807),
+	.Y(n_18759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686853 (
+	.A(n_17803),
+	.B(n_17802),
+	.C(n_17800),
+	.Y(n_18758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686854 (
+	.A(n_17795),
+	.B(n_17796),
+	.C(n_17798),
+	.Y(n_18757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686855 (
+	.A(n_17792),
+	.B(n_17790),
+	.C(n_17793),
+	.Y(n_18756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686856 (
+	.A(n_17784),
+	.B(n_17785),
+	.C(n_17787),
+	.Y(n_18755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686857 (
+	.A(n_17778),
+	.B(n_17781),
+	.C(n_17780),
+	.Y(n_18754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686858 (
+	.A(n_17766),
+	.B(n_17764),
+	.C(n_17763),
+	.Y(n_18753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686859 (
+	.A(n_17767),
+	.B(n_17760),
+	.C(n_17762),
+	.Y(n_18752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686860 (
+	.A(n_17758),
+	.B(n_17755),
+	.C(n_17759),
+	.Y(n_18751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g686861 (
+	.A(n_18093),
+	.B(n_17752),
+	.C(n_17751),
+	.Y(n_18750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686862 (
+	.A1(n_11600),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1356]),
+	.B1(n_17743),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1379]),
+	.Y(n_18749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686863 (
+	.A1(n_17744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [832]),
+	.B1(n_11430),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [855]),
+	.Y(n_18748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686864 (
+	.A1(n_17735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1264]),
+	.B1(n_17736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1287]),
+	.Y(n_18747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686865 (
+	.A1(n_11608),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1172]),
+	.B1(n_11451),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1195]),
+	.Y(n_18746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686866 (
+	.A1(n_17717),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [114]),
+	.B1(n_17718),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [137]),
+	.Y(n_18745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686867 (
+	.A1(n_11594),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [22]),
+	.B1(n_11452),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [45]),
+	.Y(n_18744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686868 (
+	.A1(n_17715),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [160]),
+	.B1(n_17716),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [183]),
+	.Y(n_18743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686869 (
+	.A1(n_11413),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [68]),
+	.B1(n_17714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [91]),
+	.Y(n_18742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686870 (
+	.A1(n_17728),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1383]),
+	.B1(n_17745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1406]),
+	.Y(n_18741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686871 (
+	.A1(n_11600),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1337]),
+	.B1(n_17743),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1360]),
+	.Y(n_18740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686872 (
+	.A1(n_11454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1291]),
+	.B1(n_17741),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1314]),
+	.Y(n_18739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686873 (
+	.A1(n_17735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1245]),
+	.B1(n_17736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1268]),
+	.Y(n_18738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686874 (
+	.A1(n_17713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1199]),
+	.B1(n_17734),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1222]),
+	.Y(n_18737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686875 (
+	.A1(n_11605),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1107]),
+	.B1(n_17719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1130]),
+	.Y(n_18736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686876 (
+	.A1(n_17729),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [942]),
+	.B1(n_17726),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [965]),
+	.Y(n_18735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686877 (
+	.A1(n_17727),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1080]),
+	.B1(n_11399),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1103]),
+	.Y(n_18734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686878 (
+	.A1(n_17733),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [988]),
+	.B1(n_17709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1011]),
+	.Y(n_18733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686879 (
+	.A1(n_11594),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [3]),
+	.B1(n_11452),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [26]),
+	.Y(n_18732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686880 (
+	.A1(n_11413),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [49]),
+	.B1(n_17714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [72]),
+	.Y(n_18731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686881 (
+	.A1(n_17739),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [804]),
+	.B1(n_17732),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [827]),
+	.Y(n_18730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686882 (
+	.A1(n_17715),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [141]),
+	.B1(n_17716),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [164]),
+	.Y(n_18729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686883 (
+	.A1(n_17717),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [95]),
+	.B1(n_17718),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [118]),
+	.Y(n_18728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686884 (
+	.A1(n_17744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [850]),
+	.B1(n_11430),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [873]),
+	.Y(n_18727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686885 (
+	.A1(n_11484),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [758]),
+	.B1(n_11552),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [781]),
+	.Y(n_18726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686886 (
+	.A1(n_17733),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [969]),
+	.B1(n_17709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [992]),
+	.Y(n_18725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686887 (
+	.A1(n_17727),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1061]),
+	.B1(n_11399),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1084]),
+	.Y(n_18724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686888 (
+	.A1(n_17730),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1015]),
+	.B1(n_17731),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1038]),
+	.Y(n_18723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686889 (
+	.A1(n_17722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [877]),
+	.B1(n_17723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [900]),
+	.Y(n_18722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686890 (
+	.A1(n_17744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [831]),
+	.B1(n_11430),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [854]),
+	.Y(n_18721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686891 (
+	.A1(n_17739),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [785]),
+	.B1(n_17732),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [808]),
+	.Y(n_18720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686892 (
+	.A1(n_17746),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1430]),
+	.B1(n_17724),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1453]),
+	.Y(n_18719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686893 (
+	.A1(n_17728),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1384]),
+	.B1(n_17745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1407]),
+	.Y(n_18718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686894 (
+	.A1(n_17727),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1076]),
+	.B1(n_11399),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1099]),
+	.Y(n_18717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686895 (
+	.A1(n_17729),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [938]),
+	.B1(n_17726),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [961]),
+	.Y(n_18716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686896 (
+	.A1(n_17730),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1030]),
+	.B1(n_17731),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1053]),
+	.Y(n_18715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686897 (
+	.A1(n_17733),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [984]),
+	.B1(n_17709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1007]),
+	.Y(n_18714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686898 (
+	.A1(n_11484),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [754]),
+	.B1(n_11552),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [777]),
+	.Y(n_18713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686899 (
+	.A1(n_17722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [892]),
+	.B1(n_17723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [915]),
+	.Y(n_18712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686900 (
+	.A1(n_17744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [846]),
+	.B1(n_11430),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [869]),
+	.Y(n_18711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686901 (
+	.A1(n_17739),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [800]),
+	.B1(n_17732),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [823]),
+	.Y(n_18710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686902 (
+	.A1(n_11594),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [18]),
+	.B1(n_11452),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [41]),
+	.Y(n_18709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686903 (
+	.A1(n_17715),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [156]),
+	.B1(n_17716),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [179]),
+	.Y(n_18708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686904 (
+	.A1(n_11600),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1338]),
+	.B1(n_17743),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1361]),
+	.Y(n_18707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686905 (
+	.A1(n_17717),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [110]),
+	.B1(n_17718),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [133]),
+	.Y(n_18706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686906 (
+	.A1(n_11413),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [64]),
+	.B1(n_17714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [87]),
+	.Y(n_18705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686907 (
+	.A1(n_11454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1307]),
+	.B1(n_17741),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1330]),
+	.Y(n_18704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686908 (
+	.A1(n_11454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1292]),
+	.B1(n_17741),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1315]),
+	.Y(n_18703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686909 (
+	.A1(n_17746),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1445]),
+	.B1(n_17724),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1468]),
+	.Y(n_18702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686910 (
+	.A1(n_11600),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1352]),
+	.B1(n_17743),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1375]),
+	.Y(n_18701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686911 (
+	.A1(n_17746),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1444]),
+	.B1(n_17724),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1467]),
+	.Y(n_18700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686912 (
+	.A1(n_17728),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1399]),
+	.B1(n_17745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1422]),
+	.Y(n_18699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686913 (
+	.A1(n_17728),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1398]),
+	.B1(n_17745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1421]),
+	.Y(n_18698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686914 (
+	.A1(n_11600),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1353]),
+	.B1(n_17743),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1376]),
+	.Y(n_18697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686915 (
+	.A1(n_17713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1214]),
+	.B1(n_17734),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1237]),
+	.Y(n_18696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686916 (
+	.A1(n_11608),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1154]),
+	.B1(n_11451),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1177]),
+	.Y(n_18695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686917 (
+	.A1(n_17735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1260]),
+	.B1(n_17736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1283]),
+	.Y(n_18694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686918 (
+	.A1(n_17713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1215]),
+	.B1(n_17734),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1238]),
+	.Y(n_18693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686919 (
+	.A1(n_11608),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1168]),
+	.B1(n_11451),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1191]),
+	.Y(n_18692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686920 (
+	.A1(n_11608),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1169]),
+	.B1(n_11451),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1192]),
+	.Y(n_18691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686921 (
+	.A1(n_17735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1261]),
+	.B1(n_17736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1284]),
+	.Y(n_18690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686922 (
+	.A1(n_11605),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1123]),
+	.B1(n_17719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1146]),
+	.Y(n_18689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686923 (
+	.A1(n_17733),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [970]),
+	.B1(n_17709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [993]),
+	.Y(n_18688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686924 (
+	.A1(n_17735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1246]),
+	.B1(n_17736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1269]),
+	.Y(n_18687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686925 (
+	.A1(n_17728),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1397]),
+	.B1(n_17745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1420]),
+	.Y(n_18686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686926 (
+	.A1(n_17746),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1443]),
+	.B1(n_17724),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1466]),
+	.Y(n_18685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686927 (
+	.A1(n_11454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1305]),
+	.B1(n_17741),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1328]),
+	.Y(n_18684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686928 (
+	.A1(n_17713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1200]),
+	.B1(n_17734),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1223]),
+	.Y(n_18683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686929 (
+	.A1(n_17713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1213]),
+	.B1(n_17734),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1236]),
+	.Y(n_18682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686930 (
+	.A1(n_17735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1259]),
+	.B1(n_17736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1282]),
+	.Y(n_18681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686931 (
+	.A1(n_11605),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1108]),
+	.B1(n_17719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1131]),
+	.Y(n_18680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686932 (
+	.A1(n_17715),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [157]),
+	.B1(n_17716),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [180]),
+	.Y(n_18679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686933 (
+	.A1(n_11605),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1121]),
+	.B1(n_17719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1144]),
+	.Y(n_18678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686934 (
+	.A1(n_17717),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [111]),
+	.B1(n_17718),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [134]),
+	.Y(n_18677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686935 (
+	.A1(n_11594),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [19]),
+	.B1(n_11452),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [42]),
+	.Y(n_18676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686936 (
+	.A1(n_17729),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [939]),
+	.B1(n_17726),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [962]),
+	.Y(n_18675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686937 (
+	.A1(n_17730),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1031]),
+	.B1(n_17731),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1054]),
+	.Y(n_18674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686938 (
+	.A1(n_17727),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1075]),
+	.B1(n_11399),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1098]),
+	.Y(n_18673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686939 (
+	.A1(n_17733),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [983]),
+	.B1(n_17709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1006]),
+	.Y(n_18672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686940 (
+	.A1(n_17727),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1077]),
+	.B1(n_11399),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1100]),
+	.Y(n_18671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686941 (
+	.A1(n_17730),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1029]),
+	.B1(n_17731),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1052]),
+	.Y(n_18670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686942 (
+	.A1(n_17733),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [985]),
+	.B1(n_17709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1008]),
+	.Y(n_18669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686943 (
+	.A1(n_17729),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [937]),
+	.B1(n_17726),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [960]),
+	.Y(n_18668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686944 (
+	.A1(n_11484),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [753]),
+	.B1(n_11552),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [776]),
+	.Y(n_18667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686945 (
+	.A1(n_17722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [891]),
+	.B1(n_17723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [914]),
+	.Y(n_18666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686946 (
+	.A1(n_17739),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [801]),
+	.B1(n_17732),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [824]),
+	.Y(n_18665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686947 (
+	.A1(n_17744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [845]),
+	.B1(n_11430),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [868]),
+	.Y(n_18664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686948 (
+	.A1(n_17739),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [799]),
+	.B1(n_17732),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [822]),
+	.Y(n_18663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686949 (
+	.A1(n_17744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [847]),
+	.B1(n_11430),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [870]),
+	.Y(n_18662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686950 (
+	.A1(n_17722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [893]),
+	.B1(n_17723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [916]),
+	.Y(n_18661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686951 (
+	.A1(n_11484),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [755]),
+	.B1(n_11552),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [778]),
+	.Y(n_18660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686952 (
+	.A1(n_11413),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [63]),
+	.B1(n_17714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [86]),
+	.Y(n_18659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686953 (
+	.A1(n_17727),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1062]),
+	.B1(n_11399),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1085]),
+	.Y(n_18658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686954 (
+	.A1(n_17717),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [109]),
+	.B1(n_17718),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [132]),
+	.Y(n_18657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686955 (
+	.A1(n_11594),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [17]),
+	.B1(n_11452),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [40]),
+	.Y(n_18656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686956 (
+	.A1(n_17730),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1016]),
+	.B1(n_17731),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1039]),
+	.Y(n_18655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686957 (
+	.A1(n_11600),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1351]),
+	.B1(n_17743),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1374]),
+	.Y(n_18654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686958 (
+	.A1(n_17746),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1443]),
+	.B1(n_17724),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1466]),
+	.Y(n_18653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686959 (
+	.A1(n_17728),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1397]),
+	.B1(n_17745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1420]),
+	.Y(n_18652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686960 (
+	.A1(n_11454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1305]),
+	.B1(n_17741),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1328]),
+	.Y(n_18651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686961 (
+	.A1(n_17735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1259]),
+	.B1(n_17736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1282]),
+	.Y(n_18650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686962 (
+	.A1(n_11605),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1121]),
+	.B1(n_17719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1144]),
+	.Y(n_18649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686963 (
+	.A1(n_17713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1213]),
+	.B1(n_17734),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1236]),
+	.Y(n_18648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686964 (
+	.A1(n_11608),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1167]),
+	.B1(n_11451),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1190]),
+	.Y(n_18647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686965 (
+	.A1(n_11413),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [63]),
+	.B1(n_17714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [86]),
+	.Y(n_18646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686966 (
+	.A1(n_17715),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [155]),
+	.B1(n_17716),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [178]),
+	.Y(n_18645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686967 (
+	.A1(n_17717),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [109]),
+	.B1(n_17718),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [132]),
+	.Y(n_18644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686968 (
+	.A1(n_17729),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [924]),
+	.B1(n_17726),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [947]),
+	.Y(n_18643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686969 (
+	.A1(n_11594),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [17]),
+	.B1(n_11452),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [40]),
+	.Y(n_18642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686970 (
+	.A1(n_17739),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [786]),
+	.B1(n_17732),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [809]),
+	.Y(n_18641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686971 (
+	.A1(n_17746),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1445]),
+	.B1(n_17724),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1468]),
+	.Y(n_18640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686972 (
+	.A1(n_11454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1307]),
+	.B1(n_17741),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1330]),
+	.Y(n_18639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686973 (
+	.A1(n_17728),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1399]),
+	.B1(n_17745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1422]),
+	.Y(n_18638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686974 (
+	.A1(n_11600),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1353]),
+	.B1(n_17743),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1376]),
+	.Y(n_18637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686975 (
+	.A1(n_17713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1215]),
+	.B1(n_17734),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1238]),
+	.Y(n_18636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686976 (
+	.A1(n_11608),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1169]),
+	.B1(n_11451),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1192]),
+	.Y(n_18635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686977 (
+	.A1(n_17735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1261]),
+	.B1(n_17736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1284]),
+	.Y(n_18634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686978 (
+	.A1(n_11605),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1123]),
+	.B1(n_17719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1146]),
+	.Y(n_18633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686979 (
+	.A1(n_11594),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [19]),
+	.B1(n_11452),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [42]),
+	.Y(n_18632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686980 (
+	.A1(n_17717),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [111]),
+	.B1(n_17718),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [134]),
+	.Y(n_18631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686981 (
+	.A1(n_17715),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [157]),
+	.B1(n_17716),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [180]),
+	.Y(n_18630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686982 (
+	.A1(n_11413),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [65]),
+	.B1(n_17714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [88]),
+	.Y(n_18629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686983 (
+	.A1(n_17727),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1077]),
+	.B1(n_11399),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1100]),
+	.Y(n_18628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686984 (
+	.A1(n_17730),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1031]),
+	.B1(n_17731),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1054]),
+	.Y(n_18627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686985 (
+	.A1(n_17733),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [985]),
+	.B1(n_17709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1008]),
+	.Y(n_18626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686986 (
+	.A1(n_17729),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [941]),
+	.B1(n_17726),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [964]),
+	.Y(n_18625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686987 (
+	.A1(n_11484),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [740]),
+	.B1(n_11552),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [763]),
+	.Y(n_18624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686988 (
+	.A1(n_11484),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [755]),
+	.B1(n_11552),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [778]),
+	.Y(n_18623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686989 (
+	.A1(n_17727),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1079]),
+	.B1(n_11399),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1102]),
+	.Y(n_18622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686990 (
+	.A1(n_17722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [893]),
+	.B1(n_17723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [916]),
+	.Y(n_18621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686991 (
+	.A1(n_17733),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [987]),
+	.B1(n_17709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1010]),
+	.Y(n_18620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686992 (
+	.A1(n_17744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [847]),
+	.B1(n_11430),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [870]),
+	.Y(n_18619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686993 (
+	.A1(n_11484),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [757]),
+	.B1(n_11552),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [780]),
+	.Y(n_18618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686994 (
+	.A1(n_17722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [895]),
+	.B1(n_17723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [918]),
+	.Y(n_18617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686995 (
+	.A1(n_17739),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [803]),
+	.B1(n_17732),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [826]),
+	.Y(n_18616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686996 (
+	.A1(n_17727),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1075]),
+	.B1(n_11399),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1098]),
+	.Y(n_18615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686997 (
+	.A1(n_17730),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1029]),
+	.B1(n_17731),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1052]),
+	.Y(n_18614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686998 (
+	.A1(n_17733),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [983]),
+	.B1(n_17709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1006]),
+	.Y(n_18613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g686999 (
+	.A1(n_17722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [878]),
+	.B1(n_17723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [901]),
+	.Y(n_18612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687000 (
+	.A1(n_17722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [891]),
+	.B1(n_17723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [914]),
+	.Y(n_18611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687001 (
+	.A1(n_17744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [845]),
+	.B1(n_11430),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [868]),
+	.Y(n_18610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687002 (
+	.A1(n_17739),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [799]),
+	.B1(n_17732),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [822]),
+	.Y(n_18609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687003 (
+	.A1(n_17717),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [113]),
+	.B1(n_17718),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [136]),
+	.Y(n_18608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687004 (
+	.A1(n_11413),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [67]),
+	.B1(n_17714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [90]),
+	.Y(n_18607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687005 (
+	.A1(n_17715),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [159]),
+	.B1(n_17716),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [182]),
+	.Y(n_18606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687006 (
+	.A1(n_11594),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [21]),
+	.B1(n_11452),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [44]),
+	.Y(n_18605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687007 (
+	.A1(n_17733),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [988]),
+	.B1(n_17709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1011]),
+	.Y(n_18604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687008 (
+	.A1(n_17730),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1034]),
+	.B1(n_17731),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1057]),
+	.Y(n_18603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687009 (
+	.A1(n_17729),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [942]),
+	.B1(n_17726),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [965]),
+	.Y(n_18602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687010 (
+	.A1(n_17744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [832]),
+	.B1(n_11430),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [855]),
+	.Y(n_18601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687011 (
+	.A1(n_11484),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [758]),
+	.B1(n_11552),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [781]),
+	.Y(n_18600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687012 (
+	.A1(n_17722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [896]),
+	.B1(n_17723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [919]),
+	.Y(n_18599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687013 (
+	.A1(n_17744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [850]),
+	.B1(n_11430),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [873]),
+	.Y(n_18598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687014 (
+	.A1(n_11594),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [22]),
+	.B1(n_11452),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [45]),
+	.Y(n_18597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687015 (
+	.A1(n_17715),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [160]),
+	.B1(n_17716),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [183]),
+	.Y(n_18596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687016 (
+	.A1(n_17717),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [114]),
+	.B1(n_17718),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [137]),
+	.Y(n_18595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g687017 (
+	.A(n_17610),
+	.B(n_17584),
+	.C(n_17572),
+	.D(n_17580),
+	.Y(n_18594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687018 (
+	.A1(n_11605),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1126]),
+	.B1(n_17719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1149]),
+	.Y(n_18593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687019 (
+	.A1(n_17746),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1447]),
+	.B1(n_17724),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1470]),
+	.Y(n_18592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687020 (
+	.A1(n_17728),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1402]),
+	.B1(n_17745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1425]),
+	.Y(n_18591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687021 (
+	.A1(n_11454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1310]),
+	.B1(n_17741),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1333]),
+	.Y(n_18590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687022 (
+	.A1(n_17713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1218]),
+	.B1(n_17734),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1241]),
+	.Y(n_18589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687023 (
+	.A1(n_17728),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1401]),
+	.B1(n_17745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1424]),
+	.Y(n_18588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687024 (
+	.A1(n_17735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1264]),
+	.B1(n_17736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1287]),
+	.Y(n_18587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687025 (
+	.A1(n_11600),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1355]),
+	.B1(n_17743),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1378]),
+	.Y(n_18586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687026 (
+	.A1(n_11608),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1172]),
+	.B1(n_11451),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1195]),
+	.Y(n_18585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687027 (
+	.A1(n_11605),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1126]),
+	.B1(n_17719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1149]),
+	.Y(n_18584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687028 (
+	.A1(n_11608),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1171]),
+	.B1(n_11451),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1194]),
+	.Y(n_18583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687029 (
+	.A1(n_17735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1263]),
+	.B1(n_17736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1286]),
+	.Y(n_18582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687030 (
+	.A1(n_11605),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1125]),
+	.B1(n_17719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1148]),
+	.Y(n_18581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687031 (
+	.A1(n_11600),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1354]),
+	.B1(n_17743),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1377]),
+	.Y(n_18580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687032 (
+	.A1(n_17746),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1446]),
+	.B1(n_17724),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1469]),
+	.Y(n_18579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687033 (
+	.A1(n_17728),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1400]),
+	.B1(n_17745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1423]),
+	.Y(n_18578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687034 (
+	.A1(n_11608),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1170]),
+	.B1(n_11451),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1193]),
+	.Y(n_18577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687035 (
+	.A1(n_17735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1262]),
+	.B1(n_17736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1285]),
+	.Y(n_18576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687036 (
+	.A1(n_17713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1216]),
+	.B1(n_17734),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1239]),
+	.Y(n_18575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687037 (
+	.A1(n_11413),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [66]),
+	.B1(n_17714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [89]),
+	.Y(n_18574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687038 (
+	.A1(n_17715),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [158]),
+	.B1(n_17716),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [181]),
+	.Y(n_18573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687039 (
+	.A1(n_17717),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [112]),
+	.B1(n_17718),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [135]),
+	.Y(n_18572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687040 (
+	.A1(n_11594),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [20]),
+	.B1(n_11452),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [43]),
+	.Y(n_18571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687041 (
+	.A1(n_17727),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1078]),
+	.B1(n_11399),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1101]),
+	.Y(n_18570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687042 (
+	.A1(n_17730),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1032]),
+	.B1(n_17731),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1055]),
+	.Y(n_18569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687043 (
+	.A1(n_17729),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [940]),
+	.B1(n_17726),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [963]),
+	.Y(n_18568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687044 (
+	.A1(n_11484),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [756]),
+	.B1(n_11552),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [779]),
+	.Y(n_18567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687045 (
+	.A1(n_17744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [848]),
+	.B1(n_11430),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [871]),
+	.Y(n_18566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687046 (
+	.A1(n_17739),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [802]),
+	.B1(n_17732),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [825]),
+	.Y(n_18565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687047 (
+	.A1(n_17730),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1032]),
+	.B1(n_17731),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1055]),
+	.Y(n_18564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687048 (
+	.A1(n_11594),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [0]),
+	.B1(n_11452),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [23]),
+	.Y(n_18563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687049 (
+	.A1(n_17727),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1078]),
+	.B1(n_11399),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1101]),
+	.Y(n_18562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687050 (
+	.A1(n_17715),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [138]),
+	.B1(n_17716),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [161]),
+	.Y(n_18561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687051 (
+	.A1(n_11413),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [46]),
+	.B1(n_17714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [69]),
+	.Y(n_18560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687052 (
+	.A1(n_11600),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1334]),
+	.B1(n_17743),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1357]),
+	.Y(n_18559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687053 (
+	.A1(n_17729),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [940]),
+	.B1(n_17726),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [963]),
+	.Y(n_18558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687054 (
+	.A1(n_17728),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1380]),
+	.B1(n_17745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1403]),
+	.Y(n_18557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687055 (
+	.A1(n_17746),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1426]),
+	.B1(n_17724),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1449]),
+	.Y(n_18556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687056 (
+	.A1(n_11454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1288]),
+	.B1(n_17741),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1311]),
+	.Y(n_18555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687057 (
+	.A1(n_17744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [848]),
+	.B1(n_11430),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [871]),
+	.Y(n_18554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687058 (
+	.A1(n_11608),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1150]),
+	.B1(n_11451),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1173]),
+	.Y(n_18553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687059 (
+	.A1(n_11605),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1104]),
+	.B1(n_17719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1127]),
+	.Y(n_18552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687060 (
+	.A1(n_17722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [894]),
+	.B1(n_17723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [917]),
+	.Y(n_18551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687061 (
+	.A1(n_17735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1242]),
+	.B1(n_17736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1265]),
+	.Y(n_18550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687062 (
+	.A1(n_17713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1196]),
+	.B1(n_17734),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1219]),
+	.Y(n_18549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687063 (
+	.A1(n_17739),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [802]),
+	.B1(n_17732),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [825]),
+	.Y(n_18548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687064 (
+	.A1(n_17729),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [920]),
+	.B1(n_17726),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [943]),
+	.Y(n_18547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687065 (
+	.A1(n_17727),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1058]),
+	.B1(n_11399),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1081]),
+	.Y(n_18546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687066 (
+	.A1(n_17733),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [966]),
+	.B1(n_17709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [989]),
+	.Y(n_18545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687067 (
+	.A1(n_17722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [874]),
+	.B1(n_17723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [897]),
+	.Y(n_18544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687068 (
+	.A1(n_17744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [828]),
+	.B1(n_11430),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [851]),
+	.Y(n_18543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687069 (
+	.A1(n_11484),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [736]),
+	.B1(n_11552),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [759]),
+	.Y(n_18542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687070 (
+	.A1(n_17746),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1426]),
+	.B1(n_17724),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1449]),
+	.Y(n_18541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687071 (
+	.A1(n_17728),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1380]),
+	.B1(n_17745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1403]),
+	.Y(n_18540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687072 (
+	.A1(n_17730),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1030]),
+	.B1(n_17731),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1053]),
+	.Y(n_18539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687073 (
+	.A1(n_17727),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1058]),
+	.B1(n_11399),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1081]),
+	.Y(n_18538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687074 (
+	.A1(n_11600),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1334]),
+	.B1(n_17743),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1357]),
+	.Y(n_18537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687075 (
+	.A1(n_11454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1288]),
+	.B1(n_17741),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1311]),
+	.Y(n_18536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687076 (
+	.A1(n_17733),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [966]),
+	.B1(n_17709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [989]),
+	.Y(n_18535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687077 (
+	.A1(n_17735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1242]),
+	.B1(n_17736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1265]),
+	.Y(n_18534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687078 (
+	.A1(n_11608),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1150]),
+	.B1(n_11451),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1173]),
+	.Y(n_18533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687079 (
+	.A1(n_17729),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [920]),
+	.B1(n_17726),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [943]),
+	.Y(n_18532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687080 (
+	.A1(n_17713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1196]),
+	.B1(n_17734),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1219]),
+	.Y(n_18531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687081 (
+	.A1(n_11605),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1104]),
+	.B1(n_17719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1127]),
+	.Y(n_18530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687082 (
+	.A1(n_17727),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1076]),
+	.B1(n_11399),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1099]),
+	.Y(n_18529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687083 (
+	.A1(n_11594),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [20]),
+	.B1(n_11452),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [43]),
+	.Y(n_18528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687084 (
+	.A1(n_17729),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [938]),
+	.B1(n_17726),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [961]),
+	.Y(n_18527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687085 (
+	.A1(n_11484),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [736]),
+	.B1(n_11552),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [759]),
+	.Y(n_18526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687086 (
+	.A1(n_17715),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [158]),
+	.B1(n_17716),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [181]),
+	.Y(n_18525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687087 (
+	.A1(n_17744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [828]),
+	.B1(n_11430),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [851]),
+	.Y(n_18524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687088 (
+	.A1(n_17739),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [782]),
+	.B1(n_17732),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [805]),
+	.Y(n_18523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687089 (
+	.A1(n_11413),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [66]),
+	.B1(n_17714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [89]),
+	.Y(n_18522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687090 (
+	.A1(n_11600),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1354]),
+	.B1(n_17743),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1377]),
+	.Y(n_18521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687091 (
+	.A1(n_11413),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [46]),
+	.B1(n_17714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [69]),
+	.Y(n_18520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687092 (
+	.A1(n_17715),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [138]),
+	.B1(n_17716),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [161]),
+	.Y(n_18519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687093 (
+	.A1(n_17717),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [92]),
+	.B1(n_17718),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [115]),
+	.Y(n_18518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687094 (
+	.A1(n_11454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1308]),
+	.B1(n_17741),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1331]),
+	.Y(n_18517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687095 (
+	.A1(n_17744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [846]),
+	.B1(n_11430),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [869]),
+	.Y(n_18516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687096 (
+	.A1(n_17746),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1446]),
+	.B1(n_17724),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1469]),
+	.Y(n_18515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687097 (
+	.A1(n_17728),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1400]),
+	.B1(n_17745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1423]),
+	.Y(n_18514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687098 (
+	.A1(n_11608),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1170]),
+	.B1(n_11451),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1193]),
+	.Y(n_18513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687099 (
+	.A1(n_17735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1262]),
+	.B1(n_17736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1285]),
+	.Y(n_18512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687100 (
+	.A1(n_17739),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [800]),
+	.B1(n_17732),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [823]),
+	.Y(n_18511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687101 (
+	.A1(n_11484),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [754]),
+	.B1(n_11552),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [777]),
+	.Y(n_18510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687102 (
+	.A1(n_17713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1216]),
+	.B1(n_17734),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1239]),
+	.Y(n_18509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687103 (
+	.A1(n_11605),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1124]),
+	.B1(n_17719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1147]),
+	.Y(n_18508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687104 (
+	.A1(n_17728),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1401]),
+	.B1(n_17745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1424]),
+	.Y(n_18507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687105 (
+	.A1(n_11600),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1355]),
+	.B1(n_17743),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1378]),
+	.Y(n_18506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687106 (
+	.A1(n_17746),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1447]),
+	.B1(n_17724),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1470]),
+	.Y(n_18505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687107 (
+	.A1(n_11454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1309]),
+	.B1(n_17741),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1332]),
+	.Y(n_18504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687108 (
+	.A1(n_11605),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1125]),
+	.B1(n_17719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1148]),
+	.Y(n_18503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687109 (
+	.A1(n_17735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1263]),
+	.B1(n_17736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1286]),
+	.Y(n_18502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687110 (
+	.A1(n_17713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1217]),
+	.B1(n_17734),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1240]),
+	.Y(n_18501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687111 (
+	.A1(n_11608),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1171]),
+	.B1(n_11451),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1194]),
+	.Y(n_18500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687112 (
+	.A1(n_17715),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [159]),
+	.B1(n_17716),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [182]),
+	.Y(n_18499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687113 (
+	.A1(n_17717),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [113]),
+	.B1(n_17718),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [136]),
+	.Y(n_18498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687114 (
+	.A1(n_11413),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [67]),
+	.B1(n_17714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [90]),
+	.Y(n_18497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687115 (
+	.A1(n_11594),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [21]),
+	.B1(n_11452),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [44]),
+	.Y(n_18496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687116 (
+	.A1(n_17729),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [941]),
+	.B1(n_17726),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [964]),
+	.Y(n_18495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687117 (
+	.A1(n_17727),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1079]),
+	.B1(n_11399),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1102]),
+	.Y(n_18494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687118 (
+	.A1(n_17746),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1429]),
+	.B1(n_17724),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1452]),
+	.Y(n_18493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687119 (
+	.A1(n_17730),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1033]),
+	.B1(n_17731),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1056]),
+	.Y(n_18492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687120 (
+	.A1(n_17728),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1383]),
+	.B1(n_17745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1406]),
+	.Y(n_18491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687121 (
+	.A1(n_17744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [849]),
+	.B1(n_11430),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [872]),
+	.Y(n_18490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687122 (
+	.A1(n_17722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [895]),
+	.B1(n_17723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [918]),
+	.Y(n_18489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687123 (
+	.A1(n_11484),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [757]),
+	.B1(n_11552),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [780]),
+	.Y(n_18488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687124 (
+	.A1(n_11454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1291]),
+	.B1(n_17741),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1314]),
+	.Y(n_18487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687125 (
+	.A1(n_11605),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1107]),
+	.B1(n_17719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1130]),
+	.Y(n_18486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687126 (
+	.A1(n_17713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1199]),
+	.B1(n_17734),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1222]),
+	.Y(n_18485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687127 (
+	.A1(n_11608),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1153]),
+	.B1(n_11451),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1176]),
+	.Y(n_18484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687128 (
+	.A1(n_11594),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [18]),
+	.B1(n_11452),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [41]),
+	.Y(n_18483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687129 (
+	.A1(n_11594),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [3]),
+	.B1(n_11452),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [26]),
+	.Y(n_18482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687130 (
+	.A1(n_17715),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [141]),
+	.B1(n_17716),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [164]),
+	.Y(n_18481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687131 (
+	.A1(n_17717),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [95]),
+	.B1(n_17718),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [118]),
+	.Y(n_18480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687132 (
+	.A1(n_17733),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [969]),
+	.B1(n_17709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [992]),
+	.Y(n_18479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687133 (
+	.A1(n_17730),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1015]),
+	.B1(n_17731),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1038]),
+	.Y(n_18478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687134 (
+	.A1(n_17715),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [156]),
+	.B1(n_17716),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [179]),
+	.Y(n_18477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687135 (
+	.A1(n_17727),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1061]),
+	.B1(n_11399),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1084]),
+	.Y(n_18476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687136 (
+	.A1(n_17729),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [923]),
+	.B1(n_17726),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [946]),
+	.Y(n_18475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687137 (
+	.A1(n_17744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [831]),
+	.B1(n_11430),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [854]),
+	.Y(n_18474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687138 (
+	.A1(n_17717),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [110]),
+	.B1(n_17718),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [133]),
+	.Y(n_18473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687139 (
+	.A1(n_17739),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [785]),
+	.B1(n_17732),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [808]),
+	.Y(n_18472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687140 (
+	.A1(n_17722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [877]),
+	.B1(n_17723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [900]),
+	.Y(n_18471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687141 (
+	.A1(n_11484),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [739]),
+	.B1(n_11552),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [762]),
+	.Y(n_18470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687142 (
+	.A1(n_17746),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1444]),
+	.B1(n_17724),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1467]),
+	.Y(n_18469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687143 (
+	.A1(n_11600),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1352]),
+	.B1(n_17743),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1375]),
+	.Y(n_18468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687144 (
+	.A1(n_17728),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1398]),
+	.B1(n_17745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1421]),
+	.Y(n_18467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687145 (
+	.A1(n_11454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1306]),
+	.B1(n_17741),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1329]),
+	.Y(n_18466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687146 (
+	.A1(n_11608),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1168]),
+	.B1(n_11451),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1191]),
+	.Y(n_18465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687147 (
+	.A1(n_11605),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1122]),
+	.B1(n_17719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1145]),
+	.Y(n_18464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687148 (
+	.A1(n_17715),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [142]),
+	.B1(n_17716),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [165]),
+	.Y(n_18463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687149 (
+	.A1(n_17735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1260]),
+	.B1(n_17736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1283]),
+	.Y(n_18462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687150 (
+	.A1(n_17713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1214]),
+	.B1(n_17734),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1237]),
+	.Y(n_18461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687151 (
+	.A1(n_11413),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [50]),
+	.B1(n_17714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [73]),
+	.Y(n_18460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687152 (
+	.A1(n_11454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1292]),
+	.B1(n_17741),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1315]),
+	.Y(n_18459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687153 (
+	.A1(n_17746),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1430]),
+	.B1(n_17724),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1453]),
+	.Y(n_18458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687154 (
+	.A1(n_17728),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1384]),
+	.B1(n_17745),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1407]),
+	.Y(n_18457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687155 (
+	.A1(n_11600),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1338]),
+	.B1(n_17743),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1361]),
+	.Y(n_18456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687156 (
+	.A1(n_11594),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [4]),
+	.B1(n_11452),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [27]),
+	.Y(n_18455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687157 (
+	.A1(n_11605),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1108]),
+	.B1(n_17719),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1131]),
+	.Y(n_18454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687158 (
+	.A1(n_17713),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1200]),
+	.B1(n_17734),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1223]),
+	.Y(n_18453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687159 (
+	.A1(n_17735),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1246]),
+	.B1(n_17736),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1269]),
+	.Y(n_18452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687160 (
+	.A1(n_11608),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1154]),
+	.B1(n_11451),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1177]),
+	.Y(n_18451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687161 (
+	.A1(n_17715),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [142]),
+	.B1(n_17716),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [165]),
+	.Y(n_18450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687162 (
+	.A1(n_17717),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [96]),
+	.B1(n_17718),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [119]),
+	.Y(n_18449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687163 (
+	.A1(n_11413),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [50]),
+	.B1(n_17714),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [73]),
+	.Y(n_18448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687164 (
+	.A1(n_11594),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [4]),
+	.B1(n_11452),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [27]),
+	.Y(n_18447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687165 (
+	.A1(n_17746),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1448]),
+	.B1(n_17724),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1471]),
+	.Y(n_18446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687166 (
+	.A1(n_11600),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1356]),
+	.B1(n_17743),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1379]),
+	.Y(n_18445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687167 (
+	.A1(n_17733),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [970]),
+	.B1(n_17709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [993]),
+	.Y(n_18444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687168 (
+	.A1(n_11454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1310]),
+	.B1(n_17741),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1333]),
+	.Y(n_18443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687169 (
+	.A1(n_17727),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1062]),
+	.B1(n_11399),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1085]),
+	.Y(n_18442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687170 (
+	.A1(n_17729),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [924]),
+	.B1(n_17726),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [947]),
+	.Y(n_18441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687171 (
+	.A1(n_11484),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [740]),
+	.B1(n_11552),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [763]),
+	.Y(n_18440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687172 (
+	.A1(n_17722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [878]),
+	.B1(n_17723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [901]),
+	.Y(n_18439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687173 (
+	.A1(n_17746),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1448]),
+	.B1(n_17724),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1471]),
+	.Y(n_18438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687174 (
+	.A1(n_17662),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [478]),
+	.B1(n_17665),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [501]),
+	.Y(n_18437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687175 (
+	.A1(n_17637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [694]),
+	.B1(n_17630),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [717]),
+	.Y(n_18436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687176 (
+	.A1(n_17639),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [648]),
+	.B1(n_17649),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [671]),
+	.Y(n_18435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687177 (
+	.A1(n_17647),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [556]),
+	.B1(n_17650),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [579]),
+	.Y(n_18434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687178 (
+	.A1(n_17694),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [372]),
+	.B1(n_11647),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [395]),
+	.Y(n_18433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687179 (
+	.A1(n_17699),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2626]),
+	.B1(n_17703),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2649]),
+	.Y(n_18432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687180 (
+	.A1(n_17619),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [510]),
+	.B1(n_17679),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [533]),
+	.Y(n_18431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687181 (
+	.A1(n_17652),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [418]),
+	.B1(n_11559),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [441]),
+	.Y(n_18430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687182 (
+	.A1(n_17639),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [662]),
+	.B1(n_17649),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [685]),
+	.Y(n_18429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687183 (
+	.A1(n_17637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [712]),
+	.B1(n_17630),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [735]),
+	.Y(n_18428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687184 (
+	.A1(n_17662),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [464]),
+	.B1(n_17665),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [487]),
+	.Y(n_18427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687185 (
+	.A1(n_17667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1660]),
+	.B1(n_17670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1683]),
+	.Y(n_18426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687186 (
+	.A1(n_11406),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2442]),
+	.B1(n_17666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2465]),
+	.Y(n_18425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687187 (
+	.A1(n_17643),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [620]),
+	.B1(n_17645),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [643]),
+	.Y(n_18424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687188 (
+	.A1(n_17661),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1978]),
+	.B1(n_17664),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2001]),
+	.Y(n_18423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687189 (
+	.A1(n_17671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1798]),
+	.B1(n_17674),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1821]),
+	.Y(n_18422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687190 (
+	.A1(n_17637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [708]),
+	.B1(n_17630),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [731]),
+	.Y(n_18421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687191 (
+	.A1(n_17683),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1706]),
+	.B1(n_17688),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1729]),
+	.Y(n_18420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687192 (
+	.A1(n_17677),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1752]),
+	.B1(n_17680),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1775]),
+	.Y(n_18419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687193 (
+	.A1(n_17639),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [666]),
+	.B1(n_17649),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [689]),
+	.Y(n_18418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687194 (
+	.A1(n_17648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1614]),
+	.B1(n_17633),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1637]),
+	.Y(n_18417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687195 (
+	.A1(n_17623),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1522]),
+	.B1(n_17628),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1545]),
+	.Y(n_18416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687196 (
+	.A1(n_17647),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [570]),
+	.B1(n_17650),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [593]),
+	.Y(n_18415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687197 (
+	.A1(n_17673),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1568]),
+	.B1(n_17632),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1591]),
+	.Y(n_18414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687198 (
+	.A1(n_17619),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [528]),
+	.B1(n_17679),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [551]),
+	.Y(n_18413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687199 (
+	.A1(n_17618),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1476]),
+	.B1(n_17621),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1499]),
+	.Y(n_18412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687200 (
+	.A1(n_17700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2534]),
+	.B1(n_11461),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2557]),
+	.Y(n_18411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687201 (
+	.A1(n_17652),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [436]),
+	.B1(n_11559),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [459]),
+	.Y(n_18410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687202 (
+	.A1(n_17635),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2901]),
+	.B1(n_17686),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2924]),
+	.Y(n_18409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687203 (
+	.A1(n_17614),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2855]),
+	.B1(n_17620),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2878]),
+	.Y(n_18408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687204 (
+	.A1(n_17611),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2809]),
+	.B1(n_17638),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2832]),
+	.Y(n_18407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687205 (
+	.A1(n_17662),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [482]),
+	.B1(n_17665),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [505]),
+	.Y(n_18406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687206 (
+	.A1(n_17695),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2763]),
+	.B1(n_17613),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2786]),
+	.Y(n_18405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687207 (
+	.A1(n_17662),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [478]),
+	.B1(n_17665),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [501]),
+	.Y(n_18404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687208 (
+	.A1(n_17622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [206]),
+	.B1(n_17624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [229]),
+	.Y(n_18403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687209 (
+	.A1(n_17673),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1567]),
+	.B1(n_17632),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1590]),
+	.Y(n_18402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687210 (
+	.A1(n_17623),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1521]),
+	.B1(n_17628),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1544]),
+	.Y(n_18401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687211 (
+	.A1(n_17626),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [344]),
+	.B1(n_17627),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [367]),
+	.Y(n_18400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687212 (
+	.A1(n_17648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1613]),
+	.B1(n_17633),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1636]),
+	.Y(n_18399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687213 (
+	.A1(n_17618),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1475]),
+	.B1(n_17621),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1498]),
+	.Y(n_18398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687214 (
+	.A1(n_17619),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [524]),
+	.B1(n_17679),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [547]),
+	.Y(n_18397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687215 (
+	.A1(n_11565),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2396]),
+	.B1(n_17698),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2419]),
+	.Y(n_18396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687216 (
+	.A1(n_17676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [252]),
+	.B1(n_17625),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [275]),
+	.Y(n_18395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687217 (
+	.A1(n_17677),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1751]),
+	.B1(n_17680),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1774]),
+	.Y(n_18394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687218 (
+	.A1(n_17667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1659]),
+	.B1(n_17670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1682]),
+	.Y(n_18393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687219 (
+	.A1(n_17694),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [386]),
+	.B1(n_11647),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [409]),
+	.Y(n_18392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687220 (
+	.A1(n_17671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1797]),
+	.B1(n_17674),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1820]),
+	.Y(n_18391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687221 (
+	.A1(n_17629),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [298]),
+	.B1(n_17640),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [321]),
+	.Y(n_18390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687222 (
+	.A1(n_17683),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1705]),
+	.B1(n_17688),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1728]),
+	.Y(n_18389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687223 (
+	.A1(n_17641),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2349]),
+	.B1(n_17689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2372]),
+	.Y(n_18388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687224 (
+	.A1(n_17692),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2257]),
+	.B1(n_17693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2280]),
+	.Y(n_18387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687225 (
+	.A1(n_17684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2303]),
+	.B1(n_17644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2326]),
+	.Y(n_18386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687226 (
+	.A1(n_17681),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2211]),
+	.B1(n_11582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2234]),
+	.Y(n_18385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687227 (
+	.A1(n_11471),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2672]),
+	.B1(n_11421),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2695]),
+	.Y(n_18384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687228 (
+	.A1(n_11472),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2717]),
+	.B1(n_17617),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2740]),
+	.Y(n_18383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687229 (
+	.A1(n_17699),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2625]),
+	.B1(n_17703),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2648]),
+	.Y(n_18382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687230 (
+	.A1(n_11471),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2671]),
+	.B1(n_11421),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2694]),
+	.Y(n_18381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687231 (
+	.A1(n_17692),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2258]),
+	.B1(n_17693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2281]),
+	.Y(n_18380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687232 (
+	.A1(n_17700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2533]),
+	.B1(n_11461),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2556]),
+	.Y(n_18379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687233 (
+	.A1(n_17635),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2920]),
+	.B1(n_17686),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2943]),
+	.Y(n_18378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687234 (
+	.A1(n_11406),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2441]),
+	.B1(n_17666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2464]),
+	.Y(n_18377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687235 (
+	.A1(n_11565),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2395]),
+	.B1(n_17698),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2418]),
+	.Y(n_18376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687236 (
+	.A1(n_17611),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2828]),
+	.B1(n_17638),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2851]),
+	.Y(n_18375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687237 (
+	.A1(n_11406),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2455]),
+	.B1(n_17666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2478]),
+	.Y(n_18374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687238 (
+	.A1(n_17614),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2874]),
+	.B1(n_17620),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2897]),
+	.Y(n_18373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687239 (
+	.A1(n_17671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1794]),
+	.B1(n_17674),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1817]),
+	.Y(n_18372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687240 (
+	.A1(n_17687),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2501]),
+	.B1(n_17660),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2524]),
+	.Y(n_18371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687241 (
+	.A1(n_11472),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2736]),
+	.B1(n_17617),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2759]),
+	.Y(n_18370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687242 (
+	.A1(n_17683),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1706]),
+	.B1(n_17688),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1729]),
+	.Y(n_18369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687243 (
+	.A1(n_17699),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2644]),
+	.B1(n_17703),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2667]),
+	.Y(n_18368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687244 (
+	.A1(n_17700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2547]),
+	.B1(n_11461),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2570]),
+	.Y(n_18367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687245 (
+	.A1(n_11471),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2690]),
+	.B1(n_11421),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2713]),
+	.Y(n_18366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687246 (
+	.A1(n_17647),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [555]),
+	.B1(n_17650),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [578]),
+	.Y(n_18365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687247 (
+	.A1(n_17643),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [601]),
+	.B1(n_17645),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [624]),
+	.Y(n_18364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687248 (
+	.A1(n_17641),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2350]),
+	.B1(n_17689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2373]),
+	.Y(n_18363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687249 (
+	.A1(n_17639),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [647]),
+	.B1(n_17649),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [670]),
+	.Y(n_18362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687250 (
+	.A1(n_17619),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [509]),
+	.B1(n_17679),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [532]),
+	.Y(n_18361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687251 (
+	.A1(n_17652),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [417]),
+	.B1(n_11559),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [440]),
+	.Y(n_18360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687252 (
+	.A1(n_17694),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [371]),
+	.B1(n_11647),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [394]),
+	.Y(n_18359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687253 (
+	.A1(n_11565),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2409]),
+	.B1(n_17698),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2432]),
+	.Y(n_18358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687254 (
+	.A1(n_11428),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1903]),
+	.B1(n_11440),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1926]),
+	.Y(n_18357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687255 (
+	.A1(n_17626),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [325]),
+	.B1(n_17627),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [348]),
+	.Y(n_18356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687256 (
+	.A1(n_17622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [187]),
+	.B1(n_17624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [210]),
+	.Y(n_18355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687257 (
+	.A1(n_17684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2304]),
+	.B1(n_17644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2327]),
+	.Y(n_18354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687258 (
+	.A1(n_17676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [233]),
+	.B1(n_17625),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [256]),
+	.Y(n_18353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687259 (
+	.A1(n_17629),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [279]),
+	.B1(n_17640),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [302]),
+	.Y(n_18352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687260 (
+	.A1(n_17690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1949]),
+	.B1(n_17669),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1972]),
+	.Y(n_18351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687261 (
+	.A1(n_17677),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1752]),
+	.B1(n_17680),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1775]),
+	.Y(n_18350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687262 (
+	.A1(n_17661),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1995]),
+	.B1(n_17664),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2018]),
+	.Y(n_18349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687263 (
+	.A1(n_17654),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1857]),
+	.B1(n_17702),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1880]),
+	.Y(n_18348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687264 (
+	.A1(n_17631),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2119]),
+	.B1(n_17634),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2142]),
+	.Y(n_18347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687265 (
+	.A1(n_11437),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2073]),
+	.B1(n_17615),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2096]),
+	.Y(n_18346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687266 (
+	.A1(n_11584),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2027]),
+	.B1(n_17668),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2050]),
+	.Y(n_18345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687267 (
+	.A1(n_17654),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1843]),
+	.B1(n_17702),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1866]),
+	.Y(n_18344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687268 (
+	.A1(n_17661),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1981]),
+	.B1(n_17664),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2004]),
+	.Y(n_18343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687269 (
+	.A1(n_17681),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2212]),
+	.B1(n_11582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2235]),
+	.Y(n_18342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687270 (
+	.A1(n_11428),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1889]),
+	.B1(n_11440),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1912]),
+	.Y(n_18341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687271 (
+	.A1(n_17683),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1719]),
+	.B1(n_17688),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1742]),
+	.Y(n_18340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687272 (
+	.A1(n_17631),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2135]),
+	.B1(n_17634),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2158]),
+	.Y(n_18339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687273 (
+	.A1(n_17675),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2181]),
+	.B1(n_11624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2204]),
+	.Y(n_18338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687274 (
+	.A1(n_17677),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1765]),
+	.B1(n_17680),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1788]),
+	.Y(n_18337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687275 (
+	.A1(n_11584),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2043]),
+	.B1(n_17668),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2066]),
+	.Y(n_18336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687276 (
+	.A1(n_17671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1811]),
+	.B1(n_17674),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1834]),
+	.Y(n_18335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687277 (
+	.A1(n_17647),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [573]),
+	.B1(n_17650),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [596]),
+	.Y(n_18334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687278 (
+	.A1(n_11471),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2687]),
+	.B1(n_11421),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2710]),
+	.Y(n_18333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687279 (
+	.A1(n_17675),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2180]),
+	.B1(n_11624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2203]),
+	.Y(n_18332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687280 (
+	.A1(n_17667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1673]),
+	.B1(n_17670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1696]),
+	.Y(n_18331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687281 (
+	.A1(n_11437),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2088]),
+	.B1(n_17615),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2111]),
+	.Y(n_18330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687282 (
+	.A1(n_11472),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2733]),
+	.B1(n_17617),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2756]),
+	.Y(n_18329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687283 (
+	.A1(n_17672),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2595]),
+	.B1(n_17612),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2618]),
+	.Y(n_18328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687284 (
+	.A1(n_17631),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2134]),
+	.B1(n_17634),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2157]),
+	.Y(n_18327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687285 (
+	.A1(n_11472),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2732]),
+	.B1(n_17617),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2755]),
+	.Y(n_18326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687286 (
+	.A1(n_17692),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2254]),
+	.B1(n_17693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2277]),
+	.Y(n_18325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687287 (
+	.A1(n_17692),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2271]),
+	.B1(n_17693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2294]),
+	.Y(n_18324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687288 (
+	.A1(n_11471),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2686]),
+	.B1(n_11421),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2709]),
+	.Y(n_18323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687289 (
+	.A1(n_17672),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2594]),
+	.B1(n_17612),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2617]),
+	.Y(n_18322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687290 (
+	.A1(n_17614),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2871]),
+	.B1(n_17620),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2894]),
+	.Y(n_18321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687291 (
+	.A1(n_17690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1936]),
+	.B1(n_17669),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1959]),
+	.Y(n_18320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687292 (
+	.A1(n_17700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2548]),
+	.B1(n_11461),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2571]),
+	.Y(n_18319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687293 (
+	.A1(n_17684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2317]),
+	.B1(n_17644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2340]),
+	.Y(n_18318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687294 (
+	.A1(n_11406),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2456]),
+	.B1(n_17666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2479]),
+	.Y(n_18317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687295 (
+	.A1(n_17635),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2917]),
+	.B1(n_17686),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2940]),
+	.Y(n_18316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687296 (
+	.A1(n_17671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1798]),
+	.B1(n_17674),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1821]),
+	.Y(n_18315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687297 (
+	.A1(n_11565),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2410]),
+	.B1(n_17698),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2433]),
+	.Y(n_18314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687298 (
+	.A1(n_17695),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2779]),
+	.B1(n_17613),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2802]),
+	.Y(n_18313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687299 (
+	.A1(n_17661),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1996]),
+	.B1(n_17664),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2019]),
+	.Y(n_18312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687300 (
+	.A1(n_17641),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2363]),
+	.B1(n_17689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2386]),
+	.Y(n_18311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687301 (
+	.A1(n_11428),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1904]),
+	.B1(n_11440),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1927]),
+	.Y(n_18310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687302 (
+	.A1(n_17690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1950]),
+	.B1(n_17669),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1973]),
+	.Y(n_18309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687303 (
+	.A1(n_17684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2318]),
+	.B1(n_17644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2341]),
+	.Y(n_18308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687304 (
+	.A1(n_17692),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2273]),
+	.B1(n_17693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2296]),
+	.Y(n_18307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687305 (
+	.A1(n_17641),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2364]),
+	.B1(n_17689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2387]),
+	.Y(n_18306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687306 (
+	.A1(n_17681),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2225]),
+	.B1(n_11582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2248]),
+	.Y(n_18305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687307 (
+	.A1(n_17692),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2272]),
+	.B1(n_17693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2295]),
+	.Y(n_18304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687308 (
+	.A1(n_17641),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2365]),
+	.B1(n_17689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2388]),
+	.Y(n_18303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687309 (
+	.A1(n_17681),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2226]),
+	.B1(n_11582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2249]),
+	.Y(n_18302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687310 (
+	.A1(n_17681),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2227]),
+	.B1(n_11582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2250]),
+	.Y(n_18301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687311 (
+	.A1(n_17695),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2778]),
+	.B1(n_17613),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2801]),
+	.Y(n_18300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687312 (
+	.A1(n_17635),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2916]),
+	.B1(n_17686),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2939]),
+	.Y(n_18299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687313 (
+	.A1(n_17611),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2824]),
+	.B1(n_17638),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2847]),
+	.Y(n_18298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687314 (
+	.A1(n_11428),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1905]),
+	.B1(n_11440),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1928]),
+	.Y(n_18297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687315 (
+	.A1(n_17614),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2870]),
+	.B1(n_17620),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2893]),
+	.Y(n_18296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687316 (
+	.A1(n_17690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1951]),
+	.B1(n_17669),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1974]),
+	.Y(n_18295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687317 (
+	.A1(n_17671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1815]),
+	.B1(n_17674),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1838]),
+	.Y(n_18294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687318 (
+	.A1(n_17648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1627]),
+	.B1(n_17633),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1650]),
+	.Y(n_18293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687319 (
+	.A1(n_17667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1660]),
+	.B1(n_17670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1683]),
+	.Y(n_18292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687320 (
+	.A1(n_17661),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1997]),
+	.B1(n_17664),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2020]),
+	.Y(n_18291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687321 (
+	.A1(n_17654),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1859]),
+	.B1(n_17702),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1882]),
+	.Y(n_18290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687322 (
+	.A1(n_17623),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1535]),
+	.B1(n_17628),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1558]),
+	.Y(n_18289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687323 (
+	.A1(n_11406),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2457]),
+	.B1(n_17666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2480]),
+	.Y(n_18288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687324 (
+	.A1(n_17622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [202]),
+	.B1(n_17624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [225]),
+	.Y(n_18287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687325 (
+	.A1(n_11565),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2411]),
+	.B1(n_17698),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2434]),
+	.Y(n_18286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687326 (
+	.A1(n_17626),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [340]),
+	.B1(n_17627),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [363]),
+	.Y(n_18285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687327 (
+	.A1(n_17618),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1489]),
+	.B1(n_17621),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1512]),
+	.Y(n_18284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687328 (
+	.A1(n_17700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2549]),
+	.B1(n_11461),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2572]),
+	.Y(n_18283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687329 (
+	.A1(n_17676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [248]),
+	.B1(n_17625),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [271]),
+	.Y(n_18282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687330 (
+	.A1(n_17629),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [294]),
+	.B1(n_17640),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [317]),
+	.Y(n_18281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687331 (
+	.A1(n_17687),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2503]),
+	.B1(n_17660),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2526]),
+	.Y(n_18280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687332 (
+	.A1(n_17631),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2137]),
+	.B1(n_17634),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2160]),
+	.Y(n_18279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687333 (
+	.A1(n_17631),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2133]),
+	.B1(n_17634),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2156]),
+	.Y(n_18278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687334 (
+	.A1(n_11437),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2070]),
+	.B1(n_17615),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2093]),
+	.Y(n_18277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687335 (
+	.A1(n_17675),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2179]),
+	.B1(n_11624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2202]),
+	.Y(n_18276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687336 (
+	.A1(n_11584),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2041]),
+	.B1(n_17668),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2064]),
+	.Y(n_18275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687337 (
+	.A1(n_17647),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [570]),
+	.B1(n_17650),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [593]),
+	.Y(n_18274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687338 (
+	.A1(n_17637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [708]),
+	.B1(n_17630),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [731]),
+	.Y(n_18273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687339 (
+	.A1(n_17643),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [616]),
+	.B1(n_17645),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [639]),
+	.Y(n_18272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687340 (
+	.A1(n_17639),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [662]),
+	.B1(n_17649),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [685]),
+	.Y(n_18271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687341 (
+	.A1(n_17694),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [386]),
+	.B1(n_11647),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [409]),
+	.Y(n_18270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687342 (
+	.A1(n_17699),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2622]),
+	.B1(n_17703),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2645]),
+	.Y(n_18269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687343 (
+	.A1(n_17619),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [524]),
+	.B1(n_17679),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [547]),
+	.Y(n_18268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687344 (
+	.A1(n_17652),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [432]),
+	.B1(n_11559),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [455]),
+	.Y(n_18267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687345 (
+	.A1(n_17647),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [571]),
+	.B1(n_17650),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [594]),
+	.Y(n_18266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687346 (
+	.A1(n_17643),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [602]),
+	.B1(n_17645),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [625]),
+	.Y(n_18265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687347 (
+	.A1(n_17667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1674]),
+	.B1(n_17670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1697]),
+	.Y(n_18264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687348 (
+	.A1(n_17637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [709]),
+	.B1(n_17630),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [732]),
+	.Y(n_18263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687349 (
+	.A1(n_17671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1812]),
+	.B1(n_17674),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1835]),
+	.Y(n_18262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687350 (
+	.A1(n_17683),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1720]),
+	.B1(n_17688),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1743]),
+	.Y(n_18261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687351 (
+	.A1(n_17643),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [617]),
+	.B1(n_17645),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [640]),
+	.Y(n_18260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687352 (
+	.A1(n_17677),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1766]),
+	.B1(n_17680),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1789]),
+	.Y(n_18259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687353 (
+	.A1(n_17648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1628]),
+	.B1(n_17633),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1651]),
+	.Y(n_18258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687354 (
+	.A1(n_17639),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [663]),
+	.B1(n_17649),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [686]),
+	.Y(n_18257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687355 (
+	.A1(n_17623),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1536]),
+	.B1(n_17628),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1559]),
+	.Y(n_18256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687356 (
+	.A1(n_17673),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1582]),
+	.B1(n_17632),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1605]),
+	.Y(n_18255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687357 (
+	.A1(n_17652),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [433]),
+	.B1(n_11559),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [456]),
+	.Y(n_18254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687358 (
+	.A1(n_17618),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1490]),
+	.B1(n_17621),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1513]),
+	.Y(n_18253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687359 (
+	.A1(n_17694),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [387]),
+	.B1(n_11647),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [410]),
+	.Y(n_18252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687360 (
+	.A1(n_17614),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2869]),
+	.B1(n_17620),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2892]),
+	.Y(n_18251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687361 (
+	.A1(n_17619),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [525]),
+	.B1(n_17679),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [548]),
+	.Y(n_18250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687362 (
+	.A1(n_17635),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2915]),
+	.B1(n_17686),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2938]),
+	.Y(n_18249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687363 (
+	.A1(n_17611),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2823]),
+	.B1(n_17638),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2846]),
+	.Y(n_18248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687364 (
+	.A1(n_17662),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [479]),
+	.B1(n_17665),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [502]),
+	.Y(n_18247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687365 (
+	.A1(n_17641),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2363]),
+	.B1(n_17689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2386]),
+	.Y(n_18246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687366 (
+	.A1(n_17684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2317]),
+	.B1(n_17644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2340]),
+	.Y(n_18245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687367 (
+	.A1(n_17695),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2780]),
+	.B1(n_17613),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2803]),
+	.Y(n_18244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687368 (
+	.A1(n_17681),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2225]),
+	.B1(n_11582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2248]),
+	.Y(n_18243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687369 (
+	.A1(n_17676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [249]),
+	.B1(n_17625),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [272]),
+	.Y(n_18242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687370 (
+	.A1(n_17699),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2639]),
+	.B1(n_17703),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2662]),
+	.Y(n_18241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687371 (
+	.A1(n_11471),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2685]),
+	.B1(n_11421),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2708]),
+	.Y(n_18240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687372 (
+	.A1(n_17626),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [341]),
+	.B1(n_17627),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [364]),
+	.Y(n_18239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687373 (
+	.A1(n_17672),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2593]),
+	.B1(n_17612),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2616]),
+	.Y(n_18238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687374 (
+	.A1(n_17629),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [295]),
+	.B1(n_17640),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [318]),
+	.Y(n_18237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687375 (
+	.A1(n_17667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1673]),
+	.B1(n_17670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1696]),
+	.Y(n_18236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687376 (
+	.A1(n_17671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1811]),
+	.B1(n_17674),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1834]),
+	.Y(n_18235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687377 (
+	.A1(n_17683),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1719]),
+	.B1(n_17688),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1742]),
+	.Y(n_18234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687378 (
+	.A1(n_17626),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [343]),
+	.B1(n_17627),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [366]),
+	.Y(n_18233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687379 (
+	.A1(n_17618),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1489]),
+	.B1(n_17621),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1512]),
+	.Y(n_18232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687380 (
+	.A1(n_17623),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1535]),
+	.B1(n_17628),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1558]),
+	.Y(n_18231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687381 (
+	.A1(n_17648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1627]),
+	.B1(n_17633),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1650]),
+	.Y(n_18230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687382 (
+	.A1(n_17661),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1982]),
+	.B1(n_17664),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2005]),
+	.Y(n_18229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687383 (
+	.A1(n_17673),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1581]),
+	.B1(n_17632),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1604]),
+	.Y(n_18228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687384 (
+	.A1(n_17614),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2856]),
+	.B1(n_17620),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2879]),
+	.Y(n_18227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687385 (
+	.A1(n_11565),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2409]),
+	.B1(n_17698),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2432]),
+	.Y(n_18226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687386 (
+	.A1(n_17700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2547]),
+	.B1(n_11461),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2570]),
+	.Y(n_18225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687387 (
+	.A1(n_11406),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2455]),
+	.B1(n_17666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2478]),
+	.Y(n_18224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687388 (
+	.A1(n_17687),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2501]),
+	.B1(n_17660),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2524]),
+	.Y(n_18223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687389 (
+	.A1(n_17654),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1844]),
+	.B1(n_17702),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1867]),
+	.Y(n_18222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687390 (
+	.A1(n_17690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1953]),
+	.B1(n_17669),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1976]),
+	.Y(n_18221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687391 (
+	.A1(n_17684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2321]),
+	.B1(n_17644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2344]),
+	.Y(n_18220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687392 (
+	.A1(n_17639),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [661]),
+	.B1(n_17649),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [684]),
+	.Y(n_18219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687393 (
+	.A1(n_11472),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2714]),
+	.B1(n_17617),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2737]),
+	.Y(n_18218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687394 (
+	.A1(n_17637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [707]),
+	.B1(n_17630),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [730]),
+	.Y(n_18217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687395 (
+	.A1(n_17637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [707]),
+	.B1(n_17630),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [730]),
+	.Y(n_18216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687396 (
+	.A1(n_17647),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [569]),
+	.B1(n_17650),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [592]),
+	.Y(n_18215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687397 (
+	.A1(n_17643),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [615]),
+	.B1(n_17645),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [638]),
+	.Y(n_18214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687398 (
+	.A1(n_17643),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [615]),
+	.B1(n_17645),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [638]),
+	.Y(n_18213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687399 (
+	.A1(n_17639),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [661]),
+	.B1(n_17649),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [684]),
+	.Y(n_18212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687400 (
+	.A1(n_17619),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [523]),
+	.B1(n_17679),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [546]),
+	.Y(n_18211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687401 (
+	.A1(n_17694),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [385]),
+	.B1(n_11647),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [408]),
+	.Y(n_18210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687402 (
+	.A1(n_17652),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [431]),
+	.B1(n_11559),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [454]),
+	.Y(n_18209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687403 (
+	.A1(n_17671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1813]),
+	.B1(n_17674),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1836]),
+	.Y(n_18208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687404 (
+	.A1(n_17662),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [477]),
+	.B1(n_17665),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [500]),
+	.Y(n_18207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687405 (
+	.A1(n_17677),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1767]),
+	.B1(n_17680),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1790]),
+	.Y(n_18206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687406 (
+	.A1(n_17622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [201]),
+	.B1(n_17624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [224]),
+	.Y(n_18205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687407 (
+	.A1(n_17662),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [477]),
+	.B1(n_17665),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [500]),
+	.Y(n_18204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687408 (
+	.A1(n_17683),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1721]),
+	.B1(n_17688),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1744]),
+	.Y(n_18203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687409 (
+	.A1(n_17676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [247]),
+	.B1(n_17625),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [270]),
+	.Y(n_18202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687410 (
+	.A1(n_17667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1675]),
+	.B1(n_17670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1698]),
+	.Y(n_18201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687411 (
+	.A1(n_17629),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [293]),
+	.B1(n_17640),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [316]),
+	.Y(n_18200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687412 (
+	.A1(n_17652),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [431]),
+	.B1(n_11559),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [454]),
+	.Y(n_18199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687413 (
+	.A1(n_17648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1629]),
+	.B1(n_17633),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1652]),
+	.Y(n_18198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687414 (
+	.A1(n_17673),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1583]),
+	.B1(n_17632),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1606]),
+	.Y(n_18197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687415 (
+	.A1(n_17631),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2133]),
+	.B1(n_17634),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2156]),
+	.Y(n_18196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687416 (
+	.A1(n_11584),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2041]),
+	.B1(n_17668),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2064]),
+	.Y(n_18195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687417 (
+	.A1(n_17694),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [385]),
+	.B1(n_11647),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [408]),
+	.Y(n_18194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687418 (
+	.A1(n_17623),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1537]),
+	.B1(n_17628),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1560]),
+	.Y(n_18193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687419 (
+	.A1(n_17675),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2179]),
+	.B1(n_11624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2202]),
+	.Y(n_18192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687420 (
+	.A1(n_11437),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2087]),
+	.B1(n_17615),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2110]),
+	.Y(n_18191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687421 (
+	.A1(n_17618),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1491]),
+	.B1(n_17621),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1514]),
+	.Y(n_18190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687422 (
+	.A1(n_11428),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1903]),
+	.B1(n_11440),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1926]),
+	.Y(n_18189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687423 (
+	.A1(n_17654),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1857]),
+	.B1(n_17702),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1880]),
+	.Y(n_18188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687424 (
+	.A1(n_17661),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1995]),
+	.B1(n_17664),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2018]),
+	.Y(n_18187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687425 (
+	.A1(n_17690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1949]),
+	.B1(n_17669),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1972]),
+	.Y(n_18186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687426 (
+	.A1(n_17626),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [339]),
+	.B1(n_17627),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [362]),
+	.Y(n_18185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687427 (
+	.A1(n_11471),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2689]),
+	.B1(n_11421),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2712]),
+	.Y(n_18184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687428 (
+	.A1(n_17622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [201]),
+	.B1(n_17624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [224]),
+	.Y(n_18183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687429 (
+	.A1(n_11472),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2735]),
+	.B1(n_17617),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2758]),
+	.Y(n_18182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687430 (
+	.A1(n_17676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [247]),
+	.B1(n_17625),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [270]),
+	.Y(n_18181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687431 (
+	.A1(n_17699),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2643]),
+	.B1(n_17703),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2666]),
+	.Y(n_18180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687432 (
+	.A1(n_17672),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2597]),
+	.B1(n_17612),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2620]),
+	.Y(n_18179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687433 (
+	.A1(n_17687),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2504]),
+	.B1(n_17660),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2527]),
+	.Y(n_18178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687434 (
+	.A1(n_17629),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [293]),
+	.B1(n_17640),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [316]),
+	.Y(n_18177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687435 (
+	.A1(n_17667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1677]),
+	.B1(n_17670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1700]),
+	.Y(n_18176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687436 (
+	.A1(n_17614),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2871]),
+	.B1(n_17620),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2894]),
+	.Y(n_18175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687437 (
+	.A1(n_17671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1815]),
+	.B1(n_17674),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1838]),
+	.Y(n_18174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687438 (
+	.A1(n_17635),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2917]),
+	.B1(n_17686),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2940]),
+	.Y(n_18173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687439 (
+	.A1(n_17611),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2825]),
+	.B1(n_17638),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2848]),
+	.Y(n_18172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687440 (
+	.A1(n_17683),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1723]),
+	.B1(n_17688),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1746]),
+	.Y(n_18171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687441 (
+	.A1(n_17661),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1997]),
+	.B1(n_17664),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2020]),
+	.Y(n_18170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687442 (
+	.A1(n_17677),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1769]),
+	.B1(n_17680),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1792]),
+	.Y(n_18169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687443 (
+	.A1(n_11428),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1905]),
+	.B1(n_11440),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1928]),
+	.Y(n_18168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687444 (
+	.A1(n_17654),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1859]),
+	.B1(n_17702),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1882]),
+	.Y(n_18167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687445 (
+	.A1(n_11565),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2413]),
+	.B1(n_17698),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2436]),
+	.Y(n_18166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687446 (
+	.A1(n_11471),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2687]),
+	.B1(n_11421),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2710]),
+	.Y(n_18165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687447 (
+	.A1(n_11472),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2733]),
+	.B1(n_17617),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2756]),
+	.Y(n_18164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687448 (
+	.A1(n_17700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2551]),
+	.B1(n_11461),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2574]),
+	.Y(n_18163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687449 (
+	.A1(n_17699),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2641]),
+	.B1(n_17703),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2664]),
+	.Y(n_18162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687450 (
+	.A1(n_17667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1675]),
+	.B1(n_17670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1698]),
+	.Y(n_18161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687451 (
+	.A1(n_11406),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2459]),
+	.B1(n_17666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2482]),
+	.Y(n_18160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687452 (
+	.A1(n_17671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1813]),
+	.B1(n_17674),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1836]),
+	.Y(n_18159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687453 (
+	.A1(n_17687),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2505]),
+	.B1(n_17660),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2528]),
+	.Y(n_18158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687454 (
+	.A1(n_17677),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1767]),
+	.B1(n_17680),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1790]),
+	.Y(n_18157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687455 (
+	.A1(n_17648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1629]),
+	.B1(n_17633),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1652]),
+	.Y(n_18156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687456 (
+	.A1(n_17618),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1493]),
+	.B1(n_17621),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1516]),
+	.Y(n_18155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687457 (
+	.A1(n_17623),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1537]),
+	.B1(n_17628),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1560]),
+	.Y(n_18154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687458 (
+	.A1(n_17673),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1583]),
+	.B1(n_17632),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1606]),
+	.Y(n_18153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687459 (
+	.A1(n_17648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1631]),
+	.B1(n_17633),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1654]),
+	.Y(n_18152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687460 (
+	.A1(n_17618),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1491]),
+	.B1(n_17621),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1514]),
+	.Y(n_18151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687461 (
+	.A1(n_11437),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2089]),
+	.B1(n_17615),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2112]),
+	.Y(n_18150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687462 (
+	.A1(n_17623),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1539]),
+	.B1(n_17628),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1562]),
+	.Y(n_18149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687463 (
+	.A1(n_11584),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2043]),
+	.B1(n_17668),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2066]),
+	.Y(n_18148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687464 (
+	.A1(n_17675),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2181]),
+	.B1(n_11624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2204]),
+	.Y(n_18147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687465 (
+	.A1(n_17673),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1585]),
+	.B1(n_17632),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1608]),
+	.Y(n_18146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687466 (
+	.A1(n_17631),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2135]),
+	.B1(n_17634),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2158]),
+	.Y(n_18145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687467 (
+	.A1(n_11428),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1886]),
+	.B1(n_11440),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1909]),
+	.Y(n_18144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687468 (
+	.A1(n_17635),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2915]),
+	.B1(n_17686),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2938]),
+	.Y(n_18143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687469 (
+	.A1(n_17692),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2275]),
+	.B1(n_17693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2298]),
+	.Y(n_18142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687470 (
+	.A1(n_17641),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2367]),
+	.B1(n_17689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2390]),
+	.Y(n_18141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687471 (
+	.A1(n_17611),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2823]),
+	.B1(n_17638),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2846]),
+	.Y(n_18140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687472 (
+	.A1(n_17611),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2824]),
+	.B1(n_17638),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2847]),
+	.Y(n_18139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687473 (
+	.A1(n_17629),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [295]),
+	.B1(n_17640),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [318]),
+	.Y(n_18138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687474 (
+	.A1(n_17614),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2869]),
+	.B1(n_17620),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2892]),
+	.Y(n_18137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687475 (
+	.A1(n_17622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [203]),
+	.B1(n_17624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [226]),
+	.Y(n_18136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687476 (
+	.A1(n_17611),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2827]),
+	.B1(n_17638),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2850]),
+	.Y(n_18135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687477 (
+	.A1(n_17626),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [341]),
+	.B1(n_17627),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [364]),
+	.Y(n_18134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687478 (
+	.A1(n_17676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [249]),
+	.B1(n_17625),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [272]),
+	.Y(n_18133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687479 (
+	.A1(n_17635),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2919]),
+	.B1(n_17686),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2942]),
+	.Y(n_18132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687480 (
+	.A1(n_17614),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2873]),
+	.B1(n_17620),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2896]),
+	.Y(n_18131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687481 (
+	.A1(n_17614),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2870]),
+	.B1(n_17620),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2893]),
+	.Y(n_18130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687482 (
+	.A1(n_11472),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2731]),
+	.B1(n_17617),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2754]),
+	.Y(n_18129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687483 (
+	.A1(n_17699),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2639]),
+	.B1(n_17703),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2662]),
+	.Y(n_18128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687484 (
+	.A1(n_17635),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2916]),
+	.B1(n_17686),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2939]),
+	.Y(n_18127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687485 (
+	.A1(n_17687),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2503]),
+	.B1(n_17660),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2526]),
+	.Y(n_18126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687486 (
+	.A1(n_11471),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2685]),
+	.B1(n_11421),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2708]),
+	.Y(n_18125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687487 (
+	.A1(n_17700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2549]),
+	.B1(n_11461),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2572]),
+	.Y(n_18124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687488 (
+	.A1(n_11406),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2457]),
+	.B1(n_17666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2480]),
+	.Y(n_18123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687489 (
+	.A1(n_11565),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2411]),
+	.B1(n_17698),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2434]),
+	.Y(n_18122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687490 (
+	.A1(n_17692),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2273]),
+	.B1(n_17693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2296]),
+	.Y(n_18121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687491 (
+	.A1(n_17684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2319]),
+	.B1(n_17644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2342]),
+	.Y(n_18120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687492 (
+	.A1(n_17695),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2778]),
+	.B1(n_17613),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2801]),
+	.Y(n_18119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687493 (
+	.A1(n_17641),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2365]),
+	.B1(n_17689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2388]),
+	.Y(n_18118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687494 (
+	.A1(n_17681),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2227]),
+	.B1(n_11582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2250]),
+	.Y(n_18117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687495 (
+	.A1(n_17639),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [663]),
+	.B1(n_17649),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [686]),
+	.Y(n_18116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687496 (
+	.A1(n_17647),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [571]),
+	.B1(n_17650),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [594]),
+	.Y(n_18115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687497 (
+	.A1(n_17637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [709]),
+	.B1(n_17630),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [732]),
+	.Y(n_18114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687498 (
+	.A1(n_17637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [711]),
+	.B1(n_17630),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [734]),
+	.Y(n_18113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687499 (
+	.A1(n_17643),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [617]),
+	.B1(n_17645),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [640]),
+	.Y(n_18112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687500 (
+	.A1(n_17662),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [479]),
+	.B1(n_17665),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [502]),
+	.Y(n_18111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687501 (
+	.A1(n_17694),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [387]),
+	.B1(n_11647),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [410]),
+	.Y(n_18110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687502 (
+	.A1(n_17643),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [619]),
+	.B1(n_17645),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [642]),
+	.Y(n_18109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687503 (
+	.A1(n_17623),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1536]),
+	.B1(n_17628),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1559]),
+	.Y(n_18108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687504 (
+	.A1(n_17619),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [525]),
+	.B1(n_17679),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [548]),
+	.Y(n_18107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687505 (
+	.A1(n_17652),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [433]),
+	.B1(n_11559),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [456]),
+	.Y(n_18106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687506 (
+	.A1(n_17639),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [665]),
+	.B1(n_17649),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [688]),
+	.Y(n_18105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687507 (
+	.A1(n_11565),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2414]),
+	.B1(n_17698),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2437]),
+	.Y(n_18104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687508 (
+	.A1(n_11406),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2460]),
+	.B1(n_17666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2483]),
+	.Y(n_18103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687509 (
+	.A1(n_17700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2552]),
+	.B1(n_11461),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2575]),
+	.Y(n_18102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687510 (
+	.A1(n_17619),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [527]),
+	.B1(n_17679),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [550]),
+	.Y(n_18101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687511 (
+	.A1(n_17687),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2506]),
+	.B1(n_17660),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2529]),
+	.Y(n_18100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687512 (
+	.A1(n_11472),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2736]),
+	.B1(n_17617),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2759]),
+	.Y(n_18099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687513 (
+	.A1(n_17662),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [481]),
+	.B1(n_17665),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [504]),
+	.Y(n_18098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687514 (
+	.A1(n_17673),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1582]),
+	.B1(n_17632),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1605]),
+	.Y(n_18097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687515 (
+	.A1(n_17699),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2644]),
+	.B1(n_17703),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2667]),
+	.Y(n_18096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687516 (
+	.A1(n_17694),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [389]),
+	.B1(n_11647),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [412]),
+	.Y(n_18095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687517 (
+	.A1(n_11471),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2690]),
+	.B1(n_11421),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2713]),
+	.Y(n_18094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687518 (
+	.A1(n_17654),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1858]),
+	.B1(n_17702),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1881]),
+	.Y(n_18093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687519 (
+	.A1(n_17675),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2184]),
+	.B1(n_11624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2207]),
+	.Y(n_18092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687520 (
+	.A1(n_17626),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [343]),
+	.B1(n_17627),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [366]),
+	.Y(n_18091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687521 (
+	.A1(n_11437),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2092]),
+	.B1(n_17615),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2115]),
+	.Y(n_18090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687522 (
+	.A1(n_17631),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2138]),
+	.B1(n_17634),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2161]),
+	.Y(n_18089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687523 (
+	.A1(n_17622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [205]),
+	.B1(n_17624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [228]),
+	.Y(n_18088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687524 (
+	.A1(n_11584),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2046]),
+	.B1(n_17668),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2069]),
+	.Y(n_18087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687525 (
+	.A1(n_17641),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2368]),
+	.B1(n_17689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2391]),
+	.Y(n_18086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687526 (
+	.A1(n_17676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [251]),
+	.B1(n_17625),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [274]),
+	.Y(n_18085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687527 (
+	.A1(n_17692),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2276]),
+	.B1(n_17693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2299]),
+	.Y(n_18084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687528 (
+	.A1(n_17648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1628]),
+	.B1(n_17633),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1651]),
+	.Y(n_18083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687529 (
+	.A1(n_17684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2322]),
+	.B1(n_17644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2345]),
+	.Y(n_18082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687530 (
+	.A1(n_17629),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [297]),
+	.B1(n_17640),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [320]),
+	.Y(n_18081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687531 (
+	.A1(n_17681),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2230]),
+	.B1(n_11582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2253]),
+	.Y(n_18080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687532 (
+	.A1(n_11428),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1908]),
+	.B1(n_11440),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1931]),
+	.Y(n_18079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687533 (
+	.A1(n_17690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1954]),
+	.B1(n_17669),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1977]),
+	.Y(n_18078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687534 (
+	.A1(n_17629),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [296]),
+	.B1(n_17640),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [319]),
+	.Y(n_18077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687535 (
+	.A1(n_17654),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1862]),
+	.B1(n_17702),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1885]),
+	.Y(n_18076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687536 (
+	.A1(n_17618),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1490]),
+	.B1(n_17621),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1513]),
+	.Y(n_18075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687537 (
+	.A1(n_17611),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2828]),
+	.B1(n_17638),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2851]),
+	.Y(n_18074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687538 (
+	.A1(n_17614),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2874]),
+	.B1(n_17620),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2897]),
+	.Y(n_18073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687539 (
+	.A1(n_17695),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2782]),
+	.B1(n_17613),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2805]),
+	.Y(n_18072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687540 (
+	.A1(n_17675),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2183]),
+	.B1(n_11624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2206]),
+	.Y(n_18071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687541 (
+	.A1(n_17631),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2137]),
+	.B1(n_17634),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2160]),
+	.Y(n_18070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687542 (
+	.A1(n_17611),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2826]),
+	.B1(n_17638),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2849]),
+	.Y(n_18069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687543 (
+	.A1(n_17683),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1720]),
+	.B1(n_17688),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1743]),
+	.Y(n_18068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687544 (
+	.A1(n_11584),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2045]),
+	.B1(n_17668),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2068]),
+	.Y(n_18067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687545 (
+	.A1(n_17635),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2918]),
+	.B1(n_17686),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2941]),
+	.Y(n_18066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687546 (
+	.A1(n_17626),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [344]),
+	.B1(n_17627),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [367]),
+	.Y(n_18065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687547 (
+	.A1(n_17654),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1861]),
+	.B1(n_17702),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1884]),
+	.Y(n_18064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687548 (
+	.A1(n_17676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [252]),
+	.B1(n_17625),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [275]),
+	.Y(n_18063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687549 (
+	.A1(n_17622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [206]),
+	.B1(n_17624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [229]),
+	.Y(n_18062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687550 (
+	.A1(n_17687),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2484]),
+	.B1(n_17660),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2507]),
+	.Y(n_18061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687551 (
+	.A1(n_17661),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1999]),
+	.B1(n_17664),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2022]),
+	.Y(n_18060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687552 (
+	.A1(n_17631),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2120]),
+	.B1(n_17634),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2143]),
+	.Y(n_18059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687553 (
+	.A1(n_17614),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2872]),
+	.B1(n_17620),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2895]),
+	.Y(n_18058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687554 (
+	.A1(n_17677),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1766]),
+	.B1(n_17680),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1789]),
+	.Y(n_18057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687555 (
+	.A1(n_17671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1812]),
+	.B1(n_17674),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1835]),
+	.Y(n_18056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687556 (
+	.A1(n_17654),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1860]),
+	.B1(n_17702),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1883]),
+	.Y(n_18055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687557 (
+	.A1(n_11428),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1906]),
+	.B1(n_11440),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1929]),
+	.Y(n_18054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687558 (
+	.A1(n_17643),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [620]),
+	.B1(n_17645),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [643]),
+	.Y(n_18053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687559 (
+	.A1(n_17647),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [556]),
+	.B1(n_17650),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [579]),
+	.Y(n_18052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687560 (
+	.A1(n_17639),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [666]),
+	.B1(n_17649),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [689]),
+	.Y(n_18051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687561 (
+	.A1(n_17647),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [574]),
+	.B1(n_17650),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [597]),
+	.Y(n_18050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687562 (
+	.A1(n_17661),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1998]),
+	.B1(n_17664),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2021]),
+	.Y(n_18049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687563 (
+	.A1(n_17652),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [436]),
+	.B1(n_11559),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [459]),
+	.Y(n_18048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687564 (
+	.A1(n_17667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1674]),
+	.B1(n_17670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1697]),
+	.Y(n_18047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687565 (
+	.A1(n_17662),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [482]),
+	.B1(n_17665),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [505]),
+	.Y(n_18046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687566 (
+	.A1(n_17694),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [390]),
+	.B1(n_11647),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [413]),
+	.Y(n_18045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687567 (
+	.A1(n_17683),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1724]),
+	.B1(n_17688),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1747]),
+	.Y(n_18044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687568 (
+	.A1(n_11437),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2070]),
+	.B1(n_17615),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2093]),
+	.Y(n_18043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687569 (
+	.A1(n_17677),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1770]),
+	.B1(n_17680),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1793]),
+	.Y(n_18042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687570 (
+	.A1(n_17667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1678]),
+	.B1(n_17670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1701]),
+	.Y(n_18041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687571 (
+	.A1(n_17690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1952]),
+	.B1(n_17669),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1975]),
+	.Y(n_18040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687572 (
+	.A1(n_17631),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2116]),
+	.B1(n_17634),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2139]),
+	.Y(n_18039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687573 (
+	.A1(n_17672),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2596]),
+	.B1(n_17612),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2619]),
+	.Y(n_18038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687574 (
+	.A1(n_17623),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1540]),
+	.B1(n_17628),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1563]),
+	.Y(n_18037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687575 (
+	.A1(n_17675),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2162]),
+	.B1(n_11624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2185]),
+	.Y(n_18036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687576 (
+	.A1(n_17673),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1586]),
+	.B1(n_17632),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1609]),
+	.Y(n_18035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687577 (
+	.A1(n_17618),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1494]),
+	.B1(n_17621),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1517]),
+	.Y(n_18034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687578 (
+	.A1(n_11584),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2024]),
+	.B1(n_17668),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2047]),
+	.Y(n_18033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687579 (
+	.A1(n_17652),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [435]),
+	.B1(n_11559),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [458]),
+	.Y(n_18032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687580 (
+	.A1(n_17692),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2272]),
+	.B1(n_17693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2295]),
+	.Y(n_18031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687581 (
+	.A1(n_11472),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2734]),
+	.B1(n_17617),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2757]),
+	.Y(n_18030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687582 (
+	.A1(n_11472),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2734]),
+	.B1(n_17617),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2757]),
+	.Y(n_18029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687583 (
+	.A1(n_17699),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2622]),
+	.B1(n_17703),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2645]),
+	.Y(n_18028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687584 (
+	.A1(n_17699),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2642]),
+	.B1(n_17703),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2665]),
+	.Y(n_18027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687585 (
+	.A1(n_17675),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2166]),
+	.B1(n_11624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2189]),
+	.Y(n_18026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687586 (
+	.A1(n_11471),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2688]),
+	.B1(n_11421),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2711]),
+	.Y(n_18025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687587 (
+	.A1(n_11471),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2668]),
+	.B1(n_11421),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2691]),
+	.Y(n_18024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687588 (
+	.A1(n_17672),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2596]),
+	.B1(n_17612),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2619]),
+	.Y(n_18023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687589 (
+	.A1(n_17684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2318]),
+	.B1(n_17644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2341]),
+	.Y(n_18022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687590 (
+	.A1(n_17699),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2642]),
+	.B1(n_17703),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2665]),
+	.Y(n_18021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687591 (
+	.A1(n_17677),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1768]),
+	.B1(n_17680),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1791]),
+	.Y(n_18020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687592 (
+	.A1(n_11472),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2714]),
+	.B1(n_17617),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2737]),
+	.Y(n_18019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687593 (
+	.A1(n_17671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1814]),
+	.B1(n_17674),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1837]),
+	.Y(n_18018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687594 (
+	.A1(n_17672),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2576]),
+	.B1(n_17612),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2599]),
+	.Y(n_18017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687595 (
+	.A1(n_17683),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1722]),
+	.B1(n_17688),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1745]),
+	.Y(n_18016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687596 (
+	.A1(n_17667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1676]),
+	.B1(n_17670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1699]),
+	.Y(n_18015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687597 (
+	.A1(n_11471),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2688]),
+	.B1(n_11421),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2711]),
+	.Y(n_18014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687598 (
+	.A1(n_11565),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2412]),
+	.B1(n_17698),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2435]),
+	.Y(n_18013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687599 (
+	.A1(n_17611),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2806]),
+	.B1(n_17638),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2829]),
+	.Y(n_18012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687600 (
+	.A1(n_11406),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2458]),
+	.B1(n_17666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2481]),
+	.Y(n_18011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687601 (
+	.A1(n_17611),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2810]),
+	.B1(n_17638),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2833]),
+	.Y(n_18010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687602 (
+	.A1(n_17700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2550]),
+	.B1(n_11461),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2573]),
+	.Y(n_18009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687603 (
+	.A1(n_17614),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2852]),
+	.B1(n_17620),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2875]),
+	.Y(n_18008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687604 (
+	.A1(n_17687),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2504]),
+	.B1(n_17660),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2527]),
+	.Y(n_18007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687605 (
+	.A1(n_17643),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [602]),
+	.B1(n_17645),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [625]),
+	.Y(n_18006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687606 (
+	.A1(n_17667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1676]),
+	.B1(n_17670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1699]),
+	.Y(n_18005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687607 (
+	.A1(n_17641),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2364]),
+	.B1(n_17689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2387]),
+	.Y(n_18004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687608 (
+	.A1(n_17623),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1538]),
+	.B1(n_17628),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1561]),
+	.Y(n_18003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687609 (
+	.A1(n_17635),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2898]),
+	.B1(n_17686),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2921]),
+	.Y(n_18002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687610 (
+	.A1(n_17618),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1492]),
+	.B1(n_17621),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1515]),
+	.Y(n_18001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687611 (
+	.A1(n_17695),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2760]),
+	.B1(n_17613),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2783]),
+	.Y(n_18000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687612 (
+	.A1(n_17648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1630]),
+	.B1(n_17633),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1653]),
+	.Y(n_17999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687613 (
+	.A1(n_17673),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1584]),
+	.B1(n_17632),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1607]),
+	.Y(n_17998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687614 (
+	.A1(n_17623),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1518]),
+	.B1(n_17628),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1541]),
+	.Y(n_17997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687615 (
+	.A1(n_17677),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1768]),
+	.B1(n_17680),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1791]),
+	.Y(n_17996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687616 (
+	.A1(n_17692),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2274]),
+	.B1(n_17693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2297]),
+	.Y(n_17995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687617 (
+	.A1(n_17681),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2226]),
+	.B1(n_11582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2249]),
+	.Y(n_17994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687618 (
+	.A1(n_17673),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1564]),
+	.B1(n_17632),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1587]),
+	.Y(n_17993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687619 (
+	.A1(n_17684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2320]),
+	.B1(n_17644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2343]),
+	.Y(n_17992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687620 (
+	.A1(n_17681),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2228]),
+	.B1(n_11582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2251]),
+	.Y(n_17991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687621 (
+	.A1(n_17671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1814]),
+	.B1(n_17674),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1837]),
+	.Y(n_17990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687622 (
+	.A1(n_17648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1610]),
+	.B1(n_17633),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1633]),
+	.Y(n_17989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687623 (
+	.A1(n_17611),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2826]),
+	.B1(n_17638),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2849]),
+	.Y(n_17988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687624 (
+	.A1(n_17618),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1472]),
+	.B1(n_17621),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1495]),
+	.Y(n_17987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687625 (
+	.A1(n_17614),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2872]),
+	.B1(n_17620),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2895]),
+	.Y(n_17986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687626 (
+	.A1(n_17695),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2780]),
+	.B1(n_17613),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2803]),
+	.Y(n_17985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687627 (
+	.A1(n_17683),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1722]),
+	.B1(n_17688),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1745]),
+	.Y(n_17984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687628 (
+	.A1(n_17637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [694]),
+	.B1(n_17630),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [717]),
+	.Y(n_17983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687629 (
+	.A1(n_17690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1932]),
+	.B1(n_17669),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1955]),
+	.Y(n_17982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687630 (
+	.A1(n_17661),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1978]),
+	.B1(n_17664),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2001]),
+	.Y(n_17981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687631 (
+	.A1(n_17614),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2852]),
+	.B1(n_17620),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2875]),
+	.Y(n_17980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687632 (
+	.A1(n_17654),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1840]),
+	.B1(n_17702),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1863]),
+	.Y(n_17979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687633 (
+	.A1(n_17648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1630]),
+	.B1(n_17633),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1653]),
+	.Y(n_17978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687634 (
+	.A1(n_17643),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [618]),
+	.B1(n_17645),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [641]),
+	.Y(n_17977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687635 (
+	.A1(n_11471),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2686]),
+	.B1(n_11421),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2709]),
+	.Y(n_17976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687636 (
+	.A1(n_17677),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1748]),
+	.B1(n_17680),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1771]),
+	.Y(n_17975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687637 (
+	.A1(n_17639),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [664]),
+	.B1(n_17649),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [687]),
+	.Y(n_17974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687638 (
+	.A1(n_17647),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [572]),
+	.B1(n_17650),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [595]),
+	.Y(n_17973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687639 (
+	.A1(n_17623),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1538]),
+	.B1(n_17628),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1561]),
+	.Y(n_17972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687640 (
+	.A1(n_17671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1794]),
+	.B1(n_17674),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1817]),
+	.Y(n_17971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687641 (
+	.A1(n_17619),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [526]),
+	.B1(n_17679),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [549]),
+	.Y(n_17970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687642 (
+	.A1(n_17667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1656]),
+	.B1(n_17670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1679]),
+	.Y(n_17969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687643 (
+	.A1(n_17662),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [480]),
+	.B1(n_17665),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [503]),
+	.Y(n_17968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687644 (
+	.A1(n_17694),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [388]),
+	.B1(n_11647),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [411]),
+	.Y(n_17967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687645 (
+	.A1(n_17618),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1492]),
+	.B1(n_17621),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1515]),
+	.Y(n_17966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687646 (
+	.A1(n_17626),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [342]),
+	.B1(n_17627),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [365]),
+	.Y(n_17965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687647 (
+	.A1(n_17622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [204]),
+	.B1(n_17624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [227]),
+	.Y(n_17964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687648 (
+	.A1(n_17676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [250]),
+	.B1(n_17625),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [273]),
+	.Y(n_17963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687649 (
+	.A1(n_17639),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [644]),
+	.B1(n_17649),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [667]),
+	.Y(n_17962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687650 (
+	.A1(n_17637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [690]),
+	.B1(n_17630),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [713]),
+	.Y(n_17961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687651 (
+	.A1(n_11472),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2732]),
+	.B1(n_17617),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2755]),
+	.Y(n_17960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687652 (
+	.A1(n_17647),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [552]),
+	.B1(n_17650),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [575]),
+	.Y(n_17959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687653 (
+	.A1(n_17675),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2182]),
+	.B1(n_11624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2205]),
+	.Y(n_17958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687654 (
+	.A1(n_11437),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2090]),
+	.B1(n_17615),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2113]),
+	.Y(n_17957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687655 (
+	.A1(n_17672),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2594]),
+	.B1(n_17612),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2617]),
+	.Y(n_17956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687656 (
+	.A1(n_17675),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2182]),
+	.B1(n_11624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2205]),
+	.Y(n_17955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687657 (
+	.A1(n_17662),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [460]),
+	.B1(n_17665),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [483]),
+	.Y(n_17954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687658 (
+	.A1(n_11584),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2044]),
+	.B1(n_17668),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2067]),
+	.Y(n_17953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687659 (
+	.A1(n_11437),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2090]),
+	.B1(n_17615),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2113]),
+	.Y(n_17952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687660 (
+	.A1(n_17619),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [506]),
+	.B1(n_17679),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [529]),
+	.Y(n_17951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687661 (
+	.A1(n_11428),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1906]),
+	.B1(n_11440),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1929]),
+	.Y(n_17950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687662 (
+	.A1(n_17694),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [368]),
+	.B1(n_11647),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [391]),
+	.Y(n_17949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687663 (
+	.A1(n_17661),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1998]),
+	.B1(n_17664),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2021]),
+	.Y(n_17948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687664 (
+	.A1(n_17690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1952]),
+	.B1(n_17669),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1975]),
+	.Y(n_17947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687665 (
+	.A1(n_17631),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2136]),
+	.B1(n_17634),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2159]),
+	.Y(n_17946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687666 (
+	.A1(n_17676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [230]),
+	.B1(n_17625),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [253]),
+	.Y(n_17945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687667 (
+	.A1(n_17639),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [648]),
+	.B1(n_17649),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [671]),
+	.Y(n_17944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687668 (
+	.A1(n_17629),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [276]),
+	.B1(n_17640),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [299]),
+	.Y(n_17943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687669 (
+	.A1(n_17622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [184]),
+	.B1(n_17624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [207]),
+	.Y(n_17942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687670 (
+	.A1(n_17700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2530]),
+	.B1(n_11461),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2553]),
+	.Y(n_17941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687671 (
+	.A1(n_11406),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2438]),
+	.B1(n_17666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2461]),
+	.Y(n_17940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687672 (
+	.A1(n_17652),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [418]),
+	.B1(n_11559),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [441]),
+	.Y(n_17939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687673 (
+	.A1(n_11565),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2392]),
+	.B1(n_17698),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2415]),
+	.Y(n_17938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687674 (
+	.A1(n_17687),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2502]),
+	.B1(n_17660),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2525]),
+	.Y(n_17937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687675 (
+	.A1(n_11471),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2668]),
+	.B1(n_11421),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2691]),
+	.Y(n_17936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687676 (
+	.A1(n_17672),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2576]),
+	.B1(n_17612),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2599]),
+	.Y(n_17935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687677 (
+	.A1(n_11584),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2028]),
+	.B1(n_17668),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2051]),
+	.Y(n_17934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687678 (
+	.A1(n_17683),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1702]),
+	.B1(n_17688),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1725]),
+	.Y(n_17933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687679 (
+	.A1(n_17677),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1748]),
+	.B1(n_17680),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1771]),
+	.Y(n_17932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687680 (
+	.A1(n_17641),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2346]),
+	.B1(n_17689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2369]),
+	.Y(n_17931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687681 (
+	.A1(n_17700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2548]),
+	.B1(n_11461),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2571]),
+	.Y(n_17930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687682 (
+	.A1(n_17684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2300]),
+	.B1(n_17644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2323]),
+	.Y(n_17929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687683 (
+	.A1(n_17681),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2208]),
+	.B1(n_11582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2231]),
+	.Y(n_17928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687684 (
+	.A1(n_17673),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1564]),
+	.B1(n_17632),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1587]),
+	.Y(n_17927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687685 (
+	.A1(n_11565),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2410]),
+	.B1(n_17698),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2433]),
+	.Y(n_17926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687686 (
+	.A1(n_17618),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1472]),
+	.B1(n_17621),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1495]),
+	.Y(n_17925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687687 (
+	.A1(n_17611),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2806]),
+	.B1(n_17638),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2829]),
+	.Y(n_17924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687688 (
+	.A1(n_17695),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2760]),
+	.B1(n_17613),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2783]),
+	.Y(n_17923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687689 (
+	.A1(n_17639),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [644]),
+	.B1(n_17649),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [667]),
+	.Y(n_17922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687690 (
+	.A1(n_17662),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [464]),
+	.B1(n_17665),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [487]),
+	.Y(n_17921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687691 (
+	.A1(n_17643),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [598]),
+	.B1(n_17645),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [621]),
+	.Y(n_17920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687692 (
+	.A1(n_17687),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2484]),
+	.B1(n_17660),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2507]),
+	.Y(n_17919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687693 (
+	.A1(n_17647),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [552]),
+	.B1(n_17650),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [575]),
+	.Y(n_17918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687694 (
+	.A1(n_11406),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2438]),
+	.B1(n_17666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2461]),
+	.Y(n_17917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687695 (
+	.A1(n_17652),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [414]),
+	.B1(n_11559),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [437]),
+	.Y(n_17916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687696 (
+	.A1(n_11565),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2392]),
+	.B1(n_17698),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2415]),
+	.Y(n_17915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687697 (
+	.A1(n_17619),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [506]),
+	.B1(n_17679),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [529]),
+	.Y(n_17914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687698 (
+	.A1(n_17694),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [368]),
+	.B1(n_11647),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [391]),
+	.Y(n_17913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687699 (
+	.A1(n_17676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [250]),
+	.B1(n_17625),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [273]),
+	.Y(n_17912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687700 (
+	.A1(n_17641),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2346]),
+	.B1(n_17689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2369]),
+	.Y(n_17911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687701 (
+	.A1(n_17629),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [296]),
+	.B1(n_17640),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [319]),
+	.Y(n_17910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687702 (
+	.A1(n_17619),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [510]),
+	.B1(n_17679),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [533]),
+	.Y(n_17909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687703 (
+	.A1(n_17684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2300]),
+	.B1(n_17644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2323]),
+	.Y(n_17908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687704 (
+	.A1(n_17622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [204]),
+	.B1(n_17624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [227]),
+	.Y(n_17907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687705 (
+	.A1(n_17623),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1518]),
+	.B1(n_17628),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1541]),
+	.Y(n_17906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687706 (
+	.A1(n_11584),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2024]),
+	.B1(n_17668),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2047]),
+	.Y(n_17905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687707 (
+	.A1(n_17631),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2116]),
+	.B1(n_17634),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2139]),
+	.Y(n_17904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687708 (
+	.A1(n_17654),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1840]),
+	.B1(n_17702),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1863]),
+	.Y(n_17903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687709 (
+	.A1(n_17622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [184]),
+	.B1(n_17624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [207]),
+	.Y(n_17902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687710 (
+	.A1(n_17687),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2487]),
+	.B1(n_17660),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2510]),
+	.Y(n_17901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687711 (
+	.A1(n_17626),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [322]),
+	.B1(n_17627),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [345]),
+	.Y(n_17900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687712 (
+	.A1(n_17676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [230]),
+	.B1(n_17625),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [253]),
+	.Y(n_17899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687713 (
+	.A1(n_11406),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2441]),
+	.B1(n_17666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2464]),
+	.Y(n_17898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687714 (
+	.A1(n_17700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2533]),
+	.B1(n_11461),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2556]),
+	.Y(n_17897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687715 (
+	.A1(n_11565),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2395]),
+	.B1(n_17698),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2418]),
+	.Y(n_17896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687716 (
+	.A1(n_17654),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1843]),
+	.B1(n_17702),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1866]),
+	.Y(n_17895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687717 (
+	.A1(n_17699),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2643]),
+	.B1(n_17703),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2666]),
+	.Y(n_17894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687718 (
+	.A1(n_11472),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2735]),
+	.B1(n_17617),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2758]),
+	.Y(n_17893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687719 (
+	.A1(n_17690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1935]),
+	.B1(n_17669),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1958]),
+	.Y(n_17892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687720 (
+	.A1(n_11471),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2689]),
+	.B1(n_11421),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2712]),
+	.Y(n_17891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687721 (
+	.A1(n_17648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1614]),
+	.B1(n_17633),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1637]),
+	.Y(n_17890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687722 (
+	.A1(n_17661),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1981]),
+	.B1(n_17664),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2004]),
+	.Y(n_17889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687723 (
+	.A1(n_17677),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1769]),
+	.B1(n_17680),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1792]),
+	.Y(n_17888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687724 (
+	.A1(n_17694),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [372]),
+	.B1(n_11647),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [395]),
+	.Y(n_17887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687725 (
+	.A1(n_11428),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1889]),
+	.B1(n_11440),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1912]),
+	.Y(n_17886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687726 (
+	.A1(n_17667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1677]),
+	.B1(n_17670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1700]),
+	.Y(n_17885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687727 (
+	.A1(n_17683),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1705]),
+	.B1(n_17688),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1728]),
+	.Y(n_17884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687728 (
+	.A1(n_17687),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2505]),
+	.B1(n_17660),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2528]),
+	.Y(n_17883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687729 (
+	.A1(n_17700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2551]),
+	.B1(n_11461),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2574]),
+	.Y(n_17882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687730 (
+	.A1(n_17677),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1751]),
+	.B1(n_17680),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1774]),
+	.Y(n_17881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687731 (
+	.A1(n_11565),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2413]),
+	.B1(n_17698),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2436]),
+	.Y(n_17880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687732 (
+	.A1(n_17671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1797]),
+	.B1(n_17674),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1820]),
+	.Y(n_17879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687733 (
+	.A1(n_17618),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1493]),
+	.B1(n_17621),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1516]),
+	.Y(n_17878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687734 (
+	.A1(n_17648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1631]),
+	.B1(n_17633),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1654]),
+	.Y(n_17877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687735 (
+	.A1(n_17667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1659]),
+	.B1(n_17670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1682]),
+	.Y(n_17876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687736 (
+	.A1(n_17673),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1585]),
+	.B1(n_17632),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1608]),
+	.Y(n_17875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687737 (
+	.A1(n_17692),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2275]),
+	.B1(n_17693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2298]),
+	.Y(n_17874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687738 (
+	.A1(n_17692),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2257]),
+	.B1(n_17693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2280]),
+	.Y(n_17873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687739 (
+	.A1(n_17684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2321]),
+	.B1(n_17644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2344]),
+	.Y(n_17872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687740 (
+	.A1(n_17641),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2367]),
+	.B1(n_17689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2390]),
+	.Y(n_17871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687741 (
+	.A1(n_17684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2303]),
+	.B1(n_17644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2326]),
+	.Y(n_17870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687742 (
+	.A1(n_17681),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2229]),
+	.B1(n_11582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2252]),
+	.Y(n_17869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687743 (
+	.A1(n_17611),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2827]),
+	.B1(n_17638),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2850]),
+	.Y(n_17868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687744 (
+	.A1(n_17675),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2183]),
+	.B1(n_11624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2206]),
+	.Y(n_17867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687745 (
+	.A1(n_17641),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2349]),
+	.B1(n_17689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2372]),
+	.Y(n_17866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687746 (
+	.A1(n_17614),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2873]),
+	.B1(n_17620),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2896]),
+	.Y(n_17865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687747 (
+	.A1(n_17681),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2211]),
+	.B1(n_11582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2234]),
+	.Y(n_17864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687748 (
+	.A1(n_17635),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2919]),
+	.B1(n_17686),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2942]),
+	.Y(n_17863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687749 (
+	.A1(n_17695),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2781]),
+	.B1(n_17613),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2804]),
+	.Y(n_17862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687750 (
+	.A1(n_17648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1613]),
+	.B1(n_17633),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1636]),
+	.Y(n_17861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687751 (
+	.A1(n_17673),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1567]),
+	.B1(n_17632),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1590]),
+	.Y(n_17860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687752 (
+	.A1(n_17618),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1475]),
+	.B1(n_17621),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1498]),
+	.Y(n_17859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687753 (
+	.A1(n_17700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2550]),
+	.B1(n_11461),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2573]),
+	.Y(n_17858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687754 (
+	.A1(n_17629),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [294]),
+	.B1(n_17640),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [317]),
+	.Y(n_17857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687755 (
+	.A1(n_17676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [251]),
+	.B1(n_17625),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [274]),
+	.Y(n_17856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687756 (
+	.A1(n_17629),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [297]),
+	.B1(n_17640),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [320]),
+	.Y(n_17855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687757 (
+	.A1(n_11437),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2073]),
+	.B1(n_17615),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2096]),
+	.Y(n_17854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687758 (
+	.A1(n_17622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [205]),
+	.B1(n_17624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [228]),
+	.Y(n_17853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687759 (
+	.A1(n_17675),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2165]),
+	.B1(n_11624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2188]),
+	.Y(n_17852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687760 (
+	.A1(n_17631),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2119]),
+	.B1(n_17634),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2142]),
+	.Y(n_17851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687761 (
+	.A1(n_11565),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2412]),
+	.B1(n_17698),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2435]),
+	.Y(n_17850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687762 (
+	.A1(n_17626),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [340]),
+	.B1(n_17627),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [363]),
+	.Y(n_17849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687763 (
+	.A1(n_17641),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2366]),
+	.B1(n_17689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2389]),
+	.Y(n_17848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687764 (
+	.A1(n_17623),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1522]),
+	.B1(n_17628),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1545]),
+	.Y(n_17847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687765 (
+	.A1(n_11584),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2045]),
+	.B1(n_17668),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2068]),
+	.Y(n_17846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687766 (
+	.A1(n_17622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [202]),
+	.B1(n_17624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [225]),
+	.Y(n_17845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687767 (
+	.A1(n_17684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2320]),
+	.B1(n_17644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2343]),
+	.Y(n_17844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687768 (
+	.A1(n_11437),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2091]),
+	.B1(n_17615),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2114]),
+	.Y(n_17843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687769 (
+	.A1(n_11428),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1907]),
+	.B1(n_11440),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1930]),
+	.Y(n_17842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687770 (
+	.A1(n_17654),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1861]),
+	.B1(n_17702),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1884]),
+	.Y(n_17841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687771 (
+	.A1(n_17661),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1999]),
+	.B1(n_17664),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2022]),
+	.Y(n_17840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687772 (
+	.A1(n_17681),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2228]),
+	.B1(n_11582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2251]),
+	.Y(n_17839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687773 (
+	.A1(n_17690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1953]),
+	.B1(n_17669),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1976]),
+	.Y(n_17838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687774 (
+	.A1(n_17639),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [665]),
+	.B1(n_17649),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [688]),
+	.Y(n_17837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687775 (
+	.A1(n_17643),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [619]),
+	.B1(n_17645),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [642]),
+	.Y(n_17836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687776 (
+	.A1(n_17637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [711]),
+	.B1(n_17630),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [734]),
+	.Y(n_17835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687777 (
+	.A1(n_17629),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [280]),
+	.B1(n_17640),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [303]),
+	.Y(n_17834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687778 (
+	.A1(n_17622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [187]),
+	.B1(n_17624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [210]),
+	.Y(n_17833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687779 (
+	.A1(n_17694),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [389]),
+	.B1(n_11647),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [412]),
+	.Y(n_17832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687780 (
+	.A1(n_17626),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [325]),
+	.B1(n_17627),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [348]),
+	.Y(n_17831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687781 (
+	.A1(n_17619),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [527]),
+	.B1(n_17679),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [550]),
+	.Y(n_17830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687782 (
+	.A1(n_17676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [233]),
+	.B1(n_17625),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [256]),
+	.Y(n_17829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687783 (
+	.A1(n_17662),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [481]),
+	.B1(n_17665),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [504]),
+	.Y(n_17828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687784 (
+	.A1(n_17643),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [618]),
+	.B1(n_17645),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [641]),
+	.Y(n_17827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687785 (
+	.A1(n_17639),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [664]),
+	.B1(n_17649),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [687]),
+	.Y(n_17826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687786 (
+	.A1(n_17647),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [572]),
+	.B1(n_17650),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [595]),
+	.Y(n_17825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687787 (
+	.A1(n_17626),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [326]),
+	.B1(n_17627),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [349]),
+	.Y(n_17824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687788 (
+	.A1(n_17652),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [434]),
+	.B1(n_11559),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [457]),
+	.Y(n_17823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687789 (
+	.A1(n_17662),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [480]),
+	.B1(n_17665),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [503]),
+	.Y(n_17822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687790 (
+	.A1(n_17694),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [388]),
+	.B1(n_11647),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [411]),
+	.Y(n_17821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687791 (
+	.A1(n_17622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [188]),
+	.B1(n_17624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [211]),
+	.Y(n_17820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687792 (
+	.A1(n_17614),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2855]),
+	.B1(n_17620),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2878]),
+	.Y(n_17819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687793 (
+	.A1(n_17635),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2901]),
+	.B1(n_17686),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2924]),
+	.Y(n_17818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687794 (
+	.A1(n_17695),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2763]),
+	.B1(n_17613),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2786]),
+	.Y(n_17817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687795 (
+	.A1(n_11406),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2460]),
+	.B1(n_17666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2483]),
+	.Y(n_17816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687796 (
+	.A1(n_11471),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2671]),
+	.B1(n_11421),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2694]),
+	.Y(n_17815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687797 (
+	.A1(n_11472),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2717]),
+	.B1(n_17617),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2740]),
+	.Y(n_17814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687798 (
+	.A1(n_17672),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2579]),
+	.B1(n_17612),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2602]),
+	.Y(n_17813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687799 (
+	.A1(n_17687),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2506]),
+	.B1(n_17660),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2529]),
+	.Y(n_17812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687800 (
+	.A1(n_17692),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2254]),
+	.B1(n_17693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2277]),
+	.Y(n_17811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687801 (
+	.A1(n_17700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2552]),
+	.B1(n_11461),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2575]),
+	.Y(n_17810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687802 (
+	.A1(n_17639),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [647]),
+	.B1(n_17649),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [670]),
+	.Y(n_17809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687803 (
+	.A1(n_17618),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1476]),
+	.B1(n_17621),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1499]),
+	.Y(n_17808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687804 (
+	.A1(n_17637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [693]),
+	.B1(n_17630),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [716]),
+	.Y(n_17807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687805 (
+	.A1(n_11565),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2414]),
+	.B1(n_17698),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2437]),
+	.Y(n_17806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687806 (
+	.A1(n_17647),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [555]),
+	.B1(n_17650),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [578]),
+	.Y(n_17805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687807 (
+	.A1(n_17690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1954]),
+	.B1(n_17669),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1977]),
+	.Y(n_17804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687808 (
+	.A1(n_17652),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [417]),
+	.B1(n_11559),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [440]),
+	.Y(n_17803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687809 (
+	.A1(n_17619),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [509]),
+	.B1(n_17679),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [532]),
+	.Y(n_17802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687810 (
+	.A1(n_17661),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2000]),
+	.B1(n_17664),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2023]),
+	.Y(n_17801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687811 (
+	.A1(n_17662),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [463]),
+	.B1(n_17665),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [486]),
+	.Y(n_17800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687812 (
+	.A1(n_11428),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1908]),
+	.B1(n_11440),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1931]),
+	.Y(n_17799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687813 (
+	.A1(n_17635),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2902]),
+	.B1(n_17686),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2925]),
+	.Y(n_17798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687814 (
+	.A1(n_17654),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1862]),
+	.B1(n_17702),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1885]),
+	.Y(n_17797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687815 (
+	.A1(n_17611),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2810]),
+	.B1(n_17638),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2833]),
+	.Y(n_17796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687816 (
+	.A1(n_17695),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2764]),
+	.B1(n_17613),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2787]),
+	.Y(n_17795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687817 (
+	.A1(n_17692),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2276]),
+	.B1(n_17693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2299]),
+	.Y(n_17794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687818 (
+	.A1(n_17661),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1982]),
+	.B1(n_17664),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2005]),
+	.Y(n_17793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687819 (
+	.A1(n_11428),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1890]),
+	.B1(n_11440),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1913]),
+	.Y(n_17792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687820 (
+	.A1(n_17684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2322]),
+	.B1(n_17644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2345]),
+	.Y(n_17791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687821 (
+	.A1(n_17690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1936]),
+	.B1(n_17669),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1959]),
+	.Y(n_17790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687822 (
+	.A1(n_17695),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2764]),
+	.B1(n_17613),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2787]),
+	.Y(n_17789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687823 (
+	.A1(n_17641),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2368]),
+	.B1(n_17689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2391]),
+	.Y(n_17788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687824 (
+	.A1(n_17675),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2166]),
+	.B1(n_11624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2189]),
+	.Y(n_17787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687825 (
+	.A1(n_17681),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2230]),
+	.B1(n_11582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2253]),
+	.Y(n_17786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687826 (
+	.A1(n_11437),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2074]),
+	.B1(n_17615),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2097]),
+	.Y(n_17785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687827 (
+	.A1(n_11584),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2028]),
+	.B1(n_17668),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2051]),
+	.Y(n_17784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687828 (
+	.A1(n_11472),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2718]),
+	.B1(n_17617),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2741]),
+	.Y(n_17783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687829 (
+	.A1(n_17671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1816]),
+	.B1(n_17674),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1839]),
+	.Y(n_17782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687830 (
+	.A1(n_17641),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2350]),
+	.B1(n_17689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2373]),
+	.Y(n_17781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687831 (
+	.A1(n_17684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2304]),
+	.B1(n_17644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2327]),
+	.Y(n_17780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687832 (
+	.A1(n_17677),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1770]),
+	.B1(n_17680),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1793]),
+	.Y(n_17779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687833 (
+	.A1(n_17681),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2212]),
+	.B1(n_11582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2235]),
+	.Y(n_17778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687834 (
+	.A1(n_11471),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2672]),
+	.B1(n_11421),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2695]),
+	.Y(n_17777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687835 (
+	.A1(n_17683),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1724]),
+	.B1(n_17688),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1747]),
+	.Y(n_17776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687836 (
+	.A1(n_11472),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2718]),
+	.B1(n_17617),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2741]),
+	.Y(n_17775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687837 (
+	.A1(n_17667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1678]),
+	.B1(n_17670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1701]),
+	.Y(n_17774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687838 (
+	.A1(n_17699),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2626]),
+	.B1(n_17703),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2649]),
+	.Y(n_17773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687839 (
+	.A1(n_17667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1656]),
+	.B1(n_17670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1679]),
+	.Y(n_17772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687840 (
+	.A1(n_17672),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2580]),
+	.B1(n_17612),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2603]),
+	.Y(n_17771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687841 (
+	.A1(n_11406),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2442]),
+	.B1(n_17666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2465]),
+	.Y(n_17770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687842 (
+	.A1(n_17700),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2534]),
+	.B1(n_11461),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2557]),
+	.Y(n_17769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687843 (
+	.A1(n_17687),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2488]),
+	.B1(n_17660),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2511]),
+	.Y(n_17768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687844 (
+	.A1(n_17631),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2134]),
+	.B1(n_17634),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2157]),
+	.Y(n_17767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687845 (
+	.A1(n_17648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1632]),
+	.B1(n_17633),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1655]),
+	.Y(n_17766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687846 (
+	.A1(n_11565),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2396]),
+	.B1(n_17698),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2419]),
+	.Y(n_17765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687847 (
+	.A1(n_17623),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1540]),
+	.B1(n_17628),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1563]),
+	.Y(n_17764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687848 (
+	.A1(n_17618),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1494]),
+	.B1(n_17621),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1517]),
+	.Y(n_17763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687849 (
+	.A1(n_17675),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2180]),
+	.B1(n_11624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2203]),
+	.Y(n_17762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687850 (
+	.A1(n_17672),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2580]),
+	.B1(n_17612),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2603]),
+	.Y(n_17761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687851 (
+	.A1(n_11584),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2042]),
+	.B1(n_17668),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2065]),
+	.Y(n_17760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687852 (
+	.A1(n_11437),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2092]),
+	.B1(n_17615),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2115]),
+	.Y(n_17759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687853 (
+	.A1(n_17631),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2138]),
+	.B1(n_17634),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2161]),
+	.Y(n_17758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687854 (
+	.A1(n_17622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [188]),
+	.B1(n_17624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [211]),
+	.Y(n_17757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687855 (
+	.A1(n_17626),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [326]),
+	.B1(n_17627),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [349]),
+	.Y(n_17756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687856 (
+	.A1(n_11584),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2046]),
+	.B1(n_17668),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2069]),
+	.Y(n_17755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687857 (
+	.A1(n_17676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [234]),
+	.B1(n_17625),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [257]),
+	.Y(n_17754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687858 (
+	.A1(n_17629),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [280]),
+	.B1(n_17640),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [303]),
+	.Y(n_17753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687859 (
+	.A1(n_17690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1950]),
+	.B1(n_17669),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1973]),
+	.Y(n_17752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687860 (
+	.A1(n_17661),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1996]),
+	.B1(n_17664),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2019]),
+	.Y(n_17751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687861 (
+	.A1(n_17687),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2488]),
+	.B1(n_17660),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2511]),
+	.Y(n_17750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g687862 (
+	.A1(n_17672),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2598]),
+	.B1(n_17612),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2621]),
+	.Y(n_17749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687863 (
+	.A(n_11552),
+	.Y(n_30295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687864 (
+	.A(n_11452),
+	.Y(n_30299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687865 (
+	.A(n_17746),
+	.Y(n_30265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687866 (
+	.A(n_17745),
+	.Y(n_30266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687867 (
+	.A(n_17744),
+	.Y(n_30292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687868 (
+	.A(n_17743),
+	.Y(n_30268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687869 (
+	.A(n_11600),
+	.Y(n_30269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687870 (
+	.A(n_17741),
+	.Y(n_30270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687871 (
+	.A(n_11454),
+	.Y(n_30271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687872 (
+	.A(n_17739),
+	.Y(n_30294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687873 (
+	.A(n_11451),
+	.Y(n_30277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687874 (
+	.A(n_11608),
+	.Y(n_30278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687875 (
+	.A(n_17736),
+	.Y(n_30273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687876 (
+	.A(n_17735),
+	.Y(n_30274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687877 (
+	.A(n_17734),
+	.Y(n_30275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687878 (
+	.A(n_17733),
+	.Y(n_30286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687879 (
+	.A(n_17732),
+	.Y(n_30293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687880 (
+	.A(n_17731),
+	.Y(n_30283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687881 (
+	.A(n_17730),
+	.Y(n_30284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687882 (
+	.A(n_17729),
+	.Y(n_30288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687883 (
+	.A(n_17565),
+	.B(n_17655),
+	.Y(n_11552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687884 (
+	.A(n_17565),
+	.B(n_17656),
+	.Y(n_11452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687885 (
+	.A(n_17564),
+	.B(n_17659),
+	.Y(n_17746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687886 (
+	.A(n_17565),
+	.B(n_17659),
+	.Y(n_17745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687887 (
+	.A(n_17562),
+	.B(n_17658),
+	.Y(n_17744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687888 (
+	.A(n_17576),
+	.B(n_17708),
+	.Y(n_17743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687889 (
+	.A(n_17564),
+	.B(n_17708),
+	.Y(n_11600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687890 (
+	.A(n_17565),
+	.B(n_17708),
+	.Y(n_17741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687891 (
+	.A(n_17562),
+	.B(n_17708),
+	.Y(n_11454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687892 (
+	.A(n_17564),
+	.B(n_17655),
+	.Y(n_17739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687893 (
+	.A(n_17576),
+	.B(n_17707),
+	.Y(n_11451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687894 (
+	.A(n_17564),
+	.B(n_17707),
+	.Y(n_11608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687895 (
+	.A(n_17576),
+	.B(n_17706),
+	.Y(n_17736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687896 (
+	.A(n_17564),
+	.B(n_17706),
+	.Y(n_17735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687897 (
+	.A(n_17565),
+	.B(n_17706),
+	.Y(n_17734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687898 (
+	.A(n_17564),
+	.B(n_17705),
+	.Y(n_17733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687899 (
+	.A(n_17576),
+	.B(n_17655),
+	.Y(n_17732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687900 (
+	.A(n_17565),
+	.B(n_17704),
+	.Y(n_17731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687901 (
+	.A(n_17562),
+	.B(n_17704),
+	.Y(n_17730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687902 (
+	.A(n_17562),
+	.B(n_17705),
+	.Y(n_17729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687903 (
+	.A(n_17728),
+	.Y(n_30267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687904 (
+	.A(n_17727),
+	.Y(n_30282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687905 (
+	.A(n_17726),
+	.Y(n_30287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687906 (
+	.A(n_11430),
+	.Y(n_30291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687907 (
+	.A(n_17724),
+	.Y(n_30264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687908 (
+	.A(n_17723),
+	.Y(n_30289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687909 (
+	.A(n_17722),
+	.Y(n_30290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687910 (
+	.A(n_11399),
+	.Y(n_30281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687911 (
+	.A(n_11605),
+	.Y(n_30280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687912 (
+	.A(n_17719),
+	.Y(n_30279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687913 (
+	.A(n_17718),
+	.Y(n_33027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687914 (
+	.A(n_17717),
+	.Y(n_33026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687915 (
+	.A(n_17716),
+	.Y(n_30298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687916 (
+	.A(n_17715),
+	.Y(n_33028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687917 (
+	.A(n_17714),
+	.Y(n_33025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687918 (
+	.A(n_17713),
+	.Y(n_30276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687919 (
+	.A(n_11413),
+	.Y(n_33024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687920 (
+	.A(n_11484),
+	.Y(n_30296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687921 (
+	.A(n_11594),
+	.Y(n_33023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687922 (
+	.A(n_17709),
+	.Y(n_30285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687923 (
+	.A(n_17562),
+	.B(n_17659),
+	.Y(n_17728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687924 (
+	.A(n_17564),
+	.B(n_17704),
+	.Y(n_17727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687925 (
+	.A(n_17565),
+	.B(n_17705),
+	.Y(n_17726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687926 (
+	.A(n_17565),
+	.B(n_17658),
+	.Y(n_11430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687927 (
+	.A(n_17576),
+	.B(n_17659),
+	.Y(n_17724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687928 (
+	.A(n_17576),
+	.B(n_17658),
+	.Y(n_17723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687929 (
+	.A(n_17564),
+	.B(n_17658),
+	.Y(n_17722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687930 (
+	.A(n_17576),
+	.B(n_17704),
+	.Y(n_11399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687931 (
+	.A(n_17562),
+	.B(n_17707),
+	.Y(n_11605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687932 (
+	.A(n_17565),
+	.B(n_17707),
+	.Y(n_17719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687933 (
+	.A(n_17565),
+	.B(n_17657),
+	.Y(n_17718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687934 (
+	.A(n_17562),
+	.B(n_17657),
+	.Y(n_17717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687935 (
+	.A(n_17576),
+	.B(n_17657),
+	.Y(n_17716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687936 (
+	.A(n_17564),
+	.B(n_17657),
+	.Y(n_17715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687937 (
+	.A(n_17576),
+	.B(n_17656),
+	.Y(n_17714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687938 (
+	.A(n_17562),
+	.B(n_17706),
+	.Y(n_17713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687939 (
+	.A(n_17564),
+	.B(n_17656),
+	.Y(n_11413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687940 (
+	.A(n_17562),
+	.B(n_17655),
+	.Y(n_11484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687941 (
+	.A(n_17562),
+	.B(n_17656),
+	.Y(n_11594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687942 (
+	.A(n_17576),
+	.B(n_17705),
+	.Y(n_17709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687943 (
+	.A(n_17703),
+	.Y(n_30135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687944 (
+	.A(n_17702),
+	.Y(n_30079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687945 (
+	.A(n_11461),
+	.Y(n_30137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687946 (
+	.A(n_17700),
+	.Y(n_30134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687947 (
+	.A(n_17699),
+	.Y(n_30136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687948 (
+	.A(n_17698),
+	.Y(n_30121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687949 (
+	.A(n_11421),
+	.Y(n_30132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687950 (
+	.A(n_11565),
+	.Y(n_30118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687951 (
+	.A(n_17695),
+	.Y(n_30126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687952 (
+	.A(n_17694),
+	.Y(n_30087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687953 (
+	.A(n_17693),
+	.Y(n_30111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687954 (
+	.A(n_17692),
+	.Y(n_30110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687955 (
+	.A(n_11471),
+	.Y(n_30133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687956 (
+	.A(n_17690),
+	.Y(n_30088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687957 (
+	.A(n_17689),
+	.Y(n_30115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687958 (
+	.A(n_17688),
+	.Y(n_30063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687959 (
+	.A(n_17687),
+	.Y(n_30128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687960 (
+	.A(n_17686),
+	.Y(n_30116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687961 (
+	.A(n_11440),
+	.Y(n_30085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687962 (
+	.A(n_17684),
+	.Y(n_30112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687963 (
+	.A(n_17683),
+	.Y(n_30062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687964 (
+	.A(n_11582),
+	.Y(n_30109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687965 (
+	.A(n_17681),
+	.Y(n_30108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687966 (
+	.A(n_17680),
+	.Y(n_30069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687967 (
+	.A(n_17679),
+	.Y(n_30077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687968 (
+	.A(n_11428),
+	.Y(n_30082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687969 (
+	.A(n_17677),
+	.Y(n_30066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687970 (
+	.A(n_17676),
+	.Y(n_30097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687971 (
+	.A(n_17675),
+	.Y(n_30106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687972 (
+	.A(n_17674),
+	.Y(n_30075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687973 (
+	.A(n_17673),
+	.Y(n_30056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687974 (
+	.A(n_17672),
+	.Y(n_30139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687975 (
+	.A(n_17671),
+	.Y(n_30072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687976 (
+	.A(n_17670),
+	.Y(n_30061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687977 (
+	.A(n_17669),
+	.Y(n_30089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687978 (
+	.A(n_17668),
+	.Y(n_30101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687979 (
+	.A(n_17667),
+	.Y(n_30060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687980 (
+	.A(n_17666),
+	.Y(n_30127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687981 (
+	.A(n_17665),
+	.Y(n_30080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687982 (
+	.A(n_17664),
+	.Y(n_30095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687983 (
+	.A(n_11406),
+	.Y(n_30124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687984 (
+	.A(n_17662),
+	.Y(n_30081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687985 (
+	.A(n_17661),
+	.Y(n_30092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g687986 (
+	.A(n_17660),
+	.Y(n_30131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687987 (
+	.A(n_17609),
+	.B(n_17578),
+	.Y(n_17708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687988 (
+	.A(n_17609),
+	.B(n_17577),
+	.Y(n_17707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687989 (
+	.A(n_17609),
+	.B(n_17567),
+	.Y(n_17706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687990 (
+	.A(n_17594),
+	.B(n_17578),
+	.Y(n_17705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g687991 (
+	.A(n_17594),
+	.B(n_17568),
+	.Y(n_17704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687992 (
+	.A(n_17605),
+	.B(n_17586),
+	.Y(n_17703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687993 (
+	.A(n_17607),
+	.B(n_17595),
+	.Y(n_17702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687994 (
+	.A(n_17592),
+	.B(n_17596),
+	.Y(n_11461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687995 (
+	.A(n_17589),
+	.B(n_17596),
+	.Y(n_17700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687996 (
+	.A(n_17606),
+	.B(n_17586),
+	.Y(n_17699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687997 (
+	.A(n_17599),
+	.B(n_17596),
+	.Y(n_17698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687998 (
+	.A(n_17603),
+	.B(n_17586),
+	.Y(n_11421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g687999 (
+	.A(n_17600),
+	.B(n_17596),
+	.Y(n_11565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688000 (
+	.A(n_17600),
+	.B(n_17586),
+	.Y(n_17695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688001 (
+	.A(n_17608),
+	.B(n_17585),
+	.Y(n_17694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688002 (
+	.A(n_17605),
+	.B(n_17596),
+	.Y(n_17693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688003 (
+	.A(n_17606),
+	.B(n_17596),
+	.Y(n_17692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688004 (
+	.A(n_17602),
+	.B(n_17586),
+	.Y(n_11471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688005 (
+	.A(n_17602),
+	.B(n_17595),
+	.Y(n_17690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688006 (
+	.A(n_17593),
+	.B(n_17596),
+	.Y(n_17689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688007 (
+	.A(n_17601),
+	.B(n_17587),
+	.Y(n_17688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688008 (
+	.A(n_17591),
+	.B(n_17596),
+	.Y(n_17687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688009 (
+	.A(n_17592),
+	.B(n_17586),
+	.Y(n_17686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688010 (
+	.A(n_17605),
+	.B(n_17595),
+	.Y(n_11440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688011 (
+	.A(n_17602),
+	.B(n_17596),
+	.Y(n_17684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688012 (
+	.A(n_17590),
+	.B(n_17587),
+	.Y(n_17683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688013 (
+	.A(n_17607),
+	.B(n_17596),
+	.Y(n_11582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688014 (
+	.A(n_17608),
+	.B(n_17596),
+	.Y(n_17681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688015 (
+	.A(n_17588),
+	.B(n_17587),
+	.Y(n_17680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688016 (
+	.A(n_17593),
+	.B(n_17585),
+	.Y(n_17679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688017 (
+	.A(n_17606),
+	.B(n_17595),
+	.Y(n_11428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688018 (
+	.A(n_17591),
+	.B(n_17587),
+	.Y(n_17677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688019 (
+	.A(n_17590),
+	.B(n_17598),
+	.Y(n_17676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688020 (
+	.A(n_17589),
+	.B(n_17595),
+	.Y(n_17675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688021 (
+	.A(n_17592),
+	.B(n_17587),
+	.Y(n_17674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688022 (
+	.A(n_17602),
+	.B(n_17587),
+	.Y(n_17673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688023 (
+	.A(n_17608),
+	.B(n_17586),
+	.Y(n_17672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688024 (
+	.A(n_17589),
+	.B(n_17587),
+	.Y(n_17671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688025 (
+	.A(n_17599),
+	.B(n_17587),
+	.Y(n_17670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688026 (
+	.A(n_17603),
+	.B(n_17595),
+	.Y(n_17669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688027 (
+	.A(n_17599),
+	.B(n_17595),
+	.Y(n_17668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688028 (
+	.A(n_17600),
+	.B(n_17587),
+	.Y(n_17667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688029 (
+	.A(n_17601),
+	.B(n_17596),
+	.Y(n_17666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688030 (
+	.A(n_17603),
+	.B(n_17585),
+	.Y(n_17665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688031 (
+	.A(n_17593),
+	.B(n_17595),
+	.Y(n_17664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688032 (
+	.A(n_17590),
+	.B(n_17596),
+	.Y(n_11406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688033 (
+	.A(n_17602),
+	.B(n_17585),
+	.Y(n_17662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688034 (
+	.A(n_17604),
+	.B(n_17595),
+	.Y(n_17661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688035 (
+	.A(n_17588),
+	.B(n_17596),
+	.Y(n_17660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688036 (
+	.A(n_17654),
+	.Y(n_30076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688037 (
+	.A(n_11647),
+	.Y(n_30086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688038 (
+	.A(n_17652),
+	.Y(n_30084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688039 (
+	.A(n_11437),
+	.Y(n_30102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688040 (
+	.A(n_17650),
+	.Y(n_30073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688041 (
+	.A(n_17649),
+	.Y(n_30067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688042 (
+	.A(n_17648),
+	.Y(n_30058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688043 (
+	.A(n_17647),
+	.Y(n_30074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688044 (
+	.A(n_11559),
+	.Y(n_30083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688045 (
+	.A(n_17645),
+	.Y(n_30070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688046 (
+	.A(n_17644),
+	.Y(n_30113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688047 (
+	.A(n_17643),
+	.Y(n_30071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688048 (
+	.A(n_11624),
+	.Y(n_30107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688049 (
+	.A(n_17641),
+	.Y(n_30114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688050 (
+	.A(n_17640),
+	.Y(n_30093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688051 (
+	.A(n_17639),
+	.Y(n_30068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688052 (
+	.A(n_17638),
+	.Y(n_30122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688053 (
+	.A(n_17637),
+	.Y(n_30065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688054 (
+	.A(n_11584),
+	.Y(n_30098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688055 (
+	.A(n_17635),
+	.Y(n_30117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688056 (
+	.A(n_17634),
+	.Y(n_30105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688057 (
+	.A(n_17633),
+	.Y(n_30059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688058 (
+	.A(n_17632),
+	.Y(n_30057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688059 (
+	.A(n_17631),
+	.Y(n_30104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688060 (
+	.A(n_17630),
+	.Y(n_30064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688061 (
+	.A(n_17629),
+	.Y(n_30094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688062 (
+	.A(n_17628),
+	.Y(n_30055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688063 (
+	.A(n_17627),
+	.Y(n_30090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688064 (
+	.A(n_17626),
+	.Y(n_30091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688065 (
+	.A(n_17625),
+	.Y(n_30096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688066 (
+	.A(n_17624),
+	.Y(n_30099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688067 (
+	.A(n_17623),
+	.Y(n_30054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688068 (
+	.A(n_17622),
+	.Y(n_30100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688069 (
+	.A(n_17621),
+	.Y(n_30053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688070 (
+	.A(n_17620),
+	.Y(n_30119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688071 (
+	.A(n_17619),
+	.Y(n_30078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688072 (
+	.A(n_17618),
+	.Y(n_30052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688073 (
+	.A(n_17617),
+	.Y(n_30129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688074 (
+	.A(n_11472),
+	.Y(n_30130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688075 (
+	.A(n_17615),
+	.Y(n_30103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688076 (
+	.A(n_17614),
+	.Y(n_30120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688077 (
+	.A(n_17613),
+	.Y(n_30125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688078 (
+	.A(n_17612),
+	.Y(n_30138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688079 (
+	.A(n_17611),
+	.Y(n_30123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688080 (
+	.A(n_17581),
+	.B(n_17582),
+	.Y(n_17610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688081 (
+	.A(n_17609),
+	.B(n_17568),
+	.Y(n_17659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688082 (
+	.A(n_17594),
+	.B(n_17567),
+	.Y(n_17658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688083 (
+	.A(n_17597),
+	.B(n_17567),
+	.Y(n_17657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688084 (
+	.A(n_17597),
+	.B(n_17577),
+	.Y(n_17656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688085 (
+	.A(n_17594),
+	.B(n_17577),
+	.Y(n_17655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688086 (
+	.A(n_17608),
+	.B(n_17595),
+	.Y(n_17654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688087 (
+	.A(n_17607),
+	.B(n_17585),
+	.Y(n_11647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688088 (
+	.A(n_17606),
+	.B(n_17585),
+	.Y(n_17652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688089 (
+	.A(n_17590),
+	.B(n_17595),
+	.Y(n_11437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688090 (
+	.A(n_17599),
+	.B(n_17585),
+	.Y(n_17650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688091 (
+	.A(n_17588),
+	.B(n_17585),
+	.Y(n_17649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688092 (
+	.A(n_17604),
+	.B(n_17587),
+	.Y(n_17648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688093 (
+	.A(n_17600),
+	.B(n_17585),
+	.Y(n_17647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688094 (
+	.A(n_17605),
+	.B(n_17585),
+	.Y(n_11559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688095 (
+	.A(n_17601),
+	.B(n_17585),
+	.Y(n_17645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688096 (
+	.A(n_17603),
+	.B(n_17596),
+	.Y(n_17644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688097 (
+	.A(n_17590),
+	.B(n_17585),
+	.Y(n_17643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688098 (
+	.A(n_17592),
+	.B(n_17595),
+	.Y(n_11624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688099 (
+	.A(n_17604),
+	.B(n_17596),
+	.Y(n_17641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688100 (
+	.A(n_17588),
+	.B(n_17598),
+	.Y(n_17640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688101 (
+	.A(n_17591),
+	.B(n_17585),
+	.Y(n_17639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688102 (
+	.A(n_17601),
+	.B(n_17586),
+	.Y(n_17638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688103 (
+	.A(n_17589),
+	.B(n_17585),
+	.Y(n_17637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688104 (
+	.A(n_17600),
+	.B(n_17595),
+	.Y(n_11584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688105 (
+	.A(n_17589),
+	.B(n_17586),
+	.Y(n_17635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688106 (
+	.A(n_17588),
+	.B(n_17595),
+	.Y(n_17634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688107 (
+	.A(n_17593),
+	.B(n_17587),
+	.Y(n_17633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688108 (
+	.A(n_17603),
+	.B(n_17587),
+	.Y(n_17632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688109 (
+	.A(n_17591),
+	.B(n_17595),
+	.Y(n_17631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688110 (
+	.A(n_17592),
+	.B(n_17585),
+	.Y(n_17630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688111 (
+	.A(n_17591),
+	.B(n_17598),
+	.Y(n_17629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688112 (
+	.A(n_17605),
+	.B(n_17587),
+	.Y(n_17628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688113 (
+	.A(n_17592),
+	.B(n_17598),
+	.Y(n_17627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688114 (
+	.A(n_17589),
+	.B(n_17598),
+	.Y(n_17626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688115 (
+	.A(n_17601),
+	.B(n_17598),
+	.Y(n_17625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688116 (
+	.A(n_17599),
+	.B(n_17598),
+	.Y(n_17624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688117 (
+	.A(n_17606),
+	.B(n_17587),
+	.Y(n_17623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688118 (
+	.A(n_17600),
+	.B(n_17598),
+	.Y(n_17622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688119 (
+	.A(n_17607),
+	.B(n_17587),
+	.Y(n_17621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688120 (
+	.A(n_17588),
+	.B(n_17586),
+	.Y(n_17620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688121 (
+	.A(n_17604),
+	.B(n_17585),
+	.Y(n_17619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688122 (
+	.A(n_17608),
+	.B(n_17587),
+	.Y(n_17618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688123 (
+	.A(n_17593),
+	.B(n_17586),
+	.Y(n_17617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688124 (
+	.A(n_17604),
+	.B(n_17586),
+	.Y(n_11472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688125 (
+	.A(n_17601),
+	.B(n_17595),
+	.Y(n_17615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688126 (
+	.A(n_17591),
+	.B(n_17586),
+	.Y(n_17614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688127 (
+	.A(n_17599),
+	.B(n_17586),
+	.Y(n_17613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688128 (
+	.A(n_17607),
+	.B(n_17586),
+	.Y(n_17612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688129 (
+	.A(n_17590),
+	.B(n_17586),
+	.Y(n_17611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688130 (
+	.A(n_17597),
+	.Y(n_17598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688131 (
+	.A(n_17571),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [8]),
+	.Y(n_17609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688132 (
+	.A(n_17577),
+	.B(n_17561),
+	.Y(n_17608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688133 (
+	.A(n_17577),
+	.B(n_17566),
+	.Y(n_17607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688134 (
+	.A(n_17577),
+	.B(n_17563),
+	.Y(n_17606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688135 (
+	.A(n_17577),
+	.B(n_17575),
+	.Y(n_17605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688136 (
+	.A(n_17567),
+	.B(n_17563),
+	.Y(n_17604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688137 (
+	.A(n_17567),
+	.B(n_17566),
+	.Y(n_17603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688138 (
+	.A(n_17567),
+	.B(n_17561),
+	.Y(n_17602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688139 (
+	.A(n_17578),
+	.B(n_17575),
+	.Y(n_17601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688140 (
+	.A(n_17578),
+	.B(n_17561),
+	.Y(n_17600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688141 (
+	.A(n_17578),
+	.B(n_17566),
+	.Y(n_17599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688142 (
+	.A(n_17570),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [8]),
+	.Y(n_17597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688143 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [8]),
+	.B(n_17569),
+	.Y(n_17596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688144 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [8]),
+	.B(n_17579),
+	.Y(n_17595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g688145 (
+	.A(brqrv_top_brqrv_exu_i0_br_index_r[5]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [5]),
+	.Y(n_17584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g688146 (
+	.A(brqrv_top_brqrv_exu_i0_br_index_r[7]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [7]),
+	.X(n_17583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g688147 (
+	.A(brqrv_top_brqrv_exu_i0_br_index_r[6]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [6]),
+	.X(n_17582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g688148 (
+	.A1_N(brqrv_top_brqrv_exu_i0_br_index_r[3]),
+	.A2_N(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [3]),
+	.B1(brqrv_top_brqrv_exu_i0_br_index_r[3]),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [3]),
+	.Y(n_17581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g688149 (
+	.A(brqrv_top_brqrv_exu_i0_br_index_r[4]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [4]),
+	.Y(n_17580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g688150 (
+	.A(n_17555),
+	.B(n_17569),
+	.X(n_17594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688151 (
+	.A(n_17567),
+	.B(n_17575),
+	.Y(n_17593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688152 (
+	.A(n_17568),
+	.B(n_17575),
+	.Y(n_17592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688153 (
+	.A(n_17568),
+	.B(n_17561),
+	.Y(n_17591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688154 (
+	.A(n_17578),
+	.B(n_17563),
+	.Y(n_17590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688155 (
+	.A(n_17568),
+	.B(n_17563),
+	.Y(n_17589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688156 (
+	.A(n_17568),
+	.B(n_17566),
+	.Y(n_17588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g688157 (
+	.A_N(n_17570),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [8]),
+	.Y(n_17587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g688158 (
+	.A_N(n_17571),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [8]),
+	.Y(n_17586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688159 (
+	.A(n_17555),
+	.B(n_17579),
+	.Y(n_17585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g688160 (
+	.A(n_17576),
+	.Y(n_17575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688161 (
+	.A(brqrv_top_brqrv_exu_i0_br_index_r[8]),
+	.B(n_17555),
+	.Y(n_17574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688162 (
+	.A(brqrv_top_brqrv_exu_i0_br_index_r[2]),
+	.B(n_17558),
+	.Y(n_17573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688163 (
+	.A(n_17558),
+	.B(brqrv_top_brqrv_exu_i0_br_index_r[2]),
+	.Y(n_17572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688164 (
+	.A(n_17557),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [7]),
+	.Y(n_17579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688165 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [4]),
+	.B(n_17556),
+	.Y(n_17578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688166 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [4]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [5]),
+	.Y(n_17577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688167 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [3]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [2]),
+	.Y(n_17576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688168 (
+	.A(n_17566),
+	.Y(n_17565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g688169 (
+	.A(n_17564),
+	.Y(n_17563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688170 (
+	.A(n_17561),
+	.Y(n_17562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688171 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [7]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [6]),
+	.Y(n_17571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688172 (
+	.A(n_17560),
+	.B(n_17557),
+	.Y(n_17570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688173 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [6]),
+	.B(n_17560),
+	.Y(n_17569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688174 (
+	.A(n_17559),
+	.B(n_17556),
+	.Y(n_17568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688175 (
+	.A(n_17559),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [5]),
+	.Y(n_17567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688176 (
+	.A(n_17558),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [3]),
+	.Y(n_17566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688177 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [3]),
+	.B(n_17558),
+	.Y(n_17564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688178 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [2]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [3]),
+	.Y(n_17561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g688179 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [7]),
+	.Y(n_17560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688180 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [4]),
+	.Y(n_17559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688181 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [2]),
+	.Y(n_17558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688182 (
+	.A1_N(n_33717),
+	.A2_N(n_17551),
+	.B1(n_33717),
+	.B2(n_17551),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_p1_f [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688183 (
+	.A1_N(n_33722),
+	.A2_N(n_17549),
+	.B1(n_33722),
+	.B2(n_17549),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_p1_f [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688184 (
+	.A1_N(n_33731),
+	.A2_N(n_17542),
+	.B1(n_33731),
+	.B2(n_17542),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_p1_f [5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688185 (
+	.A1_N(n_17546),
+	.A2_N(n_33730),
+	.B1(n_17546),
+	.B2(n_33730),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688186 (
+	.A1_N(n_17550),
+	.A2_N(n_33721),
+	.B1(n_17550),
+	.B2(n_33721),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688187 (
+	.A1_N(n_17553),
+	.A2_N(n_33716),
+	.B1(n_17553),
+	.B2(n_33716),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g688188 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [6]),
+	.Y(n_17557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688189 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [5]),
+	.Y(n_17556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g688190 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [8]),
+	.Y(n_17555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688191 (
+	.A1_N(n_33728),
+	.A2_N(n_17544),
+	.B1(n_33728),
+	.B2(n_17544),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_p1_f [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688192 (
+	.A1_N(n_33725),
+	.A2_N(n_17545),
+	.B1(n_33725),
+	.B2(n_17545),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_p1_f [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g688193 (
+	.A(n_33719),
+	.B(n_17541),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_p1_f [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688194 (
+	.A1_N(n_17543),
+	.A2_N(n_33727),
+	.B1(n_17543),
+	.B2(n_33727),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688195 (
+	.A1_N(n_17548),
+	.A2_N(n_33718),
+	.B1(n_17548),
+	.B2(n_33718),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688196 (
+	.A1_N(n_17547),
+	.A2_N(n_33724),
+	.B1(n_17547),
+	.B2(n_33724),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688197 (
+	.A1_N(n_17552),
+	.A2_N(n_33733),
+	.B1(n_17552),
+	.B2(n_33733),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688198 (
+	.A1(n_12539),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_f [4]),
+	.B1(n_12538),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_f [4]),
+	.Y(n_17554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688199 (
+	.A1(n_32242),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_f [4]),
+	.B1(n_32241),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_f [4]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.sv2v_tmp_F09CF [5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g688200 (
+	.A1_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[2]),
+	.A2_N(n_33717),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[2]),
+	.B2(n_33717),
+	.Y(n_17553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g688201 (
+	.A(n_33735),
+	.B(n_33734),
+	.Y(n_17552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g688202 (
+	.A(n_33734),
+	.B(n_33730),
+	.Y(n_17551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g688203 (
+	.A(n_33723),
+	.B(n_33722),
+	.Y(n_17550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g688204 (
+	.A(n_33718),
+	.B(n_33699),
+	.Y(n_17549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g688205 (
+	.A(n_33720),
+	.B(n_33719),
+	.Y(n_17548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g688206 (
+	.A(n_33726),
+	.B(n_33725),
+	.Y(n_17547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g688207 (
+	.A(n_33732),
+	.B(n_33731),
+	.Y(n_17546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g688208 (
+	.A(n_33721),
+	.B(n_33701),
+	.Y(n_17545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g688209 (
+	.A(n_33724),
+	.B(n_33703),
+	.Y(n_17544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g688210 (
+	.A(n_33729),
+	.B(n_33728),
+	.Y(n_17543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g688211 (
+	.A(n_33727),
+	.B(n_33705),
+	.Y(n_17542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g688212 (
+	.A(n_33716),
+	.B(n_33733),
+	.X(n_17541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688214 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[1]),
+	.B(n_30472),
+	.Y(n_12539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g688216 (
+	.A(n_32241),
+	.B(n_32242),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_vwayhit_f [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688217 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[1]),
+	.B(n_30473),
+	.Y(n_12538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 g844925 (
+	.A1(n_17479),
+	.A2(n_17478),
+	.A3(n_17528),
+	.A4(n_17538),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[1]),
+	.Y(n_32107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g844926 (
+	.A1(n_17497),
+	.A2(n_12524),
+	.B1(n_32991),
+	.B2(n_12545),
+	.C1(n_12525),
+	.C2(n_17517),
+	.Y(n_57502_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g844927 (
+	.A1(n_17516),
+	.A2(n_12524),
+	.B1(n_32997),
+	.B2(n_12545),
+	.C1(n_12525),
+	.C2(n_17514),
+	.Y(n_57476_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g844928 (
+	.A1(n_17509),
+	.A2(n_12525),
+	.B1(n_33000),
+	.B2(n_12545),
+	.C1(n_12524),
+	.C2(n_17493),
+	.Y(n_57463_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g844929 (
+	.A1(n_17504),
+	.A2(n_12525),
+	.B1(n_32994),
+	.B2(n_12545),
+	.C1(n_12524),
+	.C2(n_17501),
+	.Y(n_57489_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g844930 (
+	.A1(n_12524),
+	.A2(n_17506),
+	.B1(n_12525),
+	.B2(n_17507),
+	.C1(n_17533),
+	.X(n_33010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g844931 (
+	.A1(n_12525),
+	.A2(n_17510),
+	.B1(n_12524),
+	.B2(n_17511),
+	.C1(n_17534),
+	.X(n_33013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g844932 (
+	.A1(n_12525),
+	.A2(n_17512),
+	.B1(n_12524),
+	.B2(n_17513),
+	.C1(n_17535),
+	.X(n_33016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g844933 (
+	.A1(n_12524),
+	.A2(n_17498),
+	.B1(n_12525),
+	.B2(n_17499),
+	.C1(n_17532),
+	.X(n_33007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g844934 (
+	.A1(n_12524),
+	.A2(n_17494),
+	.B1(n_12525),
+	.B2(n_17495),
+	.C1(n_17531),
+	.X(n_33004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g844935 (
+	.A1(n_12539),
+	.A2(n_33076),
+	.B1(n_12538),
+	.B2(n_33077),
+	.C1(n_17537),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.sv2v_tmp_BEF15 [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g844936 (
+	.A1(n_511),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[995]),
+	.B1(n_492),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[35]),
+	.C1(n_17530),
+	.X(n_33129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g844937 (
+	.A1(n_12525),
+	.A2(n_17519),
+	.B1(n_12524),
+	.B2(n_17521),
+	.C1(n_17529),
+	.X(n_33019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g844938 (
+	.A1(n_12539),
+	.A2(n_33078),
+	.B1(n_12538),
+	.B2(n_33079),
+	.C1(n_17536),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.sv2v_tmp_BEF15 [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g844939 (
+	.A(n_17526),
+	.B(n_17527),
+	.C(n_17472),
+	.D(n_17473),
+	.Y(n_17538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g844940 (
+	.A1(n_17523),
+	.A2(n_30472),
+	.B1(n_17524),
+	.B2(n_30473),
+	.Y(n_33022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g844941 (
+	.A1(n_17503),
+	.A2(n_32525),
+	.B1(n_17505),
+	.B2(n_32524),
+	.Y(n_32230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g844942 (
+	.A1(n_17500),
+	.A2(n_32524),
+	.B1(n_17502),
+	.B2(n_32525),
+	.Y(n_17537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g844943 (
+	.A1(n_17508),
+	.A2(n_32524),
+	.B1(n_17496),
+	.B2(n_32525),
+	.Y(n_17536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g844944 (
+	.A1(n_17525),
+	.A2(n_32247),
+	.B1(n_17522),
+	.B2(n_32246),
+	.Y(n_32231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g844945 (
+	.A1(n_17523),
+	.A2(n_32246),
+	.B1(n_17524),
+	.B2(n_32247),
+	.Y(n_32229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g844946 (
+	.A1(n_12538),
+	.A2(n_33018),
+	.B1(n_12539),
+	.B2(n_33017),
+	.X(n_17535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g844947 (
+	.A1(n_17525),
+	.A2(n_30473),
+	.B1(n_17522),
+	.B2(n_30472),
+	.Y(n_33003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g844948 (
+	.A1(n_17518),
+	.A2(n_32525),
+	.B1(n_17520),
+	.B2(n_32524),
+	.Y(n_32232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g844949 (
+	.A1(n_12539),
+	.A2(n_33014),
+	.B1(n_12538),
+	.B2(n_33015),
+	.X(n_17534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g844950 (
+	.A1(n_12539),
+	.A2(n_33011),
+	.B1(n_12538),
+	.B2(n_33012),
+	.X(n_17533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g844951 (
+	.A1(n_12538),
+	.A2(n_33009),
+	.B1(n_12539),
+	.B2(n_33008),
+	.X(n_17532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g844952 (
+	.A1(n_12539),
+	.A2(n_33005),
+	.B1(n_12538),
+	.B2(n_33006),
+	.X(n_17531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g844953 (
+	.A1(n_358),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[67]),
+	.B1(n_497),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[163]),
+	.C1(n_17515),
+	.X(n_17530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g844954 (
+	.A1(n_12539),
+	.A2(n_33020),
+	.B1(n_12538),
+	.B2(n_33021),
+	.X(n_17529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g844955 (
+	.A1(n_12544),
+	.A2(n_32995),
+	.B1(n_12543),
+	.B2(n_32996),
+	.X(n_32994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g844956 (
+	.A1(n_12544),
+	.A2(n_32992),
+	.B1(n_12543),
+	.B2(n_32993),
+	.X(n_32991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g844957 (
+	.A1(n_12543),
+	.A2(n_32999),
+	.B1(n_12544),
+	.B2(n_32998),
+	.X(n_32997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g844958 (
+	.A1(n_12544),
+	.A2(n_33001),
+	.B1(n_12543),
+	.B2(n_33002),
+	.X(n_33000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g844959 (
+	.A(n_32362),
+	.B(n_32357),
+	.C(n_32354),
+	.D(n_17465),
+	.X(n_32301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g844960 (
+	.A(n_17480),
+	.B(n_17483),
+	.C(n_17481),
+	.D(n_17482),
+	.Y(n_17528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g844961 (
+	.A(n_17475),
+	.B(n_17477),
+	.C(n_17476),
+	.D(n_17474),
+	.Y(n_17527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g844962 (
+	.A(n_17469),
+	.B(n_17468),
+	.C(n_17471),
+	.D(n_17470),
+	.Y(n_17526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g844963 (
+	.A1(n_360),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[269]),
+	.B1(n_501),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[333]),
+	.C1(n_17491),
+	.X(n_33136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g844964 (
+	.A1(n_347),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[815]),
+	.B1(n_354),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[751]),
+	.C1(n_17489),
+	.X(n_33138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g844965 (
+	.A1(n_504),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[874]),
+	.B1(n_357),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[938]),
+	.C1(n_17488),
+	.X(n_33133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g844966 (
+	.A1(n_503),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[427]),
+	.B1(n_353),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[363]),
+	.C1(n_17487),
+	.X(n_33134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g844967 (
+	.A1(n_347),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[814]),
+	.B1(n_354),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[750]),
+	.C1(n_17492),
+	.X(n_33137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g844968 (
+	.A1(n_356),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[292]),
+	.B1(n_353),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[356]),
+	.C1(n_17485),
+	.X(n_33130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g844969 (
+	.A1(n_347),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[817]),
+	.B1(n_504),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[881]),
+	.C1(n_17490),
+	.X(n_33139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g844970 (
+	.A1(n_347),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[824]),
+	.B1(n_354),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[760]),
+	.C1(n_17486),
+	.X(n_33143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g844971 (
+	.A(n_17448),
+	.B(n_17193),
+	.C(n_16952),
+	.D(n_16953),
+	.Y(n_17521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g844972 (
+	.A(n_16915),
+	.B(n_16920),
+	.C(n_17188),
+	.D(n_17446),
+	.Y(n_17520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g844973 (
+	.A(n_17447),
+	.B(n_17187),
+	.C(n_16925),
+	.D(n_16927),
+	.Y(n_17519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g844974 (
+	.A(n_16842),
+	.B(n_16838),
+	.C(n_17175),
+	.D(n_17441),
+	.Y(n_17518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g844975 (
+	.A(n_17436),
+	.B(n_17166),
+	.C(n_16780),
+	.D(n_16787),
+	.Y(n_17517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g844976 (
+	.A(n_17438),
+	.B(n_17163),
+	.C(n_16784),
+	.D(n_16786),
+	.Y(n_17516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g844977 (
+	.A1(n_509),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[131]),
+	.B1(n_349),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[99]),
+	.C1(n_17484),
+	.X(n_17515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g844978 (
+	.A(n_17434),
+	.B(n_17154),
+	.C(n_16739),
+	.D(n_16744),
+	.Y(n_17514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g844979 (
+	.A(n_17433),
+	.B(n_17149),
+	.C(n_16713),
+	.D(n_16716),
+	.Y(n_17513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g844980 (
+	.A(n_17432),
+	.B(n_17143),
+	.C(n_16689),
+	.D(n_16688),
+	.Y(n_17512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g844981 (
+	.A(n_17426),
+	.B(n_17127),
+	.C(n_16602),
+	.D(n_16600),
+	.Y(n_17511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g844982 (
+	.A(n_17425),
+	.B(n_17123),
+	.C(n_16992),
+	.D(n_16993),
+	.Y(n_17510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g844983 (
+	.A(n_17424),
+	.B(n_17119),
+	.C(n_16570),
+	.D(n_16573),
+	.Y(n_17509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g844984 (
+	.A(n_16873),
+	.B(n_16874),
+	.C(n_17177),
+	.D(n_17443),
+	.Y(n_17525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g844985 (
+	.A(n_17435),
+	.B(n_17155),
+	.C(n_16749),
+	.D(n_16751),
+	.Y(n_33018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g844986 (
+	.A(n_16846),
+	.B(n_16848),
+	.C(n_17173),
+	.D(n_17442),
+	.Y(n_17524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g844987 (
+	.A(n_17458),
+	.B(n_17147),
+	.C(n_16674),
+	.D(n_16664),
+	.Y(n_32996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g844988 (
+	.A(n_17431),
+	.B(n_17144),
+	.C(n_16677),
+	.D(n_16681),
+	.Y(n_33002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g844989 (
+	.A(n_16822),
+	.B(n_16824),
+	.C(n_17168),
+	.D(n_17440),
+	.Y(n_17523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g844990 (
+	.A(n_17430),
+	.B(n_17139),
+	.C(n_16659),
+	.D(n_16660),
+	.Y(n_33015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g844991 (
+	.A(n_17427),
+	.B(n_17132),
+	.C(n_16614),
+	.D(n_16618),
+	.Y(n_33001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g844992 (
+	.A(n_17428),
+	.B(n_17133),
+	.C(n_16629),
+	.D(n_16630),
+	.Y(n_33014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g844993 (
+	.A(n_17444),
+	.B(n_17191),
+	.C(n_16905),
+	.D(n_16912),
+	.Y(n_32999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g844994 (
+	.A(n_16901),
+	.B(n_16900),
+	.C(n_17183),
+	.D(n_17445),
+	.Y(n_17522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g844995 (
+	.A(n_17422),
+	.B(n_17122),
+	.C(n_16559),
+	.D(n_16550),
+	.Y(n_32995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g844996 (
+	.A(n_17437),
+	.B(n_17162),
+	.C(n_16783),
+	.D(n_16503),
+	.Y(n_33017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g844997 (
+	.A(n_16158),
+	.B(n_16161),
+	.C(n_17044),
+	.D(n_17398),
+	.Y(n_17508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g844998 (
+	.A(n_17419),
+	.B(n_17106),
+	.C(n_16501),
+	.D(n_16498),
+	.Y(n_17507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g844999 (
+	.A(n_17418),
+	.B(n_17100),
+	.C(n_16472),
+	.D(n_16471),
+	.Y(n_17506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845000 (
+	.A(n_16444),
+	.B(n_16442),
+	.C(n_17095),
+	.D(n_17415),
+	.Y(n_17505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845001 (
+	.A(n_17414),
+	.B(n_17094),
+	.C(n_16414),
+	.D(n_16425),
+	.Y(n_17504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845002 (
+	.A(n_16411),
+	.B(n_16409),
+	.C(n_17090),
+	.D(n_17413),
+	.Y(n_17503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845003 (
+	.A(n_16378),
+	.B(n_16376),
+	.C(n_17084),
+	.D(n_17411),
+	.Y(n_17502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845004 (
+	.A(n_17408),
+	.B(n_17078),
+	.C(n_16330),
+	.D(n_16334),
+	.Y(n_17501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845005 (
+	.A(n_16345),
+	.B(n_16343),
+	.C(n_17077),
+	.D(n_17410),
+	.Y(n_17500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845006 (
+	.A(n_17409),
+	.B(n_17076),
+	.C(n_16332),
+	.D(n_16337),
+	.Y(n_17499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845007 (
+	.A(n_17406),
+	.B(n_17068),
+	.C(n_16285),
+	.D(n_16289),
+	.Y(n_17498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845008 (
+	.A(n_17399),
+	.B(n_17065),
+	.C(n_16193),
+	.D(n_16213),
+	.Y(n_17497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845009 (
+	.A(n_16228),
+	.B(n_16233),
+	.C(n_17058),
+	.D(n_17403),
+	.Y(n_17496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845010 (
+	.A(n_17404),
+	.B(n_17057),
+	.C(n_16238),
+	.D(n_16240),
+	.Y(n_17495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845011 (
+	.A(n_17402),
+	.B(n_17052),
+	.C(n_16211),
+	.D(n_16214),
+	.Y(n_17494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845012 (
+	.A(n_17420),
+	.B(n_17110),
+	.C(n_16526),
+	.D(n_16523),
+	.Y(n_17493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845013 (
+	.A(n_17416),
+	.B(n_17101),
+	.C(n_16461),
+	.D(n_16467),
+	.Y(n_33008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845014 (
+	.A(n_17417),
+	.B(n_17117),
+	.C(n_16494),
+	.D(n_16477),
+	.Y(n_32992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845015 (
+	.A(n_17405),
+	.B(n_17063),
+	.C(n_16270),
+	.D(n_16272),
+	.Y(n_33005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845016 (
+	.A(n_17401),
+	.B(n_17060),
+	.C(n_16225),
+	.D(n_16215),
+	.Y(n_32998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845017 (
+	.A(n_17423),
+	.B(n_17118),
+	.C(n_16571),
+	.D(n_16568),
+	.Y(n_33012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845018 (
+	.A(n_17421),
+	.B(n_17112),
+	.C(n_16534),
+	.D(n_16532),
+	.Y(n_33011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845019 (
+	.A(n_17439),
+	.B(n_17055),
+	.C(n_16869),
+	.D(n_16818),
+	.Y(n_32993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845020 (
+	.A(n_17412),
+	.B(n_17089),
+	.C(n_16394),
+	.D(n_16398),
+	.Y(n_33009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845021 (
+	.A(n_17400),
+	.B(n_17048),
+	.C(n_16186),
+	.D(n_16184),
+	.Y(n_33021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845022 (
+	.A(n_17407),
+	.B(n_17071),
+	.C(n_16308),
+	.D(n_16306),
+	.Y(n_33006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845023 (
+	.A(n_17449),
+	.B(n_17042),
+	.C(n_16588),
+	.D(n_16155),
+	.Y(n_33020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g845024 (
+	.A1(n_351),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[206]),
+	.B1(n_358),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[78]),
+	.C1(n_17460),
+	.X(n_17492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g845025 (
+	.A1(n_351),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[205]),
+	.B1(n_358),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[77]),
+	.C1(n_17464),
+	.X(n_17491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g845026 (
+	.A1(n_357),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[945]),
+	.B1(n_496),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[849]),
+	.C1(n_17466),
+	.X(n_17490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g845027 (
+	.A1(n_351),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[207]),
+	.B1(n_358),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[79]),
+	.C1(n_17461),
+	.X(n_17489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g845028 (
+	.A1(n_351),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[202]),
+	.B1(n_349),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[106]),
+	.C1(n_17462),
+	.X(n_17488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g845029 (
+	.A1(n_351),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[203]),
+	.B1(n_349),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[107]),
+	.C1(n_17463),
+	.X(n_17487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g845030 (
+	.A1(n_357),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[952]),
+	.B1(n_496),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[856]),
+	.C1(n_17467),
+	.X(n_17486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g845031 (
+	.A1(n_351),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[196]),
+	.B1(n_358),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[68]),
+	.C1(n_17459),
+	.X(n_17485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845032 (
+	.A(n_17450),
+	.B(n_15626),
+	.C(n_12693),
+	.D(n_15636),
+	.Y(n_17484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g845033 (
+	.A(n_17381),
+	.B(n_17396),
+	.C(n_17394),
+	.D(n_17395),
+	.X(n_17483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g845034 (
+	.A(n_17393),
+	.B(n_17392),
+	.C(n_17390),
+	.D(n_17391),
+	.X(n_17482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g845035 (
+	.A(n_17389),
+	.B(n_17388),
+	.C(n_17386),
+	.D(n_17387),
+	.X(n_17481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g845036 (
+	.A(n_17383),
+	.B(n_17382),
+	.C(n_17384),
+	.D(n_17385),
+	.X(n_17480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845037 (
+	.A(n_17397),
+	.B(n_17380),
+	.C(n_17378),
+	.D(n_17379),
+	.Y(n_17479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845038 (
+	.A(n_17375),
+	.B(n_17376),
+	.C(n_17377),
+	.D(n_17374),
+	.Y(n_17478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845039 (
+	.A(n_17373),
+	.B(n_17372),
+	.C(n_17371),
+	.D(n_17370),
+	.Y(n_17477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845040 (
+	.A(n_17369),
+	.B(n_17368),
+	.C(n_17366),
+	.D(n_17367),
+	.Y(n_17476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845041 (
+	.A(n_17364),
+	.B(n_17362),
+	.C(n_17349),
+	.D(n_17363),
+	.Y(n_17475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845042 (
+	.A(n_17359),
+	.B(n_17360),
+	.C(n_17361),
+	.D(n_17358),
+	.Y(n_17474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g845043 (
+	.A(n_17357),
+	.B(n_17356),
+	.C(n_17354),
+	.D(n_17355),
+	.X(n_17473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g845044 (
+	.A(n_17353),
+	.B(n_17352),
+	.C(n_17350),
+	.D(n_17351),
+	.X(n_17472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845045 (
+	.A(n_17347),
+	.B(n_17346),
+	.C(n_17365),
+	.D(n_17348),
+	.Y(n_17471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845046 (
+	.A(n_17342),
+	.B(n_17344),
+	.C(n_17345),
+	.D(n_17343),
+	.Y(n_17470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845047 (
+	.A(n_17341),
+	.B(n_17340),
+	.C(n_17338),
+	.D(n_17339),
+	.Y(n_17469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845048 (
+	.A(n_17337),
+	.B(n_17336),
+	.C(n_17335),
+	.D(n_17334),
+	.Y(n_17468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g845049 (
+	.A1(n_509),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[152]),
+	.B1(n_349),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[120]),
+	.C1(n_17429),
+	.X(n_17467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g845050 (
+	.A1(n_351),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[209]),
+	.B1(n_358),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[81]),
+	.C1(n_17451),
+	.X(n_17466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g845051 (
+	.A(n_32356),
+	.B(n_32363),
+	.C(n_32353),
+	.D(n_17204),
+	.X(n_17465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g845052 (
+	.A1(n_355),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[237]),
+	.B1(n_503),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[429]),
+	.C1(n_17457),
+	.X(n_17464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g845053 (
+	.A1(n_499),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[459]),
+	.B1(n_356),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[299]),
+	.C1(n_17454),
+	.X(n_17463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g845054 (
+	.A1(n_506),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[970]),
+	.B1(n_347),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[810]),
+	.C1(n_17455),
+	.X(n_17462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g845055 (
+	.A1(n_357),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[943]),
+	.B1(n_496),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[847]),
+	.C1(n_17456),
+	.X(n_17461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g845056 (
+	.A1(n_357),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[942]),
+	.B1(n_496),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[846]),
+	.C1(n_17453),
+	.X(n_17460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g845057 (
+	.A1(n_503),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[420]),
+	.B1(n_501),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[324]),
+	.C1(n_17452),
+	.X(n_17459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845058 (
+	.A(n_16619),
+	.B(n_16627),
+	.C(n_17137),
+	.D(n_17130),
+	.Y(n_17458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845059 (
+	.A(n_17201),
+	.B(n_17035),
+	.C(n_14849),
+	.D(n_14676),
+	.Y(n_17457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845060 (
+	.A(n_17202),
+	.B(n_17029),
+	.C(n_14246),
+	.D(n_14190),
+	.Y(n_17456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845061 (
+	.A(n_17200),
+	.B(n_17030),
+	.C(n_14186),
+	.D(n_14215),
+	.Y(n_17455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845062 (
+	.A(n_17198),
+	.B(n_17026),
+	.C(n_13686),
+	.D(n_13720),
+	.Y(n_17454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845063 (
+	.A(n_17196),
+	.B(n_17025),
+	.C(n_13619),
+	.D(n_13293),
+	.Y(n_17453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845064 (
+	.A(n_17203),
+	.B(n_17023),
+	.C(n_15341),
+	.D(n_13083),
+	.Y(n_17452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845065 (
+	.A(n_17197),
+	.B(n_17033),
+	.C(n_14736),
+	.D(n_15005),
+	.Y(n_17451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g845066 (
+	.A1(n_504),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[867]),
+	.B1(n_17333),
+	.Y(n_17450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845067 (
+	.A(n_16969),
+	.B(n_16970),
+	.C(n_17194),
+	.D(n_17195),
+	.Y(n_17449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845068 (
+	.A(n_16942),
+	.B(n_16943),
+	.C(n_17190),
+	.D(n_17189),
+	.Y(n_17448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845069 (
+	.A(n_16917),
+	.B(n_16916),
+	.C(n_17040),
+	.D(n_17185),
+	.Y(n_17447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845070 (
+	.A(n_17178),
+	.B(n_17184),
+	.C(n_16888),
+	.D(n_16889),
+	.Y(n_17446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845071 (
+	.A(n_17181),
+	.B(n_17179),
+	.C(n_16890),
+	.D(n_16891),
+	.Y(n_17445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845072 (
+	.A(n_16854),
+	.B(n_16847),
+	.C(n_17180),
+	.D(n_17171),
+	.Y(n_17444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845073 (
+	.A(n_17176),
+	.B(n_17174),
+	.C(n_16864),
+	.D(n_16863),
+	.Y(n_17443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845074 (
+	.A(n_17170),
+	.B(n_17172),
+	.C(n_16837),
+	.D(n_16839),
+	.Y(n_17442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845075 (
+	.A(n_17169),
+	.B(n_17164),
+	.C(n_16811),
+	.D(n_16808),
+	.Y(n_17441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845076 (
+	.A(n_17167),
+	.B(n_17165),
+	.C(n_16812),
+	.D(n_16810),
+	.Y(n_17440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845077 (
+	.A(n_16665),
+	.B(n_16682),
+	.C(n_17161),
+	.D(n_17134),
+	.Y(n_17439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845078 (
+	.A(n_16772),
+	.B(n_16770),
+	.C(n_17156),
+	.D(n_17160),
+	.Y(n_17438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845079 (
+	.A(n_16771),
+	.B(n_16774),
+	.C(n_17159),
+	.D(n_17157),
+	.Y(n_17437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845080 (
+	.A(n_16753),
+	.B(n_16750),
+	.C(n_17158),
+	.D(n_17152),
+	.Y(n_17436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845081 (
+	.A(n_16738),
+	.B(n_16734),
+	.C(n_17153),
+	.D(n_17150),
+	.Y(n_17435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845082 (
+	.A(n_16721),
+	.B(n_16719),
+	.C(n_17148),
+	.D(n_17151),
+	.Y(n_17434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845083 (
+	.A(n_16703),
+	.B(n_16704),
+	.C(n_17146),
+	.D(n_17145),
+	.Y(n_17433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845084 (
+	.A(n_16678),
+	.B(n_16679),
+	.C(n_17142),
+	.D(n_17141),
+	.Y(n_17432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845085 (
+	.A(n_16656),
+	.B(n_16652),
+	.C(n_17140),
+	.D(n_17136),
+	.Y(n_17431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845086 (
+	.A(n_16648),
+	.B(n_16651),
+	.C(n_17135),
+	.D(n_17138),
+	.Y(n_17430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845087 (
+	.A(n_17199),
+	.B(n_17036),
+	.C(n_14896),
+	.D(n_14203),
+	.Y(n_17429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845088 (
+	.A(n_16620),
+	.B(n_16617),
+	.C(n_17131),
+	.D(n_17129),
+	.Y(n_17428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845089 (
+	.A(n_16982),
+	.B(n_16590),
+	.C(n_17125),
+	.D(n_17128),
+	.Y(n_17427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845090 (
+	.A(n_16592),
+	.B(n_16589),
+	.C(n_17126),
+	.D(n_17124),
+	.Y(n_17426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845091 (
+	.A(n_16153),
+	.B(n_16587),
+	.C(n_17121),
+	.D(n_17120),
+	.Y(n_17425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845092 (
+	.A(n_16555),
+	.B(n_16553),
+	.C(n_17116),
+	.D(n_17113),
+	.Y(n_17424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845093 (
+	.A(n_16557),
+	.B(n_16554),
+	.C(n_17114),
+	.D(n_17115),
+	.Y(n_17423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845094 (
+	.A(n_16507),
+	.B(n_16496),
+	.C(n_17111),
+	.D(n_17102),
+	.Y(n_17422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845095 (
+	.A(n_16520),
+	.B(n_16521),
+	.C(n_17109),
+	.D(n_17107),
+	.Y(n_17421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845096 (
+	.A(n_16508),
+	.B(n_16505),
+	.C(n_17108),
+	.D(n_17105),
+	.Y(n_17420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845097 (
+	.A(n_16489),
+	.B(n_16490),
+	.C(n_17103),
+	.D(n_17104),
+	.Y(n_17419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845098 (
+	.A(n_16463),
+	.B(n_16462),
+	.C(n_17099),
+	.D(n_17098),
+	.Y(n_17418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845099 (
+	.A(n_16366),
+	.B(n_16387),
+	.C(n_17097),
+	.D(n_17079),
+	.Y(n_17417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845100 (
+	.A(n_16437),
+	.B(n_16434),
+	.C(n_17096),
+	.D(n_17092),
+	.Y(n_17416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845101 (
+	.A(n_17093),
+	.B(n_17091),
+	.C(n_16430),
+	.D(n_16432),
+	.Y(n_17415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845102 (
+	.A(n_16390),
+	.B(n_16379),
+	.C(n_17088),
+	.D(n_17083),
+	.Y(n_17414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845103 (
+	.A(n_17087),
+	.B(n_17086),
+	.C(n_16397),
+	.D(n_16399),
+	.Y(n_17413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845104 (
+	.A(n_16369),
+	.B(n_16373),
+	.C(n_17081),
+	.D(n_17085),
+	.Y(n_17412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845105 (
+	.A(n_17080),
+	.B(n_17082),
+	.C(n_16363),
+	.D(n_16367),
+	.Y(n_17411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845106 (
+	.A(n_17075),
+	.B(n_17073),
+	.C(n_16331),
+	.D(n_16328),
+	.Y(n_17410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845107 (
+	.A(n_16317),
+	.B(n_16316),
+	.C(n_17074),
+	.D(n_17070),
+	.Y(n_17409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845108 (
+	.A(n_16298),
+	.B(n_16290),
+	.C(n_17066),
+	.D(n_17072),
+	.Y(n_17408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845109 (
+	.A(n_16296),
+	.B(n_16294),
+	.C(n_17067),
+	.D(n_17069),
+	.Y(n_17407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845110 (
+	.A(n_16269),
+	.B(n_16266),
+	.C(n_17062),
+	.D(n_17064),
+	.Y(n_17406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845111 (
+	.A(n_16257),
+	.B(n_16259),
+	.C(n_17061),
+	.D(n_17059),
+	.Y(n_17405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845112 (
+	.A(n_16229),
+	.B(n_16231),
+	.C(n_17054),
+	.D(n_17056),
+	.Y(n_17404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845113 (
+	.A(n_17053),
+	.B(n_17047),
+	.C(n_16197),
+	.D(n_16202),
+	.Y(n_17403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845114 (
+	.A(n_16201),
+	.B(n_16203),
+	.C(n_17049),
+	.D(n_17051),
+	.Y(n_17402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845115 (
+	.A(n_16171),
+	.B(n_16167),
+	.C(n_17050),
+	.D(n_17186),
+	.Y(n_17401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845116 (
+	.A(n_16172),
+	.B(n_16176),
+	.C(n_17043),
+	.D(n_17046),
+	.Y(n_17400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845117 (
+	.A(n_16918),
+	.B(n_16935),
+	.C(n_17045),
+	.D(n_17182),
+	.Y(n_17399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845118 (
+	.A(n_17192),
+	.B(n_17041),
+	.C(n_16962),
+	.D(n_16965),
+	.Y(n_17398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g845119 (
+	.A(n_17299),
+	.B(n_17300),
+	.X(n_17397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g845120 (
+	.A(n_17329),
+	.B(n_17330),
+	.X(n_17396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g845121 (
+	.A(n_17327),
+	.B(n_17328),
+	.X(n_17395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g845122 (
+	.A(n_17325),
+	.B(n_17326),
+	.X(n_17394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g845123 (
+	.A(n_17323),
+	.B(n_17324),
+	.X(n_17393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g845124 (
+	.A(n_17321),
+	.B(n_17322),
+	.X(n_17392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g845125 (
+	.A(n_17319),
+	.B(n_17320),
+	.X(n_17391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g845126 (
+	.A(n_17317),
+	.B(n_17318),
+	.X(n_17390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g845127 (
+	.A(n_17315),
+	.B(n_17316),
+	.X(n_17389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g845128 (
+	.A(n_17313),
+	.B(n_17314),
+	.X(n_17388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g845129 (
+	.A(n_17309),
+	.B(n_17312),
+	.X(n_17387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g845130 (
+	.A(n_17310),
+	.B(n_17311),
+	.X(n_17386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g845131 (
+	.A(n_17307),
+	.B(n_17308),
+	.X(n_17385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g845132 (
+	.A(n_17305),
+	.B(n_17306),
+	.X(n_17384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g845133 (
+	.A(n_17303),
+	.B(n_17304),
+	.X(n_17383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g845134 (
+	.A(n_17301),
+	.B(n_17302),
+	.X(n_17382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g845135 (
+	.A(n_17331),
+	.B(n_17332),
+	.X(n_17381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g845136 (
+	.A(n_17297),
+	.B(n_17298),
+	.X(n_17380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g845137 (
+	.A(n_17293),
+	.B(n_17296),
+	.X(n_17379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g845138 (
+	.A(n_17294),
+	.B(n_17295),
+	.X(n_17378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g845139 (
+	.A(n_17291),
+	.B(n_17292),
+	.X(n_17377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g845140 (
+	.A(n_17290),
+	.B(n_17289),
+	.X(n_17376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g845141 (
+	.A(n_17286),
+	.B(n_17287),
+	.X(n_17375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g845142 (
+	.A(n_17285),
+	.B(n_17288),
+	.X(n_17374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g845143 (
+	.A(n_17283),
+	.B(n_17284),
+	.X(n_17373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g845144 (
+	.A(n_17281),
+	.B(n_17282),
+	.X(n_17372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g845145 (
+	.A(n_17279),
+	.B(n_17280),
+	.X(n_17371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g845146 (
+	.A(n_17277),
+	.B(n_17278),
+	.X(n_17370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g845147 (
+	.A(n_17275),
+	.B(n_17276),
+	.X(n_17369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g845148 (
+	.A(n_17273),
+	.B(n_17274),
+	.X(n_17368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g845149 (
+	.A(n_17271),
+	.B(n_17272),
+	.X(n_17367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g845150 (
+	.A(n_17269),
+	.B(n_17270),
+	.X(n_17366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g845151 (
+	.A(n_17235),
+	.B(n_17236),
+	.X(n_17365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g845152 (
+	.A(n_17265),
+	.B(n_17266),
+	.X(n_17364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g845153 (
+	.A(n_17263),
+	.B(n_17264),
+	.X(n_17363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g845154 (
+	.A(n_17261),
+	.B(n_17262),
+	.X(n_17362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g845155 (
+	.A(n_17259),
+	.B(n_17260),
+	.X(n_17361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g845156 (
+	.A(n_17257),
+	.B(n_17258),
+	.X(n_17360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g845157 (
+	.A(n_17255),
+	.B(n_17256),
+	.X(n_17359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g845158 (
+	.A(n_17253),
+	.B(n_17254),
+	.X(n_17358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g845159 (
+	.A(n_17251),
+	.B(n_17252),
+	.X(n_17357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g845160 (
+	.A(n_17249),
+	.B(n_17250),
+	.X(n_17356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g845161 (
+	.A(n_17247),
+	.B(n_17248),
+	.X(n_17355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g845162 (
+	.A(n_17245),
+	.B(n_17246),
+	.X(n_17354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g845163 (
+	.A(n_17243),
+	.B(n_17244),
+	.X(n_17353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g845164 (
+	.A(n_17241),
+	.B(n_17242),
+	.X(n_17352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g845165 (
+	.A(n_17239),
+	.B(n_17240),
+	.X(n_17351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g845166 (
+	.A(n_17237),
+	.B(n_17238),
+	.X(n_17350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g845167 (
+	.A(n_17267),
+	.B(n_17268),
+	.X(n_17349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g845168 (
+	.A(n_17233),
+	.B(n_17234),
+	.X(n_17348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g845169 (
+	.A(n_17231),
+	.B(n_17232),
+	.X(n_17347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g845170 (
+	.A(n_17229),
+	.B(n_17230),
+	.X(n_17346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g845171 (
+	.A(n_17227),
+	.B(n_17228),
+	.X(n_17345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g845172 (
+	.A(n_17223),
+	.B(n_17225),
+	.X(n_17344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g845173 (
+	.A(n_17221),
+	.B(n_17222),
+	.X(n_17343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g845174 (
+	.A(n_17226),
+	.B(n_17224),
+	.X(n_17342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g845175 (
+	.A(n_17219),
+	.B(n_17220),
+	.X(n_17341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g845176 (
+	.A(n_17217),
+	.B(n_17218),
+	.X(n_17340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g845177 (
+	.A(n_17215),
+	.B(n_17216),
+	.X(n_17339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g845178 (
+	.A(n_17213),
+	.B(n_17214),
+	.X(n_17338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g845179 (
+	.A(n_17211),
+	.B(n_17212),
+	.X(n_17337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g845180 (
+	.A(n_17210),
+	.B(n_17208),
+	.X(n_17336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g845181 (
+	.A(n_17207),
+	.B(n_17209),
+	.X(n_17335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g845182 (
+	.A(n_17205),
+	.B(n_17206),
+	.X(n_17334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845183 (
+	.A(n_17037),
+	.B(n_15625),
+	.C(n_15183),
+	.D(n_15337),
+	.Y(n_17333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845184 (
+	.A_N(n_30313),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [247]),
+	.Y(n_17332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845185 (
+	.A_N(n_30314),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [245]),
+	.Y(n_17331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845186 (
+	.A_N(n_30311),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [251]),
+	.Y(n_17330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845187 (
+	.A_N(n_30312),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [249]),
+	.Y(n_17329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845188 (
+	.A_N(n_30309),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [255]),
+	.Y(n_17328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845189 (
+	.A_N(n_30310),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [253]),
+	.Y(n_17327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845190 (
+	.A_N(n_30315),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [243]),
+	.Y(n_17326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845191 (
+	.A_N(n_30316),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [241]),
+	.Y(n_17325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845192 (
+	.A_N(n_30317),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [239]),
+	.Y(n_17324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845193 (
+	.A_N(n_30318),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [237]),
+	.Y(n_17323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845194 (
+	.A_N(n_30323),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [227]),
+	.Y(n_17322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845195 (
+	.A_N(n_30324),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [225]),
+	.Y(n_17321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845196 (
+	.A_N(n_30319),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [235]),
+	.Y(n_17320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845197 (
+	.A_N(n_30320),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [233]),
+	.Y(n_17319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845198 (
+	.A_N(n_30321),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [231]),
+	.Y(n_17318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845199 (
+	.A_N(n_30322),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [229]),
+	.Y(n_17317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845200 (
+	.A_N(n_30335),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [203]),
+	.Y(n_17316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845201 (
+	.A_N(n_30336),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [201]),
+	.Y(n_17315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845202 (
+	.A_N(n_30333),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [207]),
+	.Y(n_17314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845203 (
+	.A_N(n_30334),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [205]),
+	.Y(n_17313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845204 (
+	.A_N(n_30337),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [199]),
+	.Y(n_17312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845205 (
+	.A_N(n_30339),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [195]),
+	.Y(n_17311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845206 (
+	.A_N(n_30340),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [193]),
+	.Y(n_17310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845207 (
+	.A_N(n_30338),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [197]),
+	.Y(n_17309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845208 (
+	.A_N(n_30436),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [67]),
+	.Y(n_17308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845209 (
+	.A_N(n_30437),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [65]),
+	.Y(n_17307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845210 (
+	.A_N(n_30430),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [79]),
+	.Y(n_17306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845211 (
+	.A_N(n_30431),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [77]),
+	.Y(n_17305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845212 (
+	.A_N(n_30432),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [75]),
+	.Y(n_17304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845213 (
+	.A_N(n_30433),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [73]),
+	.Y(n_17303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845214 (
+	.A_N(n_30434),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [71]),
+	.Y(n_17302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845215 (
+	.A_N(n_30435),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [69]),
+	.Y(n_17301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845216 (
+	.A_N(n_30329),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [215]),
+	.Y(n_17300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845217 (
+	.A_N(n_30330),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [213]),
+	.Y(n_17299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845218 (
+	.A_N(n_30327),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [219]),
+	.Y(n_17298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845219 (
+	.A_N(n_30328),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [217]),
+	.Y(n_17297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845220 (
+	.A_N(n_30325),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [223]),
+	.Y(n_17296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845221 (
+	.A_N(n_30331),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [211]),
+	.Y(n_17295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845222 (
+	.A_N(n_30332),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [209]),
+	.Y(n_17294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845223 (
+	.A_N(n_30326),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [221]),
+	.Y(n_17293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845224 (
+	.A_N(n_30426),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [87]),
+	.Y(n_17292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845225 (
+	.A_N(n_30427),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [85]),
+	.Y(n_17291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845226 (
+	.A_N(n_30423),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [93]),
+	.Y(n_17290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845227 (
+	.A_N(n_30422),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [95]),
+	.Y(n_17289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845228 (
+	.A_N(n_30428),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [83]),
+	.Y(n_17288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845229 (
+	.A_N(n_30424),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [91]),
+	.Y(n_17287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845230 (
+	.A_N(n_30425),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [89]),
+	.Y(n_17286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845231 (
+	.A_N(n_30429),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [81]),
+	.Y(n_17285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845232 (
+	.A_N(n_30359),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [155]),
+	.Y(n_17284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845233 (
+	.A_N(n_30360),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [153]),
+	.Y(n_17283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845234 (
+	.A_N(n_30363),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [147]),
+	.Y(n_17282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845235 (
+	.A_N(n_30364),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [145]),
+	.Y(n_17281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845236 (
+	.A_N(n_30357),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [159]),
+	.Y(n_17280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845237 (
+	.A_N(n_30358),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [157]),
+	.Y(n_17279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845238 (
+	.A_N(n_30361),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [151]),
+	.Y(n_17278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845239 (
+	.A_N(n_30362),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [149]),
+	.Y(n_17277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845240 (
+	.A_N(n_30365),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [143]),
+	.Y(n_17276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845241 (
+	.A_N(n_30366),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [141]),
+	.Y(n_17275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845242 (
+	.A_N(n_30367),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [139]),
+	.Y(n_17274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845243 (
+	.A_N(n_30368),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [137]),
+	.Y(n_17273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845244 (
+	.A_N(n_30369),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [135]),
+	.Y(n_17272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845245 (
+	.A_N(n_30370),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [133]),
+	.Y(n_17271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845246 (
+	.A_N(n_30371),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [131]),
+	.Y(n_17270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845247 (
+	.A_N(n_30372),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [129]),
+	.Y(n_17269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845248 (
+	.A_N(n_30393),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [23]),
+	.Y(n_17268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845249 (
+	.A_N(n_30394),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [21]),
+	.Y(n_17267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845250 (
+	.A_N(n_30391),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [27]),
+	.Y(n_17266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845251 (
+	.A_N(n_30392),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [25]),
+	.Y(n_17265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845252 (
+	.A_N(n_30389),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [31]),
+	.Y(n_17264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845253 (
+	.A_N(n_30390),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [29]),
+	.Y(n_17263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845254 (
+	.A_N(n_30395),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [19]),
+	.Y(n_17262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845255 (
+	.A_N(n_30396),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [17]),
+	.Y(n_17261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845256 (
+	.A_N(n_30397),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [15]),
+	.Y(n_17260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845257 (
+	.A_N(n_30398),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [13]),
+	.Y(n_17259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845258 (
+	.A_N(n_30403),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [3]),
+	.Y(n_17258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845259 (
+	.A_N(n_30404),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [1]),
+	.Y(n_17257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845260 (
+	.A_N(n_30399),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [11]),
+	.Y(n_17256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845261 (
+	.A_N(n_30400),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [9]),
+	.Y(n_17255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845262 (
+	.A_N(n_30401),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [7]),
+	.Y(n_17254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845263 (
+	.A_N(n_30402),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [5]),
+	.Y(n_17253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845264 (
+	.A_N(n_30343),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [187]),
+	.Y(n_17252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845265 (
+	.A_N(n_30344),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [185]),
+	.Y(n_17251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845266 (
+	.A_N(n_30345),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [183]),
+	.Y(n_17250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845267 (
+	.A_N(n_30346),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [181]),
+	.Y(n_17249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845268 (
+	.A_N(n_30341),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [191]),
+	.Y(n_17248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845269 (
+	.A_N(n_30342),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [189]),
+	.Y(n_17247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845270 (
+	.A_N(n_30347),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [179]),
+	.Y(n_17246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845271 (
+	.A_N(n_30348),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [177]),
+	.Y(n_17245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845272 (
+	.A_N(n_30353),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [167]),
+	.Y(n_17244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845273 (
+	.A_N(n_30354),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [165]),
+	.Y(n_17243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845274 (
+	.A_N(n_30349),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [175]),
+	.Y(n_17242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845275 (
+	.A_N(n_30350),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [173]),
+	.Y(n_17241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845276 (
+	.A_N(n_30351),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [171]),
+	.Y(n_17240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845277 (
+	.A_N(n_30352),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [169]),
+	.Y(n_17239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845278 (
+	.A_N(n_30355),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [163]),
+	.Y(n_17238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845279 (
+	.A_N(n_30356),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [161]),
+	.Y(n_17237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845280 (
+	.A_N(n_30409),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [119]),
+	.Y(n_17236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845281 (
+	.A_N(n_30410),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [117]),
+	.Y(n_17235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845282 (
+	.A_N(n_30411),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [115]),
+	.Y(n_17234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845283 (
+	.A_N(n_30412),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [113]),
+	.Y(n_17233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845284 (
+	.A_N(n_30405),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [127]),
+	.Y(n_17232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845285 (
+	.A_N(n_30406),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [125]),
+	.Y(n_17231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845286 (
+	.A_N(n_30407),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [123]),
+	.Y(n_17230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845287 (
+	.A_N(n_30408),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [121]),
+	.Y(n_17229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845288 (
+	.A_N(n_30416),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [107]),
+	.Y(n_17228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845289 (
+	.A_N(n_30417),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [105]),
+	.Y(n_17227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845290 (
+	.A_N(n_30415),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [109]),
+	.Y(n_17226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845291 (
+	.A_N(n_30418),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [103]),
+	.Y(n_17225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845292 (
+	.A_N(n_30414),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [111]),
+	.Y(n_17224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845293 (
+	.A_N(n_30419),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [101]),
+	.Y(n_17223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845294 (
+	.A_N(n_30420),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [99]),
+	.Y(n_17222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845295 (
+	.A_N(n_30421),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [97]),
+	.Y(n_17221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845296 (
+	.A_N(n_30373),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [63]),
+	.Y(n_17220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845297 (
+	.A_N(n_30374),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [61]),
+	.Y(n_17219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845298 (
+	.A_N(n_30377),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [55]),
+	.Y(n_17218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845299 (
+	.A_N(n_30378),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [53]),
+	.Y(n_17217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845300 (
+	.A_N(n_30375),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [59]),
+	.Y(n_17216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845301 (
+	.A_N(n_30376),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [57]),
+	.Y(n_17215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845302 (
+	.A_N(n_30379),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [51]),
+	.Y(n_17214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845303 (
+	.A_N(n_30380),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [49]),
+	.Y(n_17213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845304 (
+	.A_N(n_30385),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [39]),
+	.Y(n_17212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845305 (
+	.A_N(n_30386),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [37]),
+	.Y(n_17211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845306 (
+	.A_N(n_30384),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [41]),
+	.Y(n_17210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845307 (
+	.A_N(n_30381),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [47]),
+	.Y(n_17209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845308 (
+	.A_N(n_30383),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [43]),
+	.Y(n_17208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845309 (
+	.A_N(n_30382),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [45]),
+	.Y(n_17207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845310 (
+	.A_N(n_30387),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [35]),
+	.Y(n_17206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845311 (
+	.A_N(n_30388),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [33]),
+	.Y(n_17205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g845312 (
+	.A_N(n_31949),
+	.B(n_12695),
+	.Y(n_17204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845313 (
+	.A1(n_509),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[132]),
+	.B1(n_495),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[388]),
+	.C1(n_17038),
+	.Y(n_17203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845314 (
+	.A1(n_498),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[911]),
+	.B1(n_506),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[975]),
+	.C1(n_17032),
+	.Y(n_17202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845315 (
+	.A1(n_509),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[141]),
+	.B1(n_356),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[301]),
+	.C1(n_17034),
+	.Y(n_17201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845316 (
+	.A1(n_496),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[842]),
+	.B1(n_510),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[778]),
+	.C1(n_17031),
+	.Y(n_17200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845317 (
+	.A1(n_351),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[216]),
+	.B1(n_492),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[56]),
+	.C1(n_17028),
+	.Y(n_17199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845318 (
+	.A1(n_360),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[267]),
+	.B1(n_501),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[331]),
+	.C1(n_17027),
+	.Y(n_17198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845319 (
+	.A1(n_506),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[977]),
+	.B1(n_510),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[785]),
+	.C1(n_17039),
+	.Y(n_17197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845320 (
+	.A1(n_511),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[1006]),
+	.B1(n_492),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[46]),
+	.C1(n_17024),
+	.Y(n_17196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845321 (
+	.A(n_16972),
+	.B(n_16976),
+	.C(n_16975),
+	.D(n_16977),
+	.Y(n_17195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845322 (
+	.A(n_16960),
+	.B(n_16961),
+	.C(n_16964),
+	.D(n_16967),
+	.Y(n_17194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845323 (
+	.A(n_16956),
+	.B(n_16957),
+	.C(n_16955),
+	.D(n_16958),
+	.Y(n_17193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845324 (
+	.A(n_16950),
+	.B(n_16944),
+	.C(n_16959),
+	.D(n_16954),
+	.Y(n_17192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845325 (
+	.A(n_16929),
+	.B(n_16945),
+	.C(n_16951),
+	.D(n_16924),
+	.Y(n_17191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845326 (
+	.A(n_16947),
+	.B(n_16946),
+	.C(n_16948),
+	.D(n_16949),
+	.Y(n_17190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845327 (
+	.A(n_16936),
+	.B(n_16937),
+	.C(n_16939),
+	.D(n_16941),
+	.Y(n_17189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845328 (
+	.A(n_16938),
+	.B(n_16926),
+	.C(n_16932),
+	.D(n_16928),
+	.Y(n_17188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845329 (
+	.A(n_16933),
+	.B(n_16934),
+	.C(n_16931),
+	.D(n_16930),
+	.Y(n_17187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845330 (
+	.A(n_16968),
+	.B(n_16963),
+	.C(n_16156),
+	.D(n_16979),
+	.Y(n_17186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845331 (
+	.A(n_16911),
+	.B(n_16909),
+	.C(n_16913),
+	.D(n_16914),
+	.Y(n_17185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845332 (
+	.A(n_16908),
+	.B(n_16904),
+	.C(n_16899),
+	.D(n_16896),
+	.Y(n_17184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845333 (
+	.A(n_16907),
+	.B(n_16902),
+	.C(n_16903),
+	.D(n_16906),
+	.Y(n_17183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845334 (
+	.A(n_16882),
+	.B(n_16898),
+	.C(n_16843),
+	.D(n_16859),
+	.Y(n_17182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845335 (
+	.A(n_16897),
+	.B(n_16895),
+	.C(n_16894),
+	.D(n_16893),
+	.Y(n_17181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845336 (
+	.A(n_16875),
+	.B(n_16886),
+	.C(n_16892),
+	.D(n_16865),
+	.Y(n_17180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845337 (
+	.A(n_16883),
+	.B(n_16887),
+	.C(n_16884),
+	.D(n_16885),
+	.Y(n_17179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845338 (
+	.A(n_16872),
+	.B(n_16868),
+	.C(n_16880),
+	.D(n_16878),
+	.Y(n_17178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845339 (
+	.A(n_16879),
+	.B(n_16877),
+	.C(n_16876),
+	.D(n_16881),
+	.Y(n_17177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845340 (
+	.A(n_16870),
+	.B(n_16871),
+	.C(n_16867),
+	.D(n_16866),
+	.Y(n_17176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845341 (
+	.A(n_16851),
+	.B(n_16861),
+	.C(n_16856),
+	.D(n_16849),
+	.Y(n_17175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845342 (
+	.A(n_16862),
+	.B(n_16860),
+	.C(n_16857),
+	.D(n_16858),
+	.Y(n_17174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845343 (
+	.A(n_16850),
+	.B(n_16855),
+	.C(n_16852),
+	.D(n_16853),
+	.Y(n_17173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845344 (
+	.A(n_16845),
+	.B(n_16844),
+	.C(n_16840),
+	.D(n_16841),
+	.Y(n_17172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845345 (
+	.A(n_16815),
+	.B(n_16805),
+	.C(n_16830),
+	.D(n_16835),
+	.Y(n_17171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845346 (
+	.A(n_16831),
+	.B(n_16833),
+	.C(n_16834),
+	.D(n_16836),
+	.Y(n_17170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845347 (
+	.A(n_16823),
+	.B(n_16819),
+	.C(n_16832),
+	.D(n_16827),
+	.Y(n_17169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845348 (
+	.A(n_16825),
+	.B(n_16828),
+	.C(n_16829),
+	.D(n_16826),
+	.Y(n_17168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845349 (
+	.A(n_16820),
+	.B(n_16821),
+	.C(n_16817),
+	.D(n_16814),
+	.Y(n_17167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845350 (
+	.A(n_16793),
+	.B(n_16816),
+	.C(n_16809),
+	.D(n_16798),
+	.Y(n_17166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845351 (
+	.A(n_16806),
+	.B(n_16807),
+	.C(n_16804),
+	.D(n_16803),
+	.Y(n_17165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845352 (
+	.A(n_16797),
+	.B(n_16796),
+	.C(n_16801),
+	.D(n_16800),
+	.Y(n_17164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845353 (
+	.A(n_16789),
+	.B(n_16792),
+	.C(n_16795),
+	.D(n_16794),
+	.Y(n_17163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845354 (
+	.A(n_16788),
+	.B(n_16790),
+	.C(n_16791),
+	.D(n_16785),
+	.Y(n_17162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845355 (
+	.A(n_16782),
+	.B(n_16737),
+	.C(n_16768),
+	.D(n_16714),
+	.Y(n_17161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845356 (
+	.A(n_16781),
+	.B(n_16454),
+	.C(n_16802),
+	.D(n_16777),
+	.Y(n_17160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845357 (
+	.A(n_16779),
+	.B(n_16776),
+	.C(n_16778),
+	.D(n_16350),
+	.Y(n_17159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845358 (
+	.A(n_16766),
+	.B(n_16773),
+	.C(n_16775),
+	.D(n_16760),
+	.Y(n_17158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845359 (
+	.A(n_16767),
+	.B(n_16769),
+	.C(n_16762),
+	.D(n_16764),
+	.Y(n_17157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845360 (
+	.A(n_16763),
+	.B(n_16761),
+	.C(n_16757),
+	.D(n_16765),
+	.Y(n_17156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845361 (
+	.A(n_16759),
+	.B(n_16754),
+	.C(n_16756),
+	.D(n_16758),
+	.Y(n_17155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845362 (
+	.A(n_16746),
+	.B(n_16755),
+	.C(n_16748),
+	.D(n_16752),
+	.Y(n_17154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845363 (
+	.A(n_16740),
+	.B(n_16743),
+	.C(n_16747),
+	.D(n_16745),
+	.Y(n_17153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845364 (
+	.A(n_16742),
+	.B(n_16736),
+	.C(n_16731),
+	.D(n_16723),
+	.Y(n_17152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845365 (
+	.A(n_16733),
+	.B(n_16735),
+	.C(n_16728),
+	.D(n_16726),
+	.Y(n_17151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845366 (
+	.A(n_16732),
+	.B(n_16730),
+	.C(n_16725),
+	.D(n_16727),
+	.Y(n_17150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845367 (
+	.A(n_16720),
+	.B(n_16722),
+	.C(n_16717),
+	.D(n_16718),
+	.Y(n_17149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845368 (
+	.A(n_16715),
+	.B(n_16711),
+	.C(n_16705),
+	.D(n_16708),
+	.Y(n_17148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845369 (
+	.A(n_16692),
+	.B(n_16702),
+	.C(n_16712),
+	.D(n_16684),
+	.Y(n_17147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845370 (
+	.A(n_16709),
+	.B(n_16710),
+	.C(n_16706),
+	.D(n_16707),
+	.Y(n_17146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845371 (
+	.A(n_16701),
+	.B(n_16699),
+	.C(n_16696),
+	.D(n_16697),
+	.Y(n_17145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845372 (
+	.A(n_16698),
+	.B(n_16700),
+	.C(n_16691),
+	.D(n_16687),
+	.Y(n_17144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845373 (
+	.A(n_16690),
+	.B(n_16694),
+	.C(n_16693),
+	.D(n_16695),
+	.Y(n_17143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845374 (
+	.A(n_16686),
+	.B(n_16683),
+	.C(n_16680),
+	.D(n_16685),
+	.Y(n_17142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845375 (
+	.A(n_16673),
+	.B(n_16670),
+	.C(n_16675),
+	.D(n_16676),
+	.Y(n_17141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845376 (
+	.A(n_16663),
+	.B(n_16661),
+	.C(n_16671),
+	.D(n_16667),
+	.Y(n_17140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845377 (
+	.A(n_16666),
+	.B(n_16668),
+	.C(n_16669),
+	.D(n_16662),
+	.Y(n_17139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845378 (
+	.A(n_16658),
+	.B(n_16655),
+	.C(n_16653),
+	.D(n_16654),
+	.Y(n_17138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845379 (
+	.A(n_16634),
+	.B(n_16650),
+	.C(n_16642),
+	.D(n_16657),
+	.Y(n_17137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845380 (
+	.A(n_16649),
+	.B(n_16641),
+	.C(n_16636),
+	.D(n_16647),
+	.Y(n_17136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845381 (
+	.A(n_16640),
+	.B(n_16644),
+	.C(n_16645),
+	.D(n_16646),
+	.Y(n_17135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845382 (
+	.A(n_16598),
+	.B(n_16994),
+	.C(n_16639),
+	.D(n_16621),
+	.Y(n_17134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845383 (
+	.A(n_16637),
+	.B(n_16638),
+	.C(n_16635),
+	.D(n_16633),
+	.Y(n_17133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845384 (
+	.A(n_16632),
+	.B(n_16631),
+	.C(n_16626),
+	.D(n_16623),
+	.Y(n_17132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845385 (
+	.A(n_16622),
+	.B(n_16628),
+	.C(n_16625),
+	.D(n_16624),
+	.Y(n_17131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845386 (
+	.A(n_16591),
+	.B(n_16986),
+	.C(n_16612),
+	.D(n_16603),
+	.Y(n_17130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845387 (
+	.A(n_16611),
+	.B(n_16613),
+	.C(n_16615),
+	.D(n_16616),
+	.Y(n_17129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845388 (
+	.A(n_16595),
+	.B(n_16601),
+	.C(n_16610),
+	.D(n_16606),
+	.Y(n_17128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845389 (
+	.A(n_16609),
+	.B(n_16608),
+	.C(n_16604),
+	.D(n_16605),
+	.Y(n_17127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845390 (
+	.A(n_16597),
+	.B(n_16594),
+	.C(n_16593),
+	.D(n_16596),
+	.Y(n_17126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845391 (
+	.A(n_16987),
+	.B(n_16991),
+	.C(n_17001),
+	.D(n_16997),
+	.Y(n_17125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845392 (
+	.A(n_16983),
+	.B(n_16984),
+	.C(n_16980),
+	.D(n_16981),
+	.Y(n_17124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845393 (
+	.A(n_16988),
+	.B(n_16989),
+	.C(n_16990),
+	.D(n_16985),
+	.Y(n_17123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845394 (
+	.A(n_16585),
+	.B(n_16569),
+	.C(n_16576),
+	.D(n_16995),
+	.Y(n_17122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845395 (
+	.A(n_16998),
+	.B(n_16996),
+	.C(n_17000),
+	.D(n_16999),
+	.Y(n_17121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845396 (
+	.A(n_16582),
+	.B(n_16581),
+	.C(n_16586),
+	.D(n_16583),
+	.Y(n_17120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845397 (
+	.A(n_16579),
+	.B(n_16580),
+	.C(n_16575),
+	.D(n_16584),
+	.Y(n_17119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845398 (
+	.A(n_16577),
+	.B(n_16578),
+	.C(n_16572),
+	.D(n_16574),
+	.Y(n_17118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845399 (
+	.A(n_16548),
+	.B(n_16515),
+	.C(n_16567),
+	.D(n_16533),
+	.Y(n_17117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845400 (
+	.A(n_16558),
+	.B(n_16566),
+	.C(n_16563),
+	.D(n_16562),
+	.Y(n_17116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845401 (
+	.A(n_16560),
+	.B(n_16561),
+	.C(n_16564),
+	.D(n_16565),
+	.Y(n_17115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845402 (
+	.A(n_16547),
+	.B(n_16546),
+	.C(n_16551),
+	.D(n_16552),
+	.Y(n_17114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845403 (
+	.A(n_16544),
+	.B(n_16539),
+	.C(n_16545),
+	.D(n_16549),
+	.Y(n_17113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845404 (
+	.A(n_16540),
+	.B(n_16538),
+	.C(n_16543),
+	.D(n_16537),
+	.Y(n_17112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845405 (
+	.A(n_16541),
+	.B(n_16531),
+	.C(n_16514),
+	.D(n_16522),
+	.Y(n_17111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845406 (
+	.A(n_16527),
+	.B(n_16536),
+	.C(n_16530),
+	.D(n_16535),
+	.Y(n_17110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845407 (
+	.A(n_16524),
+	.B(n_16525),
+	.C(n_16528),
+	.D(n_16529),
+	.Y(n_17109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845408 (
+	.A(n_16519),
+	.B(n_16513),
+	.C(n_16510),
+	.D(n_16518),
+	.Y(n_17108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845409 (
+	.A(n_16517),
+	.B(n_16511),
+	.C(n_16512),
+	.D(n_16516),
+	.Y(n_17107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845410 (
+	.A(n_16502),
+	.B(n_16506),
+	.C(n_16504),
+	.D(n_16509),
+	.Y(n_17106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845411 (
+	.A(n_16493),
+	.B(n_16488),
+	.C(n_16499),
+	.D(n_16500),
+	.Y(n_17105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845412 (
+	.A(n_16497),
+	.B(n_16492),
+	.C(n_16491),
+	.D(n_16495),
+	.Y(n_17104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845413 (
+	.A(n_16481),
+	.B(n_16482),
+	.C(n_16487),
+	.D(n_16485),
+	.Y(n_17103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845414 (
+	.A(n_16483),
+	.B(n_16474),
+	.C(n_16456),
+	.D(n_16465),
+	.Y(n_17102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845415 (
+	.A(n_16486),
+	.B(n_16480),
+	.C(n_16476),
+	.D(n_16468),
+	.Y(n_17101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845416 (
+	.A(n_16479),
+	.B(n_16473),
+	.C(n_16478),
+	.D(n_16475),
+	.Y(n_17100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845417 (
+	.A(n_16469),
+	.B(n_16470),
+	.C(n_16464),
+	.D(n_16466),
+	.Y(n_17099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845418 (
+	.A(n_16458),
+	.B(n_16457),
+	.C(n_16460),
+	.D(n_16459),
+	.Y(n_17098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845419 (
+	.A(n_16420),
+	.B(n_16400),
+	.C(n_16452),
+	.D(n_16440),
+	.Y(n_17097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845420 (
+	.A(n_16443),
+	.B(n_16455),
+	.C(n_16450),
+	.D(n_16447),
+	.Y(n_17096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845421 (
+	.A(n_16448),
+	.B(n_16446),
+	.C(n_16449),
+	.D(n_16451),
+	.Y(n_17095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845422 (
+	.A(n_16429),
+	.B(n_16439),
+	.C(n_16445),
+	.D(n_16435),
+	.Y(n_17094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845423 (
+	.A(n_16438),
+	.B(n_16441),
+	.C(n_16436),
+	.D(n_16433),
+	.Y(n_17093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845424 (
+	.A(n_16422),
+	.B(n_16418),
+	.C(n_16431),
+	.D(n_16427),
+	.Y(n_17092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845425 (
+	.A(n_16421),
+	.B(n_16426),
+	.C(n_16428),
+	.D(n_16424),
+	.Y(n_17091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845426 (
+	.A(n_16417),
+	.B(n_16419),
+	.C(n_16415),
+	.D(n_16416),
+	.Y(n_17090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845427 (
+	.A(n_16406),
+	.B(n_16410),
+	.C(n_16413),
+	.D(n_16402),
+	.Y(n_17089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845428 (
+	.A(n_16403),
+	.B(n_16408),
+	.C(n_16412),
+	.D(n_16395),
+	.Y(n_17088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845429 (
+	.A(n_16401),
+	.B(n_16407),
+	.C(n_16405),
+	.D(n_16404),
+	.Y(n_17087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845430 (
+	.A(n_16392),
+	.B(n_16393),
+	.C(n_16396),
+	.D(n_16389),
+	.Y(n_17086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845431 (
+	.A(n_16384),
+	.B(n_16388),
+	.C(n_16377),
+	.D(n_16382),
+	.Y(n_17085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845432 (
+	.A(n_16383),
+	.B(n_16385),
+	.C(n_16386),
+	.D(n_16380),
+	.Y(n_17084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845433 (
+	.A(n_16370),
+	.B(n_16364),
+	.C(n_16374),
+	.D(n_16381),
+	.Y(n_17083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845434 (
+	.A(n_16372),
+	.B(n_16371),
+	.C(n_16368),
+	.D(n_16375),
+	.Y(n_17082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845435 (
+	.A(n_16357),
+	.B(n_16353),
+	.C(n_16365),
+	.D(n_16361),
+	.Y(n_17081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845436 (
+	.A(n_16356),
+	.B(n_16359),
+	.C(n_16360),
+	.D(n_16362),
+	.Y(n_17080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845437 (
+	.A(n_16319),
+	.B(n_16305),
+	.C(n_16355),
+	.D(n_16335),
+	.Y(n_17079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845438 (
+	.A(n_16349),
+	.B(n_16338),
+	.C(n_16348),
+	.D(n_16358),
+	.Y(n_17078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845439 (
+	.A(n_16352),
+	.B(n_16354),
+	.C(n_16351),
+	.D(n_16346),
+	.Y(n_17077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845440 (
+	.A(n_16344),
+	.B(n_16347),
+	.C(n_16342),
+	.D(n_16340),
+	.Y(n_17076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845441 (
+	.A(n_16339),
+	.B(n_16333),
+	.C(n_16336),
+	.D(n_16341),
+	.Y(n_17075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845442 (
+	.A(n_16326),
+	.B(n_16324),
+	.C(n_16321),
+	.D(n_16329),
+	.Y(n_17074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845443 (
+	.A(n_16323),
+	.B(n_16327),
+	.C(n_16320),
+	.D(n_16325),
+	.Y(n_17073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845444 (
+	.A(n_16322),
+	.B(n_16310),
+	.C(n_16313),
+	.D(n_16304),
+	.Y(n_17072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845445 (
+	.A(n_16311),
+	.B(n_16318),
+	.C(n_16315),
+	.D(n_16314),
+	.Y(n_17071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845446 (
+	.A(n_16312),
+	.B(n_16309),
+	.C(n_16307),
+	.D(n_16302),
+	.Y(n_17070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845447 (
+	.A(n_16300),
+	.B(n_16303),
+	.C(n_16297),
+	.D(n_16301),
+	.Y(n_17069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845448 (
+	.A(n_16292),
+	.B(n_16299),
+	.C(n_16295),
+	.D(n_16293),
+	.Y(n_17068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845449 (
+	.A(n_16284),
+	.B(n_16288),
+	.C(n_16291),
+	.D(n_16287),
+	.Y(n_17067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845450 (
+	.A(n_16286),
+	.B(n_16281),
+	.C(n_16264),
+	.D(n_16274),
+	.Y(n_17066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845451 (
+	.A(n_16230),
+	.B(n_16250),
+	.C(n_16256),
+	.D(n_16282),
+	.Y(n_17065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845452 (
+	.A(n_16280),
+	.B(n_16277),
+	.C(n_16273),
+	.D(n_16276),
+	.Y(n_17064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845453 (
+	.A(n_16283),
+	.B(n_16275),
+	.C(n_16279),
+	.D(n_16278),
+	.Y(n_17063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845454 (
+	.A(n_16260),
+	.B(n_16258),
+	.C(n_16255),
+	.D(n_16263),
+	.Y(n_17062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845455 (
+	.A(n_16268),
+	.B(n_16267),
+	.C(n_16262),
+	.D(n_16265),
+	.Y(n_17061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845456 (
+	.A(n_16261),
+	.B(n_16237),
+	.C(n_16253),
+	.D(n_16241),
+	.Y(n_17060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845457 (
+	.A(n_16252),
+	.B(n_16254),
+	.C(n_16248),
+	.D(n_16251),
+	.Y(n_17059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845458 (
+	.A(n_16249),
+	.B(n_16243),
+	.C(n_16239),
+	.D(n_16247),
+	.Y(n_17058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845459 (
+	.A(n_16245),
+	.B(n_16242),
+	.C(n_16246),
+	.D(n_16244),
+	.Y(n_17057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845460 (
+	.A(n_16236),
+	.B(n_16235),
+	.C(n_16232),
+	.D(n_16234),
+	.Y(n_17056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845461 (
+	.A(n_16910),
+	.B(n_16966),
+	.C(n_16224),
+	.D(n_16175),
+	.Y(n_17055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845462 (
+	.A(n_16226),
+	.B(n_16223),
+	.C(n_16227),
+	.D(n_16222),
+	.Y(n_17054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845463 (
+	.A(n_16212),
+	.B(n_16208),
+	.C(n_16218),
+	.D(n_16221),
+	.Y(n_17053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845464 (
+	.A(n_16220),
+	.B(n_16219),
+	.C(n_16217),
+	.D(n_16216),
+	.Y(n_17052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845465 (
+	.A(n_16210),
+	.B(n_16209),
+	.C(n_16206),
+	.D(n_16207),
+	.Y(n_17051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845466 (
+	.A(n_16187),
+	.B(n_16199),
+	.C(n_16204),
+	.D(n_16183),
+	.Y(n_17050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845467 (
+	.A(n_16200),
+	.B(n_16196),
+	.C(n_16198),
+	.D(n_16195),
+	.Y(n_17049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845468 (
+	.A(n_16194),
+	.B(n_16189),
+	.C(n_16188),
+	.D(n_16191),
+	.Y(n_17048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845469 (
+	.A(n_16185),
+	.B(n_16182),
+	.C(n_16192),
+	.D(n_16190),
+	.Y(n_17047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845470 (
+	.A(n_16177),
+	.B(n_16179),
+	.C(n_16181),
+	.D(n_16180),
+	.Y(n_17046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845471 (
+	.A(n_16974),
+	.B(n_16174),
+	.C(n_16940),
+	.D(n_16162),
+	.Y(n_17045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845472 (
+	.A(n_16178),
+	.B(n_16173),
+	.C(n_16166),
+	.D(n_16169),
+	.Y(n_17044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845473 (
+	.A(n_16170),
+	.B(n_16164),
+	.C(n_16168),
+	.D(n_16165),
+	.Y(n_17043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845474 (
+	.A(n_16159),
+	.B(n_16160),
+	.C(n_16163),
+	.D(n_16157),
+	.Y(n_17042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g845475 (
+	.A(n_16971),
+	.B(n_16973),
+	.C(n_16154),
+	.D(n_16978),
+	.Y(n_17041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845476 (
+	.A(n_16919),
+	.B(n_16922),
+	.C(n_16923),
+	.D(n_16921),
+	.Y(n_17040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g845477 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [12]),
+	.B(n_32358),
+	.X(n_31949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845478 (
+	.A(n_16132),
+	.B(n_17019),
+	.Y(n_30339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845479 (
+	.A(n_17003),
+	.B(n_16147),
+	.Y(n_30412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845480 (
+	.A(n_16131),
+	.B(n_17020),
+	.Y(n_30382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845481 (
+	.A(n_17002),
+	.B(n_16149),
+	.Y(n_30401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845482 (
+	.A(n_16129),
+	.B(n_17007),
+	.Y(n_30313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845483 (
+	.A(n_16129),
+	.B(n_17008),
+	.Y(n_30314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845484 (
+	.A(n_16129),
+	.B(n_17009),
+	.Y(n_30311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845485 (
+	.A(n_16129),
+	.B(n_17014),
+	.Y(n_30312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845486 (
+	.A(n_16129),
+	.B(n_17011),
+	.Y(n_30309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845487 (
+	.A(n_16129),
+	.B(n_17012),
+	.Y(n_30310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845488 (
+	.A(n_16129),
+	.B(n_17010),
+	.Y(n_30315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845489 (
+	.A(n_16129),
+	.B(n_17013),
+	.Y(n_30316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845490 (
+	.A(n_16129),
+	.B(n_17021),
+	.Y(n_30317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845491 (
+	.A(n_16129),
+	.B(n_17020),
+	.Y(n_30318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845492 (
+	.A(n_17006),
+	.B(n_16139),
+	.Y(n_30417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845493 (
+	.A(n_16129),
+	.B(n_17019),
+	.Y(n_30323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845494 (
+	.A(n_16129),
+	.B(n_17018),
+	.Y(n_30324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845495 (
+	.A(n_16129),
+	.B(n_17017),
+	.Y(n_30319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845496 (
+	.A(n_16129),
+	.B(n_17022),
+	.Y(n_30320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845497 (
+	.A(n_16129),
+	.B(n_17016),
+	.Y(n_30321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845498 (
+	.A(n_16129),
+	.B(n_17015),
+	.Y(n_30322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845499 (
+	.A(n_16132),
+	.B(n_17017),
+	.Y(n_30335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845500 (
+	.A(n_16132),
+	.B(n_17022),
+	.Y(n_30336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845501 (
+	.A(n_16132),
+	.B(n_17021),
+	.Y(n_30333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845502 (
+	.A(n_16132),
+	.B(n_17020),
+	.Y(n_30334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845503 (
+	.A(n_16132),
+	.B(n_17016),
+	.Y(n_30337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845504 (
+	.A(n_16132),
+	.B(n_17015),
+	.Y(n_30338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845505 (
+	.A(n_16132),
+	.B(n_17018),
+	.Y(n_30340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845506 (
+	.A(n_17005),
+	.B(n_16145),
+	.Y(n_30436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845507 (
+	.A(n_17005),
+	.B(n_16147),
+	.Y(n_30437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845508 (
+	.A(n_17005),
+	.B(n_16141),
+	.Y(n_30430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845509 (
+	.A(n_17005),
+	.B(n_16143),
+	.Y(n_30431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845510 (
+	.A(n_17005),
+	.B(n_16137),
+	.Y(n_30432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845511 (
+	.A(n_17005),
+	.B(n_16139),
+	.Y(n_30433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845512 (
+	.A(n_17005),
+	.B(n_16149),
+	.Y(n_30434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845513 (
+	.A(n_17005),
+	.B(n_16135),
+	.Y(n_30435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845514 (
+	.A(n_16132),
+	.B(n_17007),
+	.Y(n_30329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845515 (
+	.A(n_16132),
+	.B(n_17009),
+	.Y(n_30327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845516 (
+	.A(n_16132),
+	.B(n_17014),
+	.Y(n_30328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845517 (
+	.A(n_16132),
+	.B(n_17011),
+	.Y(n_30325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845518 (
+	.A(n_16132),
+	.B(n_17012),
+	.Y(n_30326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845519 (
+	.A(n_16132),
+	.B(n_17010),
+	.Y(n_30331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845520 (
+	.A(n_16132),
+	.B(n_17013),
+	.Y(n_30332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845521 (
+	.A(n_17004),
+	.B(n_16149),
+	.Y(n_30426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845522 (
+	.A(n_17004),
+	.B(n_16135),
+	.Y(n_30427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845523 (
+	.A(n_17004),
+	.B(n_16141),
+	.Y(n_30422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845524 (
+	.A(n_17004),
+	.B(n_16143),
+	.Y(n_30423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845525 (
+	.A(n_17004),
+	.B(n_16137),
+	.Y(n_30424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845526 (
+	.A(n_17004),
+	.B(n_16139),
+	.Y(n_30425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845527 (
+	.A(n_17004),
+	.B(n_16145),
+	.Y(n_30428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845528 (
+	.A(n_17004),
+	.B(n_16147),
+	.Y(n_30429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845529 (
+	.A(n_16130),
+	.B(n_17009),
+	.Y(n_30359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845530 (
+	.A(n_16130),
+	.B(n_17014),
+	.Y(n_30360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845531 (
+	.A(n_16130),
+	.B(n_17010),
+	.Y(n_30363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845532 (
+	.A(n_16130),
+	.B(n_17013),
+	.Y(n_30364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845533 (
+	.A(n_16130),
+	.B(n_17012),
+	.Y(n_30358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845534 (
+	.A(n_16130),
+	.B(n_17007),
+	.Y(n_30361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845535 (
+	.A(n_16130),
+	.B(n_17008),
+	.Y(n_30362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845536 (
+	.A(n_16130),
+	.B(n_17021),
+	.Y(n_30365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845537 (
+	.A(n_16130),
+	.B(n_17020),
+	.Y(n_30366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845538 (
+	.A(n_16130),
+	.B(n_17017),
+	.Y(n_30367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845539 (
+	.A(n_16130),
+	.B(n_17022),
+	.Y(n_30368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845540 (
+	.A(n_16130),
+	.B(n_17016),
+	.Y(n_30369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845541 (
+	.A(n_16130),
+	.B(n_17015),
+	.Y(n_30370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845542 (
+	.A(n_16130),
+	.B(n_17019),
+	.Y(n_30371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845543 (
+	.A(n_16130),
+	.B(n_17018),
+	.Y(n_30372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845544 (
+	.A(n_16134),
+	.B(n_17007),
+	.Y(n_30393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845545 (
+	.A(n_16134),
+	.B(n_17008),
+	.Y(n_30394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845546 (
+	.A(n_16134),
+	.B(n_17009),
+	.Y(n_30391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845547 (
+	.A(n_16134),
+	.B(n_17014),
+	.Y(n_30392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845548 (
+	.A(n_16134),
+	.B(n_17011),
+	.Y(n_30389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845549 (
+	.A(n_16134),
+	.B(n_17012),
+	.Y(n_30390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g845550 (
+	.A1(n_354),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[753]),
+	.B1(n_16729),
+	.X(n_17039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g845551 (
+	.A1(n_349),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[100]),
+	.B1(n_497),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[164]),
+	.C1(n_16799),
+	.X(n_17038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g845552 (
+	.A1(n_359),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[675]),
+	.B1(n_16813),
+	.Y(n_17037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g845553 (
+	.A1(n_504),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[888]),
+	.B1(n_16724),
+	.Y(n_17036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g845554 (
+	.A1(n_353),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[365]),
+	.B1(n_16741),
+	.Y(n_17035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g845555 (
+	.A1(n_511),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[1005]),
+	.B1(n_492),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[45]),
+	.C1(n_16672),
+	.X(n_17034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845556 (
+	.A1(n_511),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[1009]),
+	.B1(n_492),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[49]),
+	.C1(n_16607),
+	.Y(n_17033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g845557 (
+	.A1(n_504),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[879]),
+	.B1(n_16643),
+	.X(n_17032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g845558 (
+	.A1(n_354),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[746]),
+	.B1(n_16599),
+	.X(n_17031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845559 (
+	.A1(n_358),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[74]),
+	.B1(n_497),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[170]),
+	.C1(n_16556),
+	.Y(n_17030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845560 (
+	.A1(n_511),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[1007]),
+	.B1(n_492),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[47]),
+	.C1(n_16542),
+	.Y(n_17029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g845561 (
+	.A1(n_358),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[88]),
+	.B1(n_511),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[1016]),
+	.C1(n_16453),
+	.X(n_17028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g845562 (
+	.A1(n_355),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[235]),
+	.B1(n_16484),
+	.X(n_17027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845563 (
+	.A1(n_358),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[75]),
+	.B1(n_497),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[171]),
+	.C1(n_16423),
+	.Y(n_17026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g845564 (
+	.A1(n_504),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[878]),
+	.B1(n_16391),
+	.Y(n_17025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g845565 (
+	.A1(n_349),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[110]),
+	.B1(n_497),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[174]),
+	.C1(n_16271),
+	.X(n_17024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g845566 (
+	.A1(n_355),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[228]),
+	.B1(n_16205),
+	.Y(n_17023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845567 (
+	.A(n_16128),
+	.B(n_17021),
+	.Y(n_30349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845568 (
+	.A(n_16128),
+	.B(n_17020),
+	.Y(n_30350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845569 (
+	.A(n_16128),
+	.B(n_17017),
+	.Y(n_30351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845570 (
+	.A(n_16128),
+	.B(n_17022),
+	.Y(n_30352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845571 (
+	.A(n_16128),
+	.B(n_17019),
+	.Y(n_30355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845572 (
+	.A(n_16128),
+	.B(n_17018),
+	.Y(n_30356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845573 (
+	.A(n_17003),
+	.B(n_16149),
+	.Y(n_30409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845574 (
+	.A(n_17003),
+	.B(n_16135),
+	.Y(n_30410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845575 (
+	.A(n_17003),
+	.B(n_16145),
+	.Y(n_30411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845576 (
+	.A(n_17003),
+	.B(n_16141),
+	.Y(n_30405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845577 (
+	.A(n_17003),
+	.B(n_16139),
+	.Y(n_30408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845578 (
+	.A(n_17006),
+	.B(n_16137),
+	.Y(n_30416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845579 (
+	.A(n_17006),
+	.B(n_16141),
+	.Y(n_30414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845580 (
+	.A(n_17006),
+	.B(n_16143),
+	.Y(n_30415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845581 (
+	.A(n_17006),
+	.B(n_16149),
+	.Y(n_30418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845582 (
+	.A(n_17006),
+	.B(n_16145),
+	.Y(n_30420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845583 (
+	.A(n_16131),
+	.B(n_17011),
+	.Y(n_30373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845584 (
+	.A(n_16131),
+	.B(n_17012),
+	.Y(n_30374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845585 (
+	.A(n_16131),
+	.B(n_17007),
+	.Y(n_30377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845586 (
+	.A(n_16131),
+	.B(n_17008),
+	.Y(n_30378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845587 (
+	.A(n_16131),
+	.B(n_17009),
+	.Y(n_30375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845588 (
+	.A(n_16131),
+	.B(n_17014),
+	.Y(n_30376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845589 (
+	.A(n_16131),
+	.B(n_17010),
+	.Y(n_30379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845590 (
+	.A(n_16131),
+	.B(n_17016),
+	.Y(n_30385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845591 (
+	.A(n_16131),
+	.B(n_17017),
+	.Y(n_30383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845592 (
+	.A(n_16131),
+	.B(n_17021),
+	.Y(n_30381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845593 (
+	.A(n_16131),
+	.B(n_17019),
+	.Y(n_30387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845594 (
+	.A(n_16131),
+	.B(n_17018),
+	.Y(n_30388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845595 (
+	.A(n_16132),
+	.B(n_17008),
+	.Y(n_30330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845596 (
+	.A(n_16130),
+	.B(n_17011),
+	.Y(n_30357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845597 (
+	.A(n_17006),
+	.B(n_16135),
+	.Y(n_30419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845598 (
+	.A(n_17006),
+	.B(n_16147),
+	.Y(n_30421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845599 (
+	.A(n_16131),
+	.B(n_17022),
+	.Y(n_30384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845600 (
+	.A(n_16131),
+	.B(n_17013),
+	.Y(n_30380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845601 (
+	.A(n_16131),
+	.B(n_17015),
+	.Y(n_30386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845602 (
+	.A(n_16128),
+	.B(n_17008),
+	.Y(n_30346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845603 (
+	.A(n_17003),
+	.B(n_16137),
+	.Y(n_30407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845604 (
+	.A(n_16134),
+	.B(n_17010),
+	.Y(n_30395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845605 (
+	.A(n_17003),
+	.B(n_16143),
+	.Y(n_30406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845606 (
+	.A(n_16134),
+	.B(n_17013),
+	.Y(n_30396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845607 (
+	.A(n_17002),
+	.B(n_16141),
+	.Y(n_30397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845608 (
+	.A(n_17002),
+	.B(n_16143),
+	.Y(n_30398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845609 (
+	.A(n_17002),
+	.B(n_16145),
+	.Y(n_30403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845610 (
+	.A(n_17002),
+	.B(n_16147),
+	.Y(n_30404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845611 (
+	.A(n_17002),
+	.B(n_16137),
+	.Y(n_30399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845612 (
+	.A(n_17002),
+	.B(n_16139),
+	.Y(n_30400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845613 (
+	.A(n_17002),
+	.B(n_16135),
+	.Y(n_30402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845614 (
+	.A(n_16128),
+	.B(n_17009),
+	.Y(n_30343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845615 (
+	.A(n_16128),
+	.B(n_17014),
+	.Y(n_30344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845616 (
+	.A(n_16128),
+	.B(n_17007),
+	.Y(n_30345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845617 (
+	.A(n_16128),
+	.B(n_17011),
+	.Y(n_30341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845618 (
+	.A(n_16128),
+	.B(n_17012),
+	.Y(n_30342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845619 (
+	.A(n_16128),
+	.B(n_17013),
+	.Y(n_30348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845620 (
+	.A(n_16128),
+	.B(n_17010),
+	.Y(n_30347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845621 (
+	.A(n_16128),
+	.B(n_17016),
+	.Y(n_30353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g845622 (
+	.A(n_16128),
+	.B(n_17015),
+	.Y(n_30354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845623 (
+	.A1(n_20180),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1066]),
+	.B1(n_20151),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1089]),
+	.C1(n_16125),
+	.Y(n_17001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845624 (
+	.A1(n_17670),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1692]),
+	.B1(n_17667),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1669]),
+	.C1(n_16124),
+	.Y(n_17000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845625 (
+	.A1(n_17621),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1508]),
+	.B1(n_17618),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1485]),
+	.C1(n_16123),
+	.Y(n_16999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845626 (
+	.A1(n_17719),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1140]),
+	.B1(n_11605),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1117]),
+	.C1(n_16122),
+	.Y(n_16998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845627 (
+	.A1(n_20148),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [767]),
+	.B1(n_20147),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [744]),
+	.C1(n_16121),
+	.Y(n_16997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845628 (
+	.A1(n_11454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1301]),
+	.B1(n_17741),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1324]),
+	.C1(n_16120),
+	.Y(n_16996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845629 (
+	.A(n_14221),
+	.B(n_14241),
+	.C(n_14232),
+	.D(n_14227),
+	.Y(n_16995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845630 (
+	.A1(n_20223),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1822]),
+	.B1(n_20221),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1799]),
+	.C1(n_16126),
+	.Y(n_16994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845631 (
+	.A1(n_17647),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [565]),
+	.B1(n_17650),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [588]),
+	.C1(n_16119),
+	.Y(n_16993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845632 (
+	.A1(n_11452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [36]),
+	.B1(n_11594),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [13]),
+	.C1(n_16118),
+	.Y(n_16992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845633 (
+	.A1(n_11453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2676]),
+	.B1(n_11488),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2699]),
+	.C1(n_16117),
+	.Y(n_16991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845634 (
+	.A(n_14253),
+	.B(n_14256),
+	.C(n_14254),
+	.D(n_14255),
+	.Y(n_16990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845635 (
+	.A(n_14261),
+	.B(n_14258),
+	.C(n_14259),
+	.D(n_14263),
+	.Y(n_16989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845636 (
+	.A(n_14268),
+	.B(n_14266),
+	.C(n_14270),
+	.D(n_14267),
+	.Y(n_16988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845637 (
+	.A1(n_20178),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2883]),
+	.B1(n_11637),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2860]),
+	.C1(n_16116),
+	.Y(n_16987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845638 (
+	.A1(n_11469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1731]),
+	.B1(n_20233),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1708]),
+	.C1(n_16115),
+	.Y(n_16986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845639 (
+	.A(n_14272),
+	.B(n_14275),
+	.C(n_14274),
+	.D(n_14273),
+	.Y(n_16985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845640 (
+	.A1(n_17726),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [956]),
+	.B1(n_17729),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [933]),
+	.C1(n_16114),
+	.Y(n_16984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845641 (
+	.A1(n_11552),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [772]),
+	.B1(n_11484),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [749]),
+	.C1(n_16113),
+	.Y(n_16983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845642 (
+	.A(n_14278),
+	.B(n_14286),
+	.C(n_14290),
+	.D(n_14281),
+	.Y(n_16982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845643 (
+	.A1(n_17668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2060]),
+	.B1(n_11584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2037]),
+	.C1(n_16112),
+	.Y(n_16981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845644 (
+	.A1(n_17702),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1876]),
+	.B1(n_17654),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1853]),
+	.C1(n_16111),
+	.Y(n_16980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845646 (
+	.A1(n_20242),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1295]),
+	.B1(n_20189),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1318]),
+	.C1(n_16107),
+	.Y(n_16979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845647 (
+	.A(n_15607),
+	.B(n_15611),
+	.C(n_15612),
+	.D(n_15605),
+	.Y(n_16978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845648 (
+	.A1(n_20214),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1648]),
+	.B1(n_20212),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1625]),
+	.C1(n_16110),
+	.Y(n_16977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845649 (
+	.A1(n_11445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1694]),
+	.B1(n_11470),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1671]),
+	.C1(n_16109),
+	.Y(n_16976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845650 (
+	.A1(n_20199),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [84]),
+	.B1(n_20232),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [61]),
+	.C1(n_16108),
+	.Y(n_16975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845651 (
+	.A1(n_11452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [28]),
+	.B1(n_11594),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [5]),
+	.C1(n_16105),
+	.Y(n_16974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845652 (
+	.A(n_15593),
+	.B(n_15597),
+	.C(n_15599),
+	.D(n_15590),
+	.Y(n_16973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845653 (
+	.A1(n_20219),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [314]),
+	.B1(n_11436),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [291]),
+	.C1(n_16106),
+	.Y(n_16972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845654 (
+	.A(n_15586),
+	.B(n_15583),
+	.C(n_15577),
+	.D(n_15579),
+	.Y(n_16971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845655 (
+	.A(n_15588),
+	.B(n_15591),
+	.C(n_15589),
+	.D(n_15585),
+	.Y(n_16970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845656 (
+	.A(n_15584),
+	.B(n_15581),
+	.C(n_15578),
+	.D(n_15582),
+	.Y(n_16969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845657 (
+	.A1(n_20209),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1502]),
+	.B1(n_20208),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1479]),
+	.C1(n_16103),
+	.Y(n_16968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845658 (
+	.A1(n_20162),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [820]),
+	.B1(n_20145),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [797]),
+	.C1(n_16104),
+	.Y(n_16967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845659 (
+	.A(n_15563),
+	.B(n_15521),
+	.C(n_15454),
+	.D(n_15482),
+	.Y(n_16966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845660 (
+	.A1(n_17628),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1543]),
+	.B1(n_17623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1520]),
+	.C1(n_16102),
+	.Y(n_16965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845661 (
+	.A1(n_20143),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [981]),
+	.B1(n_20144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1004]),
+	.C1(n_16101),
+	.Y(n_16964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845662 (
+	.A1(n_11445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1686]),
+	.B1(n_11470),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1663]),
+	.C1(n_16097),
+	.Y(n_16963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845663 (
+	.A1(n_17688),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1727]),
+	.B1(n_17683),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1704]),
+	.C1(n_16099),
+	.Y(n_16962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845664 (
+	.A1(n_20153),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [383]),
+	.B1(n_20202),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [406]),
+	.C1(n_16100),
+	.Y(n_16961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845665 (
+	.A1(n_20191),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [567]),
+	.B1(n_20195),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [590]),
+	.C1(n_16098),
+	.Y(n_16960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845666 (
+	.A(n_15542),
+	.B(n_15535),
+	.C(n_15543),
+	.D(n_15532),
+	.Y(n_16959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845667 (
+	.A(n_15551),
+	.B(n_15549),
+	.C(n_15552),
+	.D(n_15550),
+	.Y(n_16958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845668 (
+	.A(n_15544),
+	.B(n_15546),
+	.C(n_15547),
+	.D(n_15545),
+	.Y(n_16957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845669 (
+	.A(n_15536),
+	.B(n_15539),
+	.C(n_15540),
+	.D(n_15537),
+	.Y(n_16956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845670 (
+	.A(n_15529),
+	.B(n_15533),
+	.C(n_15530),
+	.D(n_15531),
+	.Y(n_16955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845671 (
+	.A(n_13316),
+	.B(n_15519),
+	.C(n_15524),
+	.D(n_13220),
+	.Y(n_16954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845672 (
+	.A1(n_17734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1234]),
+	.B1(n_17713),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1211]),
+	.C1(n_16096),
+	.Y(n_16953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845673 (
+	.A1(n_17687),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2499]),
+	.B1(n_17660),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2522]),
+	.C1(n_16094),
+	.Y(n_16952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845674 (
+	.A(n_15499),
+	.B(n_15515),
+	.C(n_15513),
+	.D(n_15498),
+	.Y(n_16951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845675 (
+	.A(n_13387),
+	.B(n_15511),
+	.C(n_15504),
+	.D(n_15507),
+	.Y(n_16950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845676 (
+	.A1(n_17702),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1878]),
+	.B1(n_17654),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1855]),
+	.C1(n_16093),
+	.Y(n_16949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845677 (
+	.A1(n_17668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2062]),
+	.B1(n_11584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2039]),
+	.C1(n_16092),
+	.Y(n_16948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845678 (
+	.A1(n_17639),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [659]),
+	.B1(n_17649),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [682]),
+	.C1(n_16091),
+	.Y(n_16947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845679 (
+	.A1(n_17665),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [498]),
+	.B1(n_17662),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [475]),
+	.C1(n_16090),
+	.Y(n_16946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845680 (
+	.A(n_15473),
+	.B(n_15457),
+	.C(n_15486),
+	.D(n_15481),
+	.Y(n_16945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845681 (
+	.A(n_15489),
+	.B(n_15493),
+	.C(n_15487),
+	.D(n_15500),
+	.Y(n_16944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845682 (
+	.A(n_15494),
+	.B(n_15496),
+	.C(n_15497),
+	.D(n_15495),
+	.Y(n_16943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845683 (
+	.A(n_15492),
+	.B(n_13723),
+	.C(n_15488),
+	.D(n_15490),
+	.Y(n_16942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845684 (
+	.A1(n_17717),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [107]),
+	.B1(n_17718),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [130]),
+	.C1(n_16089),
+	.Y(n_16941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845685 (
+	.A1(n_17622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [189]),
+	.B1(n_17624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [212]),
+	.C1(n_16095),
+	.Y(n_16940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845686 (
+	.A1(n_17640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [314]),
+	.B1(n_17629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [291]),
+	.C1(n_16088),
+	.Y(n_16939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845687 (
+	.A1(n_17744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [837]),
+	.B1(n_11430),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [860]),
+	.C1(n_16087),
+	.Y(n_16938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845688 (
+	.A1(n_17744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [843]),
+	.B1(n_11430),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [866]),
+	.C1(n_16086),
+	.Y(n_16937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845689 (
+	.A1(n_17727),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1073]),
+	.B1(n_11399),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1096]),
+	.C1(n_16085),
+	.Y(n_16936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845690 (
+	.A(n_15416),
+	.B(n_15446),
+	.C(n_15467),
+	.D(n_15435),
+	.Y(n_16935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845691 (
+	.A(n_15463),
+	.B(n_15462),
+	.C(n_15464),
+	.D(n_15461),
+	.Y(n_16934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845692 (
+	.A(n_15458),
+	.B(n_15459),
+	.C(n_14064),
+	.D(n_15456),
+	.Y(n_16933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845693 (
+	.A1(n_17639),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [653]),
+	.B1(n_17649),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [676]),
+	.C1(n_16084),
+	.Y(n_16932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845694 (
+	.A(n_15451),
+	.B(n_15450),
+	.C(n_15452),
+	.D(n_15449),
+	.Y(n_16931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845695 (
+	.A(n_14175),
+	.B(n_15443),
+	.C(n_15447),
+	.D(n_15444),
+	.Y(n_16930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845696 (
+	.A(n_15438),
+	.B(n_15453),
+	.C(n_15426),
+	.D(n_15445),
+	.Y(n_16929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845697 (
+	.A1(n_17717),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [101]),
+	.B1(n_17718),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [124]),
+	.C1(n_16083),
+	.Y(n_16928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845698 (
+	.A1(n_17632),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1602]),
+	.B1(n_17673),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1579]),
+	.C1(n_16082),
+	.Y(n_16927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845699 (
+	.A1(n_17727),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1067]),
+	.B1(n_11399),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1090]),
+	.C1(n_16079),
+	.Y(n_16926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845700 (
+	.A1(n_17698),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2430]),
+	.B1(n_11565),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2407]),
+	.C1(n_16081),
+	.Y(n_16925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845701 (
+	.A(n_15397),
+	.B(n_15410),
+	.C(n_15404),
+	.D(n_15421),
+	.Y(n_16924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845702 (
+	.A1(n_17627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [360]),
+	.B1(n_17626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [337]),
+	.C1(n_16078),
+	.Y(n_16923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845703 (
+	.A1(n_17716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [176]),
+	.B1(n_17715),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [153]),
+	.C1(n_16080),
+	.Y(n_16922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845704 (
+	.A1(n_17619),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [521]),
+	.B1(n_17679),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [544]),
+	.C1(n_16077),
+	.Y(n_16921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845705 (
+	.A(n_15402),
+	.B(n_15411),
+	.C(n_15407),
+	.D(n_15415),
+	.Y(n_16920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845706 (
+	.A1(n_17637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [705]),
+	.B1(n_17630),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [728]),
+	.C1(n_16076),
+	.Y(n_16919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845707 (
+	.A(n_15370),
+	.B(n_15383),
+	.C(n_15398),
+	.D(n_15359),
+	.Y(n_16918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845708 (
+	.A(n_15408),
+	.B(n_15406),
+	.C(n_15405),
+	.D(n_15409),
+	.Y(n_16917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845709 (
+	.A(n_15400),
+	.B(n_15399),
+	.C(n_15401),
+	.D(n_15403),
+	.Y(n_16916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845710 (
+	.A(n_15392),
+	.B(n_15393),
+	.C(n_15387),
+	.D(n_15389),
+	.Y(n_16915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845711 (
+	.A1(n_17702),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1878]),
+	.B1(n_17654),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1855]),
+	.C1(n_16075),
+	.Y(n_16914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845712 (
+	.A1(n_17668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2062]),
+	.B1(n_11584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2039]),
+	.C1(n_16074),
+	.Y(n_16913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845713 (
+	.A1(n_20162),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [812]),
+	.B1(n_20145),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [789]),
+	.C1(n_16072),
+	.Y(n_16912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845714 (
+	.A1(n_17722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [889]),
+	.B1(n_17723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [912]),
+	.C1(n_16073),
+	.Y(n_16911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845715 (
+	.A(n_15351),
+	.B(n_15390),
+	.C(n_15272),
+	.D(n_15307),
+	.Y(n_16910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845716 (
+	.A1(n_17727),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1073]),
+	.B1(n_11399),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1096]),
+	.C1(n_16071),
+	.Y(n_16909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845717 (
+	.A(n_15376),
+	.B(n_15371),
+	.C(n_15373),
+	.D(n_15379),
+	.Y(n_16908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845718 (
+	.A1(n_20153),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [377]),
+	.B1(n_20202),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [400]),
+	.C1(n_16070),
+	.Y(n_16907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845719 (
+	.A1(n_20180),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1067]),
+	.B1(n_20151),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1090]),
+	.C1(n_16069),
+	.Y(n_16906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845720 (
+	.A1(n_20178),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2882]),
+	.B1(n_11637),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2859]),
+	.C1(n_16066),
+	.Y(n_16905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845721 (
+	.A(n_15354),
+	.B(n_15361),
+	.C(n_15364),
+	.D(n_15356),
+	.Y(n_16904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845722 (
+	.A1(n_20254),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1274]),
+	.B1(n_11431),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1251]),
+	.C1(n_16068),
+	.Y(n_16903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845723 (
+	.A1(n_20191),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [561]),
+	.B1(n_20195),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [584]),
+	.C1(n_16067),
+	.Y(n_16902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845724 (
+	.A(n_15352),
+	.B(n_15350),
+	.C(n_15349),
+	.D(n_15353),
+	.Y(n_16901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845725 (
+	.A(n_15343),
+	.B(n_15345),
+	.C(n_15346),
+	.D(n_15344),
+	.Y(n_16900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845726 (
+	.A(n_15335),
+	.B(n_15342),
+	.C(n_15347),
+	.D(n_15135),
+	.Y(n_16899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845727 (
+	.A1(n_17731),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1040]),
+	.B1(n_17730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1017]),
+	.C1(n_16065),
+	.Y(n_16898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845728 (
+	.A(n_15339),
+	.B(n_15340),
+	.C(n_15154),
+	.D(n_15336),
+	.Y(n_16897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845729 (
+	.A(n_15319),
+	.B(n_15325),
+	.C(n_15327),
+	.D(n_15321),
+	.Y(n_16896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845730 (
+	.A(n_15333),
+	.B(n_15332),
+	.C(n_15334),
+	.D(n_15331),
+	.Y(n_16895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845731 (
+	.A(n_15326),
+	.B(n_15328),
+	.C(n_15324),
+	.D(n_15329),
+	.Y(n_16894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845732 (
+	.A(n_15320),
+	.B(n_15322),
+	.C(n_15300),
+	.D(n_15323),
+	.Y(n_16893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845733 (
+	.A1(n_11404),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [651]),
+	.B1(n_20244),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [674]),
+	.C1(n_16063),
+	.Y(n_16892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845734 (
+	.A1(n_11453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2677]),
+	.B1(n_11488),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2700]),
+	.C1(n_16064),
+	.Y(n_16891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845735 (
+	.A1(n_20187),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2907]),
+	.B1(n_20250),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2930]),
+	.C1(n_16062),
+	.Y(n_16890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845736 (
+	.A1(n_17690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1941]),
+	.B1(n_17669),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1964]),
+	.C1(n_16061),
+	.Y(n_16889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845737 (
+	.A1(n_17631),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2125]),
+	.B1(n_17634),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2148]),
+	.C1(n_16060),
+	.Y(n_16888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845738 (
+	.A(n_15308),
+	.B(n_15304),
+	.C(n_15310),
+	.D(n_15305),
+	.Y(n_16887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845739 (
+	.A1(n_20158),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [490]),
+	.B1(n_11435),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [467]),
+	.C1(n_16058),
+	.Y(n_16886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845740 (
+	.A(n_15297),
+	.B(n_15296),
+	.C(n_15527),
+	.D(n_15301),
+	.Y(n_16885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845741 (
+	.A(n_15285),
+	.B(n_15288),
+	.C(n_15286),
+	.D(n_15289),
+	.Y(n_16884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845742 (
+	.A(n_15292),
+	.B(n_15294),
+	.C(n_15293),
+	.D(n_15295),
+	.Y(n_16883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845743 (
+	.A1(n_17732),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [810]),
+	.B1(n_17739),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [787]),
+	.C1(n_16054),
+	.Y(n_16882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845744 (
+	.A1(n_11485),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1136]),
+	.B1(n_20184),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1113]),
+	.C1(n_16059),
+	.Y(n_16881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845745 (
+	.A(n_15269),
+	.B(n_15275),
+	.C(n_15278),
+	.D(n_12812),
+	.Y(n_16880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845746 (
+	.A1(n_20246),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [193]),
+	.B1(n_20253),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [216]),
+	.C1(n_16057),
+	.Y(n_16879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845747 (
+	.A(n_15254),
+	.B(n_15259),
+	.C(n_15265),
+	.D(n_15248),
+	.Y(n_16878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845748 (
+	.A1(n_11403),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [32]),
+	.B1(n_11434),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [9]),
+	.C1(n_16056),
+	.Y(n_16877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845749 (
+	.A1(n_20138),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [952]),
+	.B1(n_20215),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [929]),
+	.C1(n_16055),
+	.Y(n_16876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845750 (
+	.A1(n_11422),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2123]),
+	.B1(n_11478),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2146]),
+	.C1(n_16053),
+	.Y(n_16875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845751 (
+	.A(n_15258),
+	.B(n_15260),
+	.C(n_15264),
+	.D(n_15262),
+	.Y(n_16874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845752 (
+	.A(n_15256),
+	.B(n_15255),
+	.C(n_15252),
+	.D(n_15253),
+	.Y(n_16873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845753 (
+	.A(n_15240),
+	.B(n_15233),
+	.C(n_15244),
+	.D(n_15235),
+	.Y(n_16872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845754 (
+	.A(n_15250),
+	.B(n_15249),
+	.C(n_15246),
+	.D(n_15247),
+	.Y(n_16871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845755 (
+	.A(n_13394),
+	.B(n_15243),
+	.C(n_15239),
+	.D(n_15241),
+	.Y(n_16870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845756 (
+	.A1(n_11640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1155]),
+	.B1(n_11483),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1178]),
+	.C1(n_16044),
+	.Y(n_16869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845757 (
+	.A(n_15214),
+	.B(n_15224),
+	.C(n_15228),
+	.D(n_15222),
+	.Y(n_16868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845758 (
+	.A(n_15234),
+	.B(n_15237),
+	.C(n_13577),
+	.D(n_15238),
+	.Y(n_16867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845759 (
+	.A(n_15227),
+	.B(n_15229),
+	.C(n_15231),
+	.D(n_15232),
+	.Y(n_16866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845760 (
+	.A1(n_20245),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1939]),
+	.B1(n_20229),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1962]),
+	.C1(n_16050),
+	.Y(n_16865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845761 (
+	.A1(n_11609),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1872]),
+	.B1(n_20194),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1849]),
+	.C1(n_16052),
+	.Y(n_16864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845762 (
+	.A1(n_11422),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2125]),
+	.B1(n_11478),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2148]),
+	.C1(n_16051),
+	.Y(n_16863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845763 (
+	.A(n_15217),
+	.B(n_15216),
+	.C(n_15218),
+	.D(n_14095),
+	.Y(n_16862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845764 (
+	.A1(n_17665),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [492]),
+	.B1(n_17662),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [469]),
+	.C1(n_16049),
+	.Y(n_16861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845765 (
+	.A(n_15211),
+	.B(n_15210),
+	.C(n_15209),
+	.D(n_15212),
+	.Y(n_16860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845766 (
+	.A1(n_11471),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2673]),
+	.B1(n_11421),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2696]),
+	.C1(n_16046),
+	.Y(n_16859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845767 (
+	.A(n_15205),
+	.B(n_15208),
+	.C(n_15207),
+	.D(n_15203),
+	.Y(n_16858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845768 (
+	.A(n_15197),
+	.B(n_15198),
+	.C(n_15201),
+	.D(n_15200),
+	.Y(n_16857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845769 (
+	.A1(n_17640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [308]),
+	.B1(n_17629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [285]),
+	.C1(n_16048),
+	.Y(n_16856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845770 (
+	.A1(n_20153),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [384]),
+	.B1(n_20202),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [407]),
+	.C1(n_16047),
+	.Y(n_16855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845771 (
+	.A(n_15182),
+	.B(n_15191),
+	.C(n_15174),
+	.D(n_15166),
+	.Y(n_16854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845772 (
+	.A1(n_20170),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2086]),
+	.B1(n_20176),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2109]),
+	.C1(n_16045),
+	.Y(n_16853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845773 (
+	.A1(n_11403),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [39]),
+	.B1(n_11434),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [16]),
+	.C1(n_16043),
+	.Y(n_16852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845774 (
+	.A1(n_17639),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [653]),
+	.B1(n_17649),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [676]),
+	.C1(n_16041),
+	.Y(n_16851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845775 (
+	.A1(n_20191),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [568]),
+	.B1(n_20195),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [591]),
+	.C1(n_16042),
+	.Y(n_16850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845776 (
+	.A1(n_11428),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1895]),
+	.B1(n_11440),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1918]),
+	.C1(n_16040),
+	.Y(n_16849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845777 (
+	.A(n_15173),
+	.B(n_15176),
+	.C(n_14964),
+	.D(n_15177),
+	.Y(n_16848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845778 (
+	.A(n_15160),
+	.B(n_15146),
+	.C(n_15132),
+	.D(n_15141),
+	.Y(n_16847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845779 (
+	.A(n_15169),
+	.B(n_14969),
+	.C(n_15171),
+	.D(n_15168),
+	.Y(n_16846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845780 (
+	.A(n_15165),
+	.B(n_14979),
+	.C(n_15167),
+	.D(n_15162),
+	.Y(n_16845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845781 (
+	.A(n_15161),
+	.B(n_15156),
+	.C(n_15159),
+	.D(n_15157),
+	.Y(n_16844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845782 (
+	.A1(n_17635),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2903]),
+	.B1(n_17686),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2926]),
+	.C1(n_16037),
+	.Y(n_16843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845783 (
+	.A(n_15149),
+	.B(n_15144),
+	.C(n_15136),
+	.D(n_15139),
+	.Y(n_16842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845784 (
+	.A(n_15153),
+	.B(n_15150),
+	.C(n_15155),
+	.D(n_15151),
+	.Y(n_16841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845785 (
+	.A(n_15148),
+	.B(n_15147),
+	.C(n_15145),
+	.D(n_15143),
+	.Y(n_16840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845786 (
+	.A1(n_20141),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2592]),
+	.B1(n_11554),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2615]),
+	.C1(n_16039),
+	.Y(n_16839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845787 (
+	.A(n_15121),
+	.B(n_15126),
+	.C(n_15116),
+	.D(n_15128),
+	.Y(n_16838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845788 (
+	.A1(n_20163),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2776]),
+	.B1(n_20149),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2799]),
+	.C1(n_16038),
+	.Y(n_16837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845789 (
+	.A(n_15129),
+	.B(n_15127),
+	.C(n_15124),
+	.D(n_15125),
+	.Y(n_16836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845790 (
+	.A1(n_11640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1157]),
+	.B1(n_11483),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1180]),
+	.C1(n_16036),
+	.Y(n_16835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845791 (
+	.A(n_15123),
+	.B(n_15251),
+	.C(n_15120),
+	.D(n_15465),
+	.Y(n_16834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845792 (
+	.A(n_15117),
+	.B(n_15118),
+	.C(n_15119),
+	.D(n_15115),
+	.Y(n_16833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845793 (
+	.A(n_15102),
+	.B(n_15108),
+	.C(n_15104),
+	.D(n_15112),
+	.Y(n_16832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845794 (
+	.A(n_15113),
+	.B(n_15111),
+	.C(n_15110),
+	.D(n_15109),
+	.Y(n_16831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845795 (
+	.A1(n_20226),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1364]),
+	.B1(n_11398),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1341]),
+	.C1(n_16031),
+	.Y(n_16830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845796 (
+	.A1(n_20199),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [85]),
+	.B1(n_20232),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [62]),
+	.C1(n_16035),
+	.Y(n_16829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845797 (
+	.A1(n_20204),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2500]),
+	.B1(n_11547),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2523]),
+	.C1(n_16034),
+	.Y(n_16828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845798 (
+	.A(n_15097),
+	.B(n_13968),
+	.C(n_15089),
+	.D(n_15095),
+	.Y(n_16827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845799 (
+	.A1(n_11453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2684]),
+	.B1(n_11488),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2707]),
+	.C1(n_16033),
+	.Y(n_16826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845800 (
+	.A1(n_20246),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [200]),
+	.B1(n_20253),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [223]),
+	.C1(n_16032),
+	.Y(n_16825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845801 (
+	.A(n_15086),
+	.B(n_15087),
+	.C(n_15088),
+	.D(n_13978),
+	.Y(n_16824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845802 (
+	.A(n_15075),
+	.B(n_15081),
+	.C(n_15085),
+	.D(n_15077),
+	.Y(n_16823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845803 (
+	.A(n_15083),
+	.B(n_15082),
+	.C(n_14150),
+	.D(n_15080),
+	.Y(n_16822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845804 (
+	.A(n_14539),
+	.B(n_15076),
+	.C(n_15079),
+	.D(n_15074),
+	.Y(n_16821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845805 (
+	.A(n_15072),
+	.B(n_15071),
+	.C(n_15073),
+	.D(n_14962),
+	.Y(n_16820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845806 (
+	.A(n_13992),
+	.B(n_15064),
+	.C(n_15068),
+	.D(n_15060),
+	.Y(n_16819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845807 (
+	.A1(n_20219),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [304]),
+	.B1(n_11436),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [281]),
+	.C1(n_16024),
+	.Y(n_16818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845808 (
+	.A(n_15067),
+	.B(n_15065),
+	.C(n_15063),
+	.D(n_15062),
+	.Y(n_16817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845809 (
+	.A(n_15057),
+	.B(n_15051),
+	.C(n_15033),
+	.D(n_15066),
+	.Y(n_16816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845810 (
+	.A1(n_20263),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1594]),
+	.B1(n_20198),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1571]),
+	.C1(n_16028),
+	.Y(n_16815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845811 (
+	.A(n_15059),
+	.B(n_15365),
+	.C(n_15619),
+	.D(n_15061),
+	.Y(n_16814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g845812 (
+	.A1(n_501),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[323]),
+	.B1(n_356),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[291]),
+	.C1(n_16029),
+	.X(n_16813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845813 (
+	.A1(n_20263),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1603]),
+	.B1(n_20198),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1580]),
+	.C1(n_16030),
+	.Y(n_16812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845814 (
+	.A1(n_17687),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2493]),
+	.B1(n_17660),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2516]),
+	.C1(n_16026),
+	.Y(n_16811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845815 (
+	.A1(n_20231),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1787]),
+	.B1(n_20225),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1764]),
+	.C1(n_16027),
+	.Y(n_16810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845816 (
+	.A(n_15025),
+	.B(n_15023),
+	.C(n_15018),
+	.D(n_14980),
+	.Y(n_16809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845817 (
+	.A1(n_17644),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2332]),
+	.B1(n_17684),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2309]),
+	.C1(n_16025),
+	.Y(n_16808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845818 (
+	.A(n_15050),
+	.B(n_15049),
+	.C(n_15046),
+	.D(n_15047),
+	.Y(n_16807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845819 (
+	.A(n_15044),
+	.B(n_15043),
+	.C(n_15041),
+	.D(n_15040),
+	.Y(n_16806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845820 (
+	.A1(n_20231),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1778]),
+	.B1(n_20225),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1755]),
+	.C1(n_16023),
+	.Y(n_16805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845821 (
+	.A(n_15038),
+	.B(n_15035),
+	.C(n_15039),
+	.D(n_15037),
+	.Y(n_16804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845822 (
+	.A(n_15030),
+	.B(n_15031),
+	.C(n_14981),
+	.D(n_15034),
+	.Y(n_16803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845823 (
+	.A1(n_11437),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2077]),
+	.B1(n_17615),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2100]),
+	.C1(n_16014),
+	.Y(n_16802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845824 (
+	.A(n_15024),
+	.B(n_15021),
+	.C(n_15026),
+	.D(n_15020),
+	.Y(n_16801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845825 (
+	.A(n_15015),
+	.B(n_15016),
+	.C(n_14994),
+	.D(n_15019),
+	.Y(n_16800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g845826 (
+	.A1(n_359),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[676]),
+	.B1(n_508),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[516]),
+	.C1(n_16012),
+	.X(n_16799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845827 (
+	.A(n_15006),
+	.B(n_15007),
+	.C(n_15215),
+	.D(n_14207),
+	.Y(n_16798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845828 (
+	.A(n_15008),
+	.B(n_15009),
+	.C(n_15010),
+	.D(n_15012),
+	.Y(n_16797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845829 (
+	.A(n_15004),
+	.B(n_15554),
+	.C(n_13008),
+	.D(n_14999),
+	.Y(n_16796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845830 (
+	.A(n_15003),
+	.B(n_15196),
+	.C(n_12798),
+	.D(n_14300),
+	.Y(n_16795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845831 (
+	.A(n_15014),
+	.B(n_14397),
+	.C(n_12938),
+	.D(n_13582),
+	.Y(n_16794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845832 (
+	.A(n_14986),
+	.B(n_14992),
+	.C(n_14978),
+	.D(n_14533),
+	.Y(n_16793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845833 (
+	.A(n_14998),
+	.B(n_14993),
+	.C(n_12698),
+	.D(n_15142),
+	.Y(n_16792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845834 (
+	.A(n_14997),
+	.B(n_15001),
+	.C(n_14996),
+	.D(n_13765),
+	.Y(n_16791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845835 (
+	.A(n_13605),
+	.B(n_14995),
+	.C(n_14023),
+	.D(n_14988),
+	.Y(n_16790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845836 (
+	.A(n_14990),
+	.B(n_14987),
+	.C(n_14985),
+	.D(n_15017),
+	.Y(n_16789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845837 (
+	.A(n_14984),
+	.B(n_15130),
+	.C(n_15002),
+	.D(n_14983),
+	.Y(n_16788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845838 (
+	.A1(n_17619),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [511]),
+	.B1(n_17679),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [534]),
+	.C1(n_16022),
+	.Y(n_16787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845839 (
+	.A1(n_17672),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2583]),
+	.B1(n_17612),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2606]),
+	.C1(n_15690),
+	.Y(n_16786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845840 (
+	.A(n_12843),
+	.B(n_14977),
+	.C(n_13793),
+	.D(n_14695),
+	.Y(n_16785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845841 (
+	.A1(n_17647),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [559]),
+	.B1(n_17650),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [582]),
+	.C1(n_16020),
+	.Y(n_16784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845842 (
+	.A1(n_20138),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [957]),
+	.B1(n_20215),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [934]),
+	.C1(n_16019),
+	.Y(n_16783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845843 (
+	.A1(n_20260),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1983]),
+	.B1(n_20261),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2006]),
+	.C1(n_16011),
+	.Y(n_16782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845844 (
+	.A1(n_17743),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1364]),
+	.B1(n_11600),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1341]),
+	.C1(n_15805),
+	.Y(n_16781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845845 (
+	.A1(n_17627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [350]),
+	.B1(n_17626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [327]),
+	.C1(n_16018),
+	.Y(n_16780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845846 (
+	.A1(n_20168),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2061]),
+	.B1(n_11423),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2038]),
+	.C1(n_16015),
+	.Y(n_16779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845847 (
+	.A1(n_11404),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [658]),
+	.B1(n_20244),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [681]),
+	.C1(n_16013),
+	.Y(n_16778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845848 (
+	.A1(n_17661),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1985]),
+	.B1(n_17664),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2008]),
+	.C1(n_16009),
+	.Y(n_16777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845849 (
+	.A1(n_20158),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [497]),
+	.B1(n_11435),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [474]),
+	.C1(n_16010),
+	.Y(n_16776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845850 (
+	.A1(n_17680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1776]),
+	.B1(n_17677),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1753]),
+	.C1(n_16008),
+	.Y(n_16775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845851 (
+	.A(n_14941),
+	.B(n_14937),
+	.C(n_14938),
+	.D(n_14943),
+	.Y(n_16774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845852 (
+	.A1(n_17632),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1592]),
+	.B1(n_17673),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1569]),
+	.C1(n_16004),
+	.Y(n_16773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845853 (
+	.A(n_14936),
+	.B(n_14929),
+	.C(n_14927),
+	.D(n_14933),
+	.Y(n_16772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845854 (
+	.A(n_14935),
+	.B(n_14932),
+	.C(n_14928),
+	.D(n_14930),
+	.Y(n_16771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845855 (
+	.A(n_14923),
+	.B(n_14926),
+	.C(n_14918),
+	.D(n_14919),
+	.Y(n_16770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845856 (
+	.A1(n_20231),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1785]),
+	.B1(n_20225),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1762]),
+	.C1(n_16007),
+	.Y(n_16769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845857 (
+	.A1(n_11479),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2167]),
+	.B1(n_20165),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2190]),
+	.C1(n_15996),
+	.Y(n_16768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845858 (
+	.A1(n_20263),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1601]),
+	.B1(n_20198),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1578]),
+	.C1(n_16006),
+	.Y(n_16767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845859 (
+	.A1(n_11472),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2719]),
+	.B1(n_17617),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2742]),
+	.C1(n_16000),
+	.Y(n_16766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845860 (
+	.A1(n_11461),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2560]),
+	.B1(n_17700),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2537]),
+	.C1(n_16005),
+	.Y(n_16765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845861 (
+	.A1(n_20204),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2498]),
+	.B1(n_11547),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2521]),
+	.C1(n_16127),
+	.Y(n_16764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845862 (
+	.A1(n_17689),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2376]),
+	.B1(n_17641),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2353]),
+	.C1(n_16002),
+	.Y(n_16763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845863 (
+	.A1(n_20243),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2337]),
+	.B1(n_20241),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2314]),
+	.C1(n_16001),
+	.Y(n_16762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845864 (
+	.A1(n_17633),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1640]),
+	.B1(n_17648),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1617]),
+	.C1(n_15999),
+	.Y(n_16761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845865 (
+	.A1(n_17635),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2903]),
+	.B1(n_17686),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2926]),
+	.C1(n_15998),
+	.Y(n_16760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845866 (
+	.A(n_14893),
+	.B(n_14895),
+	.C(n_15624),
+	.D(n_14892),
+	.Y(n_16759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845867 (
+	.A(n_14886),
+	.B(n_14887),
+	.C(n_14883),
+	.D(n_14889),
+	.Y(n_16758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845868 (
+	.A1(n_17674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1824]),
+	.B1(n_17671),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1801]),
+	.C1(n_15997),
+	.Y(n_16757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845869 (
+	.A(n_14876),
+	.B(n_14877),
+	.C(n_14880),
+	.D(n_14872),
+	.Y(n_16756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845870 (
+	.A(n_14863),
+	.B(n_14864),
+	.C(n_14868),
+	.D(n_14870),
+	.Y(n_16755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845871 (
+	.A(n_14869),
+	.B(n_14867),
+	.C(n_14871),
+	.D(n_14865),
+	.Y(n_16754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845872 (
+	.A(n_14855),
+	.B(n_14859),
+	.C(n_14866),
+	.D(n_14851),
+	.Y(n_16753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845873 (
+	.A(n_14853),
+	.B(n_14854),
+	.C(n_14858),
+	.D(n_14862),
+	.Y(n_16752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845874 (
+	.A1(n_20206),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1555]),
+	.B1(n_20205),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1532]),
+	.C1(n_15995),
+	.Y(n_16751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845875 (
+	.A(n_14835),
+	.B(n_14844),
+	.C(n_14846),
+	.D(n_14829),
+	.Y(n_16750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845876 (
+	.A1(n_20170),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2084]),
+	.B1(n_20176),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2107]),
+	.C1(n_15994),
+	.Y(n_16749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845877 (
+	.A(n_14840),
+	.B(n_14843),
+	.C(n_14848),
+	.D(n_14845),
+	.Y(n_16748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845878 (
+	.A1(n_11485),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1141]),
+	.B1(n_20184),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1118]),
+	.C1(n_15993),
+	.Y(n_16747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845879 (
+	.A(n_14832),
+	.B(n_14837),
+	.C(n_14838),
+	.D(n_14833),
+	.Y(n_16746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845880 (
+	.A1(n_20242),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1302]),
+	.B1(n_20189),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1325]),
+	.C1(n_15992),
+	.Y(n_16745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845881 (
+	.A1(n_17628),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1548]),
+	.B1(n_17623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1525]),
+	.C1(n_15991),
+	.Y(n_16744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845882 (
+	.A1(n_20174),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [520]),
+	.B1(n_11567),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [543]),
+	.C1(n_15990),
+	.Y(n_16743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845883 (
+	.A1(n_11552),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [764]),
+	.B1(n_11484),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [741]),
+	.C1(n_15988),
+	.Y(n_16742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g845884 (
+	.A1(n_347),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[813]),
+	.B1(n_496),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[845]),
+	.C1(n_15981),
+	.X(n_16741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845885 (
+	.A1(n_20191),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [566]),
+	.B1(n_20195),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [589]),
+	.C1(n_15989),
+	.Y(n_16740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845886 (
+	.A1(n_17640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [306]),
+	.B1(n_17629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [283]),
+	.C1(n_15987),
+	.Y(n_16739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845887 (
+	.A(n_14809),
+	.B(n_14816),
+	.C(n_14812),
+	.D(n_14810),
+	.Y(n_16738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845888 (
+	.A1(n_11453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2673]),
+	.B1(n_11488),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2696]),
+	.C1(n_15980),
+	.Y(n_16737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845889 (
+	.A1(n_17731),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1040]),
+	.B1(n_17730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1017]),
+	.C1(n_15985),
+	.Y(n_16736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845890 (
+	.A1(n_17731),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1042]),
+	.B1(n_17730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1019]),
+	.C1(n_15986),
+	.Y(n_16735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845891 (
+	.A(n_14804),
+	.B(n_14807),
+	.C(n_14806),
+	.D(n_14802),
+	.Y(n_16734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845892 (
+	.A1(n_17744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [835]),
+	.B1(n_11430),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [858]),
+	.C1(n_15983),
+	.Y(n_16733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845893 (
+	.A1(n_11448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2567]),
+	.B1(n_11622),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2544]),
+	.C1(n_15984),
+	.Y(n_16732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845894 (
+	.A1(n_11461),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2558]),
+	.B1(n_17700),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2535]),
+	.C1(n_15977),
+	.Y(n_16731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845895 (
+	.A1(n_20249),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2383]),
+	.B1(n_20255),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2360]),
+	.C1(n_15982),
+	.Y(n_16730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845896 (
+	.A(n_12831),
+	.B(n_13379),
+	.C(n_14112),
+	.D(n_14714),
+	.Y(n_16729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845897 (
+	.A1(n_17620),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2882]),
+	.B1(n_17614),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2859]),
+	.C1(n_15979),
+	.Y(n_16728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845898 (
+	.A1(n_20148),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [773]),
+	.B1(n_20147),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [750]),
+	.C1(n_15978),
+	.Y(n_16727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845899 (
+	.A1(n_11472),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2721]),
+	.B1(n_17617),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2744]),
+	.C1(n_15976),
+	.Y(n_16726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845900 (
+	.A1(n_20138),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [957]),
+	.B1(n_20215),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [934]),
+	.C1(n_15975),
+	.Y(n_16725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845901 (
+	.A(n_14538),
+	.B(n_14815),
+	.C(n_14685),
+	.D(n_14478),
+	.Y(n_16724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845902 (
+	.A1(n_17644),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2328]),
+	.B1(n_17684),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2305]),
+	.C1(n_15972),
+	.Y(n_16723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845903 (
+	.A(n_14769),
+	.B(n_14772),
+	.C(n_14768),
+	.D(n_14767),
+	.Y(n_16722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845904 (
+	.A(n_14763),
+	.B(n_14760),
+	.C(n_14766),
+	.D(n_14770),
+	.Y(n_16721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845905 (
+	.A(n_14765),
+	.B(n_14762),
+	.C(n_14761),
+	.D(n_14764),
+	.Y(n_16720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845906 (
+	.A(n_14746),
+	.B(n_14748),
+	.C(n_14756),
+	.D(n_14753),
+	.Y(n_16719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845907 (
+	.A(n_14757),
+	.B(n_14759),
+	.C(n_14755),
+	.D(n_14754),
+	.Y(n_16718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845908 (
+	.A(n_14749),
+	.B(n_14752),
+	.C(n_14747),
+	.D(n_14745),
+	.Y(n_16717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845909 (
+	.A1(n_17621),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1509]),
+	.B1(n_17618),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1486]),
+	.C1(n_15974),
+	.Y(n_16716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845910 (
+	.A1(n_17745),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1410]),
+	.B1(n_17728),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1387]),
+	.C1(n_15973),
+	.Y(n_16715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845911 (
+	.A1(n_20183),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2834]),
+	.B1(n_20182),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2811]),
+	.C1(n_15963),
+	.Y(n_16714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845912 (
+	.A1(n_17698),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2429]),
+	.B1(n_11565),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2406]),
+	.C1(n_15971),
+	.Y(n_16713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845913 (
+	.A(n_14720),
+	.B(n_14708),
+	.C(n_14706),
+	.D(n_14731),
+	.Y(n_16712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845914 (
+	.A1(n_17719),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1134]),
+	.B1(n_11605),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1111]),
+	.C1(n_15969),
+	.Y(n_16711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845915 (
+	.A1(n_11552),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [773]),
+	.B1(n_11484),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [750]),
+	.C1(n_15970),
+	.Y(n_16710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845916 (
+	.A1(n_17726),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [957]),
+	.B1(n_17729),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [934]),
+	.C1(n_15968),
+	.Y(n_16709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845917 (
+	.A1(n_17631),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2123]),
+	.B1(n_17634),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2146]),
+	.C1(n_15966),
+	.Y(n_16708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845918 (
+	.A1(n_17694),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [382]),
+	.B1(n_11647),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [405]),
+	.C1(n_15967),
+	.Y(n_16707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845919 (
+	.A1(n_17647),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [566]),
+	.B1(n_17650),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [589]),
+	.C1(n_15965),
+	.Y(n_16706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845920 (
+	.A1(n_17690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1939]),
+	.B1(n_17669),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1962]),
+	.C1(n_15964),
+	.Y(n_16705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845921 (
+	.A(n_14702),
+	.B(n_14707),
+	.C(n_14705),
+	.D(n_14703),
+	.Y(n_16704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845922 (
+	.A(n_14701),
+	.B(n_14699),
+	.C(n_14697),
+	.D(n_14696),
+	.Y(n_16703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845923 (
+	.A(n_14674),
+	.B(n_14667),
+	.C(n_14684),
+	.D(n_14694),
+	.Y(n_16702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845924 (
+	.A1(n_17719),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1141]),
+	.B1(n_11605),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1118]),
+	.C1(n_15962),
+	.Y(n_16701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845925 (
+	.A(n_14682),
+	.B(n_14675),
+	.C(n_14679),
+	.D(n_14688),
+	.Y(n_16700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845926 (
+	.A1(n_11454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1302]),
+	.B1(n_17741),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1325]),
+	.C1(n_15961),
+	.Y(n_16699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845927 (
+	.A(n_14666),
+	.B(n_14661),
+	.C(n_14655),
+	.D(n_14671),
+	.Y(n_16698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845928 (
+	.A1(n_17702),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1877]),
+	.B1(n_17654),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1854]),
+	.C1(n_15960),
+	.Y(n_16697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845929 (
+	.A1(n_17668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2061]),
+	.B1(n_11584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2038]),
+	.C1(n_15959),
+	.Y(n_16696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845930 (
+	.A(n_14664),
+	.B(n_14665),
+	.C(n_14663),
+	.D(n_14668),
+	.Y(n_16695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845931 (
+	.A(n_14658),
+	.B(n_14662),
+	.C(n_14657),
+	.D(n_14659),
+	.Y(n_16694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845932 (
+	.A(n_14650),
+	.B(n_14652),
+	.C(n_14654),
+	.D(n_14649),
+	.Y(n_16693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845933 (
+	.A(n_14637),
+	.B(n_14646),
+	.C(n_14653),
+	.D(n_14632),
+	.Y(n_16692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845934 (
+	.A(n_14645),
+	.B(n_14638),
+	.C(n_14642),
+	.D(n_14651),
+	.Y(n_16691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845935 (
+	.A(n_14647),
+	.B(n_14648),
+	.C(n_14644),
+	.D(n_14643),
+	.Y(n_16690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845936 (
+	.A1(n_17672),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2590]),
+	.B1(n_17612),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2613]),
+	.C1(n_15958),
+	.Y(n_16689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845937 (
+	.A1(n_17726),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [957]),
+	.B1(n_17729),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [934]),
+	.C1(n_15957),
+	.Y(n_16688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845938 (
+	.A(n_14631),
+	.B(n_14627),
+	.C(n_14624),
+	.D(n_14635),
+	.Y(n_16687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845939 (
+	.A1(n_17621),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1509]),
+	.B1(n_17618),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1486]),
+	.C1(n_15956),
+	.Y(n_16686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845940 (
+	.A1(n_17670),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1693]),
+	.B1(n_17667),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1670]),
+	.C1(n_15955),
+	.Y(n_16685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845941 (
+	.A(n_14606),
+	.B(n_14600),
+	.C(n_14611),
+	.D(n_14621),
+	.Y(n_16684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845942 (
+	.A1(n_17694),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [382]),
+	.B1(n_11647),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [405]),
+	.C1(n_15954),
+	.Y(n_16683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845943 (
+	.A(n_14580),
+	.B(n_14626),
+	.C(n_14603),
+	.D(n_14564),
+	.Y(n_16682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845944 (
+	.A1(n_20256),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [100]),
+	.B1(n_20157),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [123]),
+	.C1(n_15953),
+	.Y(n_16681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845945 (
+	.A1(n_17647),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [566]),
+	.B1(n_17650),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [589]),
+	.C1(n_15952),
+	.Y(n_16680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845946 (
+	.A(n_14609),
+	.B(n_14613),
+	.C(n_14607),
+	.D(n_14608),
+	.Y(n_16679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845947 (
+	.A(n_14605),
+	.B(n_14604),
+	.C(n_14602),
+	.D(n_14601),
+	.Y(n_16678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845948 (
+	.A1(n_11459),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [606]),
+	.B1(n_11581),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [629]),
+	.C1(n_15951),
+	.Y(n_16677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845949 (
+	.A1(n_17702),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1877]),
+	.B1(n_17654),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1854]),
+	.C1(n_15950),
+	.Y(n_16676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845950 (
+	.A1(n_17668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2061]),
+	.B1(n_11584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2038]),
+	.C1(n_15949),
+	.Y(n_16675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845951 (
+	.A1(n_20260),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1984]),
+	.B1(n_20261),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2007]),
+	.C1(n_15947),
+	.Y(n_16674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845952 (
+	.A1(n_17681),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2222]),
+	.B1(n_11582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2245]),
+	.C1(n_15948),
+	.Y(n_16673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845953 (
+	.A(n_14530),
+	.B(n_14517),
+	.C(n_14552),
+	.D(n_14586),
+	.Y(n_16672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845954 (
+	.A1(n_20142),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1043]),
+	.B1(n_20154),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1020]),
+	.C1(n_15945),
+	.Y(n_16671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845955 (
+	.A1(n_17698),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2429]),
+	.B1(n_11565),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2406]),
+	.C1(n_15946),
+	.Y(n_16670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845956 (
+	.A(n_14577),
+	.B(n_14574),
+	.C(n_14579),
+	.D(n_14572),
+	.Y(n_16669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845957 (
+	.A(n_14570),
+	.B(n_14567),
+	.C(n_14571),
+	.D(n_14568),
+	.Y(n_16668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845958 (
+	.A1(n_20162),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [813]),
+	.B1(n_20145),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [790]),
+	.C1(n_15944),
+	.Y(n_16667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845959 (
+	.A(n_14563),
+	.B(n_14561),
+	.C(n_14565),
+	.D(n_14560),
+	.Y(n_16666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845960 (
+	.A(n_14509),
+	.B(n_14545),
+	.C(n_14502),
+	.D(n_14529),
+	.Y(n_16665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845961 (
+	.A1(n_11459),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [604]),
+	.B1(n_11581),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [627]),
+	.C1(n_15943),
+	.Y(n_16664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845962 (
+	.A1(n_20214),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1641]),
+	.B1(n_20212),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1618]),
+	.C1(n_15942),
+	.Y(n_16663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845963 (
+	.A(n_14558),
+	.B(n_14555),
+	.C(n_14554),
+	.D(n_14559),
+	.Y(n_16662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845964 (
+	.A1(n_20223),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1825]),
+	.B1(n_20221),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1802]),
+	.C1(n_15940),
+	.Y(n_16661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845965 (
+	.A1(n_11400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [887]),
+	.B1(n_11490),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [910]),
+	.C1(n_15941),
+	.Y(n_16660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845966 (
+	.A1(n_20236),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1439]),
+	.B1(n_20197),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1462]),
+	.C1(n_15939),
+	.Y(n_16659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845967 (
+	.A1(n_20209),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1508]),
+	.B1(n_20208),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1485]),
+	.C1(n_15938),
+	.Y(n_16658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845968 (
+	.A1(n_20142),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1041]),
+	.B1(n_20154),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1018]),
+	.C1(n_15936),
+	.Y(n_16657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845969 (
+	.A(n_14528),
+	.B(n_14524),
+	.C(n_14532),
+	.D(n_14522),
+	.Y(n_16656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845970 (
+	.A1(n_11445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1692]),
+	.B1(n_11470),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1669]),
+	.C1(n_15937),
+	.Y(n_16655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845971 (
+	.A1(n_20161),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2428]),
+	.B1(n_20196),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2405]),
+	.C1(n_15935),
+	.Y(n_16654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845972 (
+	.A1(n_20238),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2221]),
+	.B1(n_20239),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2244]),
+	.C1(n_15934),
+	.Y(n_16653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845973 (
+	.A(n_14511),
+	.B(n_14518),
+	.C(n_14515),
+	.D(n_14508),
+	.Y(n_16652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845974 (
+	.A(n_14507),
+	.B(n_14510),
+	.C(n_14506),
+	.D(n_14505),
+	.Y(n_16651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845975 (
+	.A1(n_20162),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [811]),
+	.B1(n_20145),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [788]),
+	.C1(n_15931),
+	.Y(n_16650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845976 (
+	.A1(n_20178),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2883]),
+	.B1(n_11637),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2860]),
+	.C1(n_15933),
+	.Y(n_16649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845977 (
+	.A(n_14503),
+	.B(n_14504),
+	.C(n_14500),
+	.D(n_14498),
+	.Y(n_16648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845978 (
+	.A1(n_11453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2676]),
+	.B1(n_11488),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2699]),
+	.C1(n_15930),
+	.Y(n_16647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845979 (
+	.A1(n_20187),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2911]),
+	.B1(n_20250),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2934]),
+	.C1(n_15932),
+	.Y(n_16646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845980 (
+	.A1(n_20140),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2727]),
+	.B1(n_11644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2750]),
+	.C1(n_15929),
+	.Y(n_16645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845981 (
+	.A1(n_20256),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [105]),
+	.B1(n_20157),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [128]),
+	.C1(n_15928),
+	.Y(n_16644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845982 (
+	.A(n_14391),
+	.B(n_14496),
+	.C(n_14287),
+	.D(n_14439),
+	.Y(n_16643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845983 (
+	.A1(n_20247),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2260]),
+	.B1(n_20248),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2283]),
+	.C1(n_15925),
+	.Y(n_16642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845984 (
+	.A1(n_20168),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2055]),
+	.B1(n_11423),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2032]),
+	.C1(n_15927),
+	.Y(n_16641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845985 (
+	.A1(n_20237),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [243]),
+	.B1(n_20240),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [266]),
+	.C1(n_15926),
+	.Y(n_16640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845986 (
+	.A1(n_20259),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [833]),
+	.B1(n_11489),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [856]),
+	.C1(n_15923),
+	.Y(n_16639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845987 (
+	.A(n_14472),
+	.B(n_14467),
+	.C(n_14470),
+	.D(n_14468),
+	.Y(n_16638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845988 (
+	.A(n_14466),
+	.B(n_14461),
+	.C(n_14463),
+	.D(n_14462),
+	.Y(n_16637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845989 (
+	.A1(n_20260),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1986]),
+	.B1(n_20261),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2009]),
+	.C1(n_15924),
+	.Y(n_16636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845990 (
+	.A(n_14456),
+	.B(n_14459),
+	.C(n_14457),
+	.D(n_14454),
+	.Y(n_16635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845991 (
+	.A1(n_11448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2559]),
+	.B1(n_11622),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2536]),
+	.C1(n_15922),
+	.Y(n_16634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845992 (
+	.A(n_14448),
+	.B(n_14453),
+	.C(n_14451),
+	.D(n_14449),
+	.Y(n_16633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845993 (
+	.A(n_14452),
+	.B(n_14450),
+	.C(n_14446),
+	.D(n_14443),
+	.Y(n_16632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845994 (
+	.A(n_14432),
+	.B(n_14438),
+	.C(n_14435),
+	.D(n_14429),
+	.Y(n_16631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845995 (
+	.A1(n_20153),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [381]),
+	.B1(n_20202),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [404]),
+	.C1(n_15921),
+	.Y(n_16630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845996 (
+	.A1(n_20242),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1301]),
+	.B1(n_20189),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1324]),
+	.C1(n_15920),
+	.Y(n_16629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g845997 (
+	.A1(n_11609),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1876]),
+	.B1(n_20194),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1853]),
+	.C1(n_15919),
+	.Y(n_16628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845998 (
+	.A(n_14427),
+	.B(n_14416),
+	.C(n_14422),
+	.D(n_14407),
+	.Y(n_16627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g845999 (
+	.A(n_14418),
+	.B(n_14425),
+	.C(n_14421),
+	.D(n_14415),
+	.Y(n_16626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846000 (
+	.A1(n_20168),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2060]),
+	.B1(n_11423),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2037]),
+	.C1(n_15918),
+	.Y(n_16625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846001 (
+	.A1(n_20141),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2589]),
+	.B1(n_11554),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2612]),
+	.C1(n_15917),
+	.Y(n_16624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846002 (
+	.A(n_14404),
+	.B(n_14410),
+	.C(n_14406),
+	.D(n_14412),
+	.Y(n_16623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846003 (
+	.A1(n_20163),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2773]),
+	.B1(n_20149),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2796]),
+	.C1(n_15916),
+	.Y(n_16622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846004 (
+	.A1(n_20143),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [971]),
+	.B1(n_20144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [994]),
+	.C1(n_15913),
+	.Y(n_16621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846005 (
+	.A(n_14405),
+	.B(n_14400),
+	.C(n_14403),
+	.D(n_14401),
+	.Y(n_16620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846006 (
+	.A(n_14402),
+	.B(n_14393),
+	.C(n_14385),
+	.D(n_14388),
+	.Y(n_16619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846007 (
+	.A1(n_20206),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1549]),
+	.B1(n_20205),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1526]),
+	.C1(n_15915),
+	.Y(n_16618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846008 (
+	.A(n_14399),
+	.B(n_14395),
+	.C(n_14394),
+	.D(n_14398),
+	.Y(n_16617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846009 (
+	.A1(n_20209),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1508]),
+	.B1(n_20208),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1485]),
+	.C1(n_15914),
+	.Y(n_16616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846010 (
+	.A1(n_11445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1692]),
+	.B1(n_11470),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1669]),
+	.C1(n_15911),
+	.Y(n_16615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846011 (
+	.A1(n_11479),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2170]),
+	.B1(n_20165),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2193]),
+	.C1(n_15912),
+	.Y(n_16614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846012 (
+	.A1(n_11403),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [36]),
+	.B1(n_11434),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [13]),
+	.C1(n_15910),
+	.Y(n_16613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846013 (
+	.A1(n_20140),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2720]),
+	.B1(n_11644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2743]),
+	.C1(n_15907),
+	.Y(n_16612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846014 (
+	.A1(n_20246),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [197]),
+	.B1(n_20253),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [220]),
+	.C1(n_15909),
+	.Y(n_16611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846015 (
+	.A1(n_20210),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1411]),
+	.B1(n_20203),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1388]),
+	.C1(n_15908),
+	.Y(n_16610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846016 (
+	.A(n_14367),
+	.B(n_14366),
+	.C(n_14364),
+	.D(n_14363),
+	.Y(n_16609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846017 (
+	.A(n_14357),
+	.B(n_14361),
+	.C(n_14358),
+	.D(n_14360),
+	.Y(n_16608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g846018 (
+	.A1(n_501),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[337]),
+	.B1(n_356),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[305]),
+	.C1(n_15859),
+	.X(n_16607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846019 (
+	.A1(n_11485),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1135]),
+	.B1(n_20184),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1112]),
+	.C1(n_15906),
+	.Y(n_16606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846020 (
+	.A(n_14356),
+	.B(n_14353),
+	.C(n_14351),
+	.D(n_14350),
+	.Y(n_16605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846021 (
+	.A(n_14346),
+	.B(n_14348),
+	.C(n_14345),
+	.D(n_14347),
+	.Y(n_16604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846022 (
+	.A1(n_20178),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2881]),
+	.B1(n_11637),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2858]),
+	.C1(n_15905),
+	.Y(n_16603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846023 (
+	.A1(n_17621),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1508]),
+	.B1(n_17618),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1485]),
+	.C1(n_15904),
+	.Y(n_16602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846024 (
+	.A1(n_12498),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [169]),
+	.B1(n_20262),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [146]),
+	.C1(n_15902),
+	.Y(n_16601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846025 (
+	.A1(n_11454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1301]),
+	.B1(n_17741),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1324]),
+	.C1(n_15903),
+	.Y(n_16600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846026 (
+	.A(n_14282),
+	.B(n_14264),
+	.C(n_14342),
+	.D(n_14323),
+	.Y(n_16599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846027 (
+	.A1(n_20214),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1638]),
+	.B1(n_20212),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1615]),
+	.C1(n_16003),
+	.Y(n_16598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846028 (
+	.A1(n_17672),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2589]),
+	.B1(n_17612),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2612]),
+	.C1(n_15901),
+	.Y(n_16597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846029 (
+	.A1(n_17695),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2773]),
+	.B1(n_17613),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2796]),
+	.C1(n_15900),
+	.Y(n_16596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846030 (
+	.A1(n_11598),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [353]),
+	.B1(n_20224),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [330]),
+	.C1(n_15899),
+	.Y(n_16595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846031 (
+	.A1(n_11452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [36]),
+	.B1(n_11594),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [13]),
+	.C1(n_15898),
+	.Y(n_16594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846032 (
+	.A1(n_17622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [197]),
+	.B1(n_17624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [220]),
+	.C1(n_15897),
+	.Y(n_16593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846033 (
+	.A(n_14310),
+	.B(n_14313),
+	.C(n_14309),
+	.D(n_14312),
+	.Y(n_16592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846034 (
+	.A1(n_20214),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1639]),
+	.B1(n_20212),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1616]),
+	.C1(n_15896),
+	.Y(n_16591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846035 (
+	.A(n_14301),
+	.B(n_14306),
+	.C(n_14311),
+	.D(n_14296),
+	.Y(n_16590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846036 (
+	.A(n_14303),
+	.B(n_14308),
+	.C(n_14304),
+	.D(n_14305),
+	.Y(n_16589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g846037 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [13]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [14]),
+	.C(n_32359),
+	.X(n_32358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g846038 (
+	.A(n_14155),
+	.B(n_16140),
+	.Y(n_17022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g846039 (
+	.A(n_14155),
+	.B(n_16142),
+	.Y(n_17021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g846040 (
+	.A(n_14155),
+	.B(n_16144),
+	.Y(n_17020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g846041 (
+	.A(n_14155),
+	.B(n_16146),
+	.Y(n_17019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g846042 (
+	.A(n_14155),
+	.B(n_16148),
+	.Y(n_17018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g846043 (
+	.A(n_14155),
+	.B(n_16138),
+	.Y(n_17017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g846044 (
+	.A(n_14155),
+	.B(n_16150),
+	.Y(n_17016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g846045 (
+	.A(n_14155),
+	.B(n_16136),
+	.Y(n_17015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g846046 (
+	.A(n_14154),
+	.B(n_16140),
+	.Y(n_17014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g846047 (
+	.A(n_14154),
+	.B(n_16148),
+	.Y(n_17013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g846048 (
+	.A(n_14154),
+	.B(n_16144),
+	.Y(n_17012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g846049 (
+	.A(n_14154),
+	.B(n_16142),
+	.Y(n_17011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g846050 (
+	.A(n_14154),
+	.B(n_16146),
+	.Y(n_17010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g846051 (
+	.A(n_14154),
+	.B(n_16138),
+	.Y(n_17009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g846052 (
+	.A(n_14154),
+	.B(n_16136),
+	.Y(n_17008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g846053 (
+	.A(n_14154),
+	.B(n_16150),
+	.Y(n_17007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g846054 (
+	.A(n_14155),
+	.B(n_16151),
+	.Y(n_17006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g846055 (
+	.A(n_14155),
+	.B(n_16152),
+	.Y(n_17005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g846056 (
+	.A(n_14154),
+	.B(n_16152),
+	.Y(n_17004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g846057 (
+	.A(n_14154),
+	.B(n_16151),
+	.Y(n_17003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g846058 (
+	.A(n_14155),
+	.B(n_16133),
+	.Y(n_17002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846059 (
+	.A1(n_20163),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2775]),
+	.B1(n_20149),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2798]),
+	.C1(n_15646),
+	.Y(n_16588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846060 (
+	.A(n_14211),
+	.B(n_14214),
+	.C(n_14210),
+	.D(n_14212),
+	.Y(n_16587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846061 (
+	.A1(n_17698),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2428]),
+	.B1(n_11565),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2405]),
+	.C1(n_15770),
+	.Y(n_16586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846062 (
+	.A(n_14202),
+	.B(n_14179),
+	.C(n_14209),
+	.D(n_14189),
+	.Y(n_16585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846063 (
+	.A(n_14205),
+	.B(n_14201),
+	.C(n_14195),
+	.D(n_14198),
+	.Y(n_16584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846064 (
+	.A1(n_17681),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2221]),
+	.B1(n_11582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2244]),
+	.C1(n_15894),
+	.Y(n_16583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846065 (
+	.A1(n_11552),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [772]),
+	.B1(n_11484),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [749]),
+	.C1(n_15893),
+	.Y(n_16582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846066 (
+	.A1(n_17726),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [956]),
+	.B1(n_17729),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [933]),
+	.C1(n_15892),
+	.Y(n_16581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846067 (
+	.A(n_14181),
+	.B(n_14192),
+	.C(n_14184),
+	.D(n_14188),
+	.Y(n_16580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846068 (
+	.A(n_14173),
+	.B(n_14177),
+	.C(n_14168),
+	.D(n_14171),
+	.Y(n_16579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846069 (
+	.A(n_14178),
+	.B(n_14174),
+	.C(n_14176),
+	.D(n_14180),
+	.Y(n_16578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846070 (
+	.A(n_14167),
+	.B(n_14170),
+	.C(n_14152),
+	.D(n_14151),
+	.Y(n_16577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846071 (
+	.A(n_14169),
+	.B(n_14140),
+	.C(n_14133),
+	.D(n_14148),
+	.Y(n_16576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846072 (
+	.A(n_14146),
+	.B(n_13420),
+	.C(n_14149),
+	.D(n_14144),
+	.Y(n_16575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846073 (
+	.A(n_14143),
+	.B(n_14142),
+	.C(n_14147),
+	.D(n_14145),
+	.Y(n_16574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846074 (
+	.A1(n_17734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1227]),
+	.B1(n_17713),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1204]),
+	.C1(n_15891),
+	.Y(n_16573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846075 (
+	.A(n_14138),
+	.B(n_14136),
+	.C(n_14135),
+	.D(n_14141),
+	.Y(n_16572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846076 (
+	.A1(n_20247),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2266]),
+	.B1(n_20248),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2289]),
+	.C1(n_15890),
+	.Y(n_16571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846077 (
+	.A1(n_17622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [192]),
+	.B1(n_17624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [215]),
+	.C1(n_15889),
+	.Y(n_16570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846078 (
+	.A(n_14114),
+	.B(n_14106),
+	.C(n_14121),
+	.D(n_14127),
+	.Y(n_16569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846079 (
+	.A1(n_20231),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1783]),
+	.B1(n_20225),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1760]),
+	.C1(n_15888),
+	.Y(n_16568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846080 (
+	.A(n_14122),
+	.B(n_14081),
+	.C(n_14093),
+	.D(n_14107),
+	.Y(n_16567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846081 (
+	.A1(n_17702),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1871]),
+	.B1(n_17654),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1848]),
+	.C1(n_15886),
+	.Y(n_16566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846082 (
+	.A1(n_20143),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [978]),
+	.B1(n_20144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1001]),
+	.C1(n_15887),
+	.Y(n_16565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846083 (
+	.A1(n_20162),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [817]),
+	.B1(n_20145),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [794]),
+	.C1(n_15884),
+	.Y(n_16564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846084 (
+	.A1(n_11437),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2078]),
+	.B1(n_17615),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2101]),
+	.C1(n_15885),
+	.Y(n_16563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846085 (
+	.A1(n_17698),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2423]),
+	.B1(n_11565),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2400]),
+	.C1(n_15882),
+	.Y(n_16562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846086 (
+	.A1(n_20256),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [104]),
+	.B1(n_20157),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [127]),
+	.C1(n_15883),
+	.Y(n_16561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846087 (
+	.A1(n_20237),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [242]),
+	.B1(n_20240),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [265]),
+	.C1(n_15880),
+	.Y(n_16560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846088 (
+	.A1(n_20199),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [75]),
+	.B1(n_20232),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [52]),
+	.C1(n_15879),
+	.Y(n_16559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846089 (
+	.A1(n_17681),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2216]),
+	.B1(n_11582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2239]),
+	.C1(n_15878),
+	.Y(n_16558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846090 (
+	.A(n_14090),
+	.B(n_14088),
+	.C(n_14087),
+	.D(n_14091),
+	.Y(n_16557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g846091 (
+	.A1(n_499),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[458]),
+	.B1(n_503),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[426]),
+	.C1(n_15881),
+	.X(n_16556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846092 (
+	.A(n_14078),
+	.B(n_14074),
+	.C(n_14085),
+	.D(n_14080),
+	.Y(n_16555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846093 (
+	.A(n_14082),
+	.B(n_14079),
+	.C(n_14084),
+	.D(n_14077),
+	.Y(n_16554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846094 (
+	.A(n_14067),
+	.B(n_14073),
+	.C(n_14071),
+	.D(n_14068),
+	.Y(n_16553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846095 (
+	.A1(n_11640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1162]),
+	.B1(n_11483),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1185]),
+	.C1(n_15877),
+	.Y(n_16552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846096 (
+	.A1(n_20226),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1369]),
+	.B1(n_11398),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1346]),
+	.C1(n_15876),
+	.Y(n_16551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846097 (
+	.A1(n_11469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1731]),
+	.B1(n_20233),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1708]),
+	.C1(n_15875),
+	.Y(n_16550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846098 (
+	.A1(n_17628),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1549]),
+	.B1(n_17623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1526]),
+	.C1(n_15874),
+	.Y(n_16549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846099 (
+	.A(n_14051),
+	.B(n_14031),
+	.C(n_14062),
+	.D(n_14035),
+	.Y(n_16548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846100 (
+	.A1(n_20178),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2887]),
+	.B1(n_11637),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2864]),
+	.C1(n_15873),
+	.Y(n_16547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846101 (
+	.A1(n_11453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2680]),
+	.B1(n_11488),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2703]),
+	.C1(n_15871),
+	.Y(n_16546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846102 (
+	.A1(n_17680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1779]),
+	.B1(n_17677),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1756]),
+	.C1(n_15872),
+	.Y(n_16545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846103 (
+	.A1(n_17732),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [813]),
+	.B1(n_17739),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [790]),
+	.C1(n_15870),
+	.Y(n_16544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846104 (
+	.A(n_14044),
+	.B(n_14041),
+	.C(n_14045),
+	.D(n_14042),
+	.Y(n_16543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g846105 (
+	.A1(n_501),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[335]),
+	.B1(n_356),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[303]),
+	.C1(n_15866),
+	.X(n_16542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846106 (
+	.A1(n_11453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2674]),
+	.B1(n_11488),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2697]),
+	.C1(n_15868),
+	.Y(n_16541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846107 (
+	.A(n_14038),
+	.B(n_14034),
+	.C(n_14036),
+	.D(n_14033),
+	.Y(n_16540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846108 (
+	.A1(n_17726),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [951]),
+	.B1(n_17729),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [928]),
+	.C1(n_15869),
+	.Y(n_16539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846109 (
+	.A(n_14028),
+	.B(n_14024),
+	.C(n_14030),
+	.D(n_14025),
+	.Y(n_16538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846110 (
+	.A(n_14020),
+	.B(n_14017),
+	.C(n_14022),
+	.D(n_14018),
+	.Y(n_16537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846111 (
+	.A(n_14021),
+	.B(n_14015),
+	.C(n_14014),
+	.D(n_14019),
+	.Y(n_16536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846112 (
+	.A(n_14009),
+	.B(n_14004),
+	.C(n_14007),
+	.D(n_14011),
+	.Y(n_16535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846113 (
+	.A1(n_20140),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2726]),
+	.B1(n_11644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2749]),
+	.C1(n_15867),
+	.Y(n_16534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846114 (
+	.A(n_13988),
+	.B(n_14005),
+	.C(n_13960),
+	.D(n_13973),
+	.Y(n_16533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846115 (
+	.A1(n_11479),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2174]),
+	.B1(n_20165),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2197]),
+	.C1(n_15865),
+	.Y(n_16532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846116 (
+	.A1(n_20183),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2835]),
+	.B1(n_20182),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2812]),
+	.C1(n_15863),
+	.Y(n_16531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846117 (
+	.A(n_13998),
+	.B(n_14002),
+	.C(n_13993),
+	.D(n_13997),
+	.Y(n_16530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846118 (
+	.A1(n_20210),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1415]),
+	.B1(n_20203),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1392]),
+	.C1(n_15864),
+	.Y(n_16529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846119 (
+	.A1(n_11640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1162]),
+	.B1(n_11483),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1185]),
+	.C1(n_15862),
+	.Y(n_16528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846120 (
+	.A(n_13987),
+	.B(n_13991),
+	.C(n_13983),
+	.D(n_13984),
+	.Y(n_16527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846121 (
+	.A1(n_17692),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2262]),
+	.B1(n_17693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2285]),
+	.C1(n_15860),
+	.Y(n_16526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846122 (
+	.A1(n_11404),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [656]),
+	.B1(n_20244),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [679]),
+	.C1(n_15861),
+	.Y(n_16525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846123 (
+	.A1(n_20220),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [449]),
+	.B1(n_20177),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [426]),
+	.C1(n_15858),
+	.Y(n_16524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846124 (
+	.A1(n_17635),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2906]),
+	.B1(n_17686),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2929]),
+	.C1(n_15857),
+	.Y(n_16523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846125 (
+	.A1(n_11400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [880]),
+	.B1(n_11490),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [903]),
+	.C1(n_15856),
+	.Y(n_16522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846126 (
+	.A(n_13963),
+	.B(n_13969),
+	.C(n_13962),
+	.D(n_13966),
+	.Y(n_16521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846127 (
+	.A(n_13961),
+	.B(n_13956),
+	.C(n_13958),
+	.D(n_13955),
+	.Y(n_16520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846128 (
+	.A1(n_17639),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [652]),
+	.B1(n_17649),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [675]),
+	.C1(n_15855),
+	.Y(n_16519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846129 (
+	.A1(n_11559),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [445]),
+	.B1(n_17652),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [422]),
+	.C1(n_15853),
+	.Y(n_16518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846130 (
+	.A1(n_11448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2565]),
+	.B1(n_11622),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2542]),
+	.C1(n_15854),
+	.Y(n_16517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846131 (
+	.A1(n_20249),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2381]),
+	.B1(n_20255),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2358]),
+	.C1(n_15852),
+	.Y(n_16516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846132 (
+	.A(n_13947),
+	.B(n_13894),
+	.C(n_13916),
+	.D(n_13932),
+	.Y(n_16515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846133 (
+	.A1(n_20143),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [972]),
+	.B1(n_20144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [995]),
+	.C1(n_15851),
+	.Y(n_16514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846134 (
+	.A1(n_17631),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2124]),
+	.B1(n_17634),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2147]),
+	.C1(n_15849),
+	.Y(n_16513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846135 (
+	.A1(n_20199),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [81]),
+	.B1(n_20232),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [58]),
+	.C1(n_15850),
+	.Y(n_16512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846136 (
+	.A1(n_20219),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [311]),
+	.B1(n_11436),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [288]),
+	.C1(n_15847),
+	.Y(n_16511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846137 (
+	.A1(n_11428),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1894]),
+	.B1(n_11440),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1917]),
+	.C1(n_15848),
+	.Y(n_16510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846138 (
+	.A(n_13920),
+	.B(n_13923),
+	.C(n_13922),
+	.D(n_13919),
+	.Y(n_16509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846139 (
+	.A(n_13921),
+	.B(n_13909),
+	.C(n_13918),
+	.D(n_13913),
+	.Y(n_16508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846140 (
+	.A(n_13891),
+	.B(n_13911),
+	.C(n_13884),
+	.D(n_13901),
+	.Y(n_16507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846141 (
+	.A(n_13912),
+	.B(n_13917),
+	.C(n_13915),
+	.D(n_13910),
+	.Y(n_16506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846142 (
+	.A(n_13896),
+	.B(n_13905),
+	.C(n_13903),
+	.D(n_13899),
+	.Y(n_16505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846143 (
+	.A(n_13904),
+	.B(n_13908),
+	.C(n_13907),
+	.D(n_13906),
+	.Y(n_16504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846144 (
+	.A1(n_20141),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2590]),
+	.B1(n_11554),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2613]),
+	.C1(n_16021),
+	.Y(n_16503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846145 (
+	.A(n_13900),
+	.B(n_13902),
+	.C(n_13897),
+	.D(n_13898),
+	.Y(n_16502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846146 (
+	.A1(n_11452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [35]),
+	.B1(n_11594),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [12]),
+	.C1(n_15845),
+	.Y(n_16501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846147 (
+	.A1(n_17714),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [77]),
+	.B1(n_11413),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [54]),
+	.C1(n_15843),
+	.Y(n_16500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846148 (
+	.A1(n_17640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [307]),
+	.B1(n_17629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [284]),
+	.C1(n_15841),
+	.Y(n_16499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846149 (
+	.A1(n_17726),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [955]),
+	.B1(n_17729),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [932]),
+	.C1(n_15844),
+	.Y(n_16498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846150 (
+	.A1(n_17621),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1507]),
+	.B1(n_17618),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1484]),
+	.C1(n_15842),
+	.Y(n_16497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846151 (
+	.A(n_13877),
+	.B(n_13857),
+	.C(n_13868),
+	.D(n_13852),
+	.Y(n_16496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846152 (
+	.A1(n_17670),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1691]),
+	.B1(n_17667),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1668]),
+	.C1(n_15840),
+	.Y(n_16495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846153 (
+	.A1(n_20174),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [511]),
+	.B1(n_11567),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [534]),
+	.C1(n_15836),
+	.Y(n_16494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846154 (
+	.A1(n_17732),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [813]),
+	.B1(n_17739),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [790]),
+	.C1(n_15838),
+	.Y(n_16493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846155 (
+	.A1(n_17665),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [495]),
+	.B1(n_17662),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [472]),
+	.C1(n_15839),
+	.Y(n_16492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846156 (
+	.A1(n_17643),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [610]),
+	.B1(n_17645),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [633]),
+	.C1(n_15837),
+	.Y(n_16491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846157 (
+	.A(n_13856),
+	.B(n_13859),
+	.C(n_13860),
+	.D(n_13858),
+	.Y(n_16490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846158 (
+	.A(n_13849),
+	.B(n_13848),
+	.C(n_13851),
+	.D(n_13853),
+	.Y(n_16489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846159 (
+	.A1(n_17733),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [974]),
+	.B1(n_17709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [997]),
+	.C1(n_15835),
+	.Y(n_16488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846160 (
+	.A1(n_11428),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1898]),
+	.B1(n_11440),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1921]),
+	.C1(n_15834),
+	.Y(n_16487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846161 (
+	.A(n_13886),
+	.B(n_13832),
+	.C(n_13837),
+	.D(n_13844),
+	.Y(n_16486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846162 (
+	.A1(n_11437),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2082]),
+	.B1(n_17615),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2105]),
+	.C1(n_15833),
+	.Y(n_16485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g846163 (
+	.A1(n_347),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[811]),
+	.B1(n_510),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[779]),
+	.C1(n_15829),
+	.X(n_16484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846164 (
+	.A1(n_20204),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2490]),
+	.B1(n_11547),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2513]),
+	.C1(n_15830),
+	.Y(n_16483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846165 (
+	.A1(n_17687),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2496]),
+	.B1(n_17660),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2519]),
+	.C1(n_15832),
+	.Y(n_16482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846166 (
+	.A1(n_17644),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2335]),
+	.B1(n_17684),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2312]),
+	.C1(n_15831),
+	.Y(n_16481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846167 (
+	.A(n_13828),
+	.B(n_13819),
+	.C(n_13815),
+	.D(n_13823),
+	.Y(n_16480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846168 (
+	.A(n_13827),
+	.B(n_13826),
+	.C(n_13822),
+	.D(n_13824),
+	.Y(n_16479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846169 (
+	.A(n_13817),
+	.B(n_13820),
+	.C(n_13818),
+	.D(n_13821),
+	.Y(n_16478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846170 (
+	.A1(n_11598),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [350]),
+	.B1(n_20224),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [327]),
+	.C1(n_15825),
+	.Y(n_16477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846171 (
+	.A(n_13804),
+	.B(n_13802),
+	.C(n_13809),
+	.D(n_13799),
+	.Y(n_16476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846172 (
+	.A(n_13812),
+	.B(n_13814),
+	.C(n_13810),
+	.D(n_13813),
+	.Y(n_16475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846173 (
+	.A1(n_20247),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2260]),
+	.B1(n_20248),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2283]),
+	.C1(n_15828),
+	.Y(n_16474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846174 (
+	.A(n_13807),
+	.B(n_13805),
+	.C(n_13806),
+	.D(n_13808),
+	.Y(n_16473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846175 (
+	.A1(n_17703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2657]),
+	.B1(n_17699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2634]),
+	.C1(n_15827),
+	.Y(n_16472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846176 (
+	.A1(n_17668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2059]),
+	.B1(n_11584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2036]),
+	.C1(n_15826),
+	.Y(n_16471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846177 (
+	.A1(n_11454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1300]),
+	.B1(n_17741),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1323]),
+	.C1(n_15824),
+	.Y(n_16470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846178 (
+	.A1(n_17719),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1139]),
+	.B1(n_11605),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1116]),
+	.C1(n_15823),
+	.Y(n_16469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846179 (
+	.A(n_13789),
+	.B(n_13780),
+	.C(n_13794),
+	.D(n_13787),
+	.Y(n_16468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846180 (
+	.A1(n_20256),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [103]),
+	.B1(n_20157),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [126]),
+	.C1(n_15821),
+	.Y(n_16467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846181 (
+	.A1(n_17714),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [81]),
+	.B1(n_11413),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [58]),
+	.C1(n_15822),
+	.Y(n_16466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846182 (
+	.A1(n_20220),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [443]),
+	.B1(n_20177),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [420]),
+	.C1(n_15819),
+	.Y(n_16465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846183 (
+	.A1(n_17676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [242]),
+	.B1(n_17625),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [265]),
+	.C1(n_15820),
+	.Y(n_16464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846184 (
+	.A(n_13768),
+	.B(n_13772),
+	.C(n_13769),
+	.D(n_13770),
+	.Y(n_16463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846185 (
+	.A(n_13763),
+	.B(n_13766),
+	.C(n_13762),
+	.D(n_13764),
+	.Y(n_16462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846186 (
+	.A1(n_11459),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [609]),
+	.B1(n_11581),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [632]),
+	.C1(n_15818),
+	.Y(n_16461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846187 (
+	.A1(n_17698),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2427]),
+	.B1(n_11565),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2404]),
+	.C1(n_15817),
+	.Y(n_16460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846188 (
+	.A1(n_17681),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2220]),
+	.B1(n_11582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2243]),
+	.C1(n_15816),
+	.Y(n_16459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846189 (
+	.A1(n_11552),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [771]),
+	.B1(n_11484),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [748]),
+	.C1(n_15815),
+	.Y(n_16458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846190 (
+	.A1(n_17726),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [955]),
+	.B1(n_17729),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [932]),
+	.C1(n_15814),
+	.Y(n_16457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846191 (
+	.A1(n_11459),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [604]),
+	.B1(n_11581),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [627]),
+	.C1(n_15812),
+	.Y(n_16456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846192 (
+	.A1(n_20143),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [977]),
+	.B1(n_20144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1000]),
+	.C1(n_15813),
+	.Y(n_16455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846193 (
+	.A1(n_17736),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1272]),
+	.B1(n_17735),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1249]),
+	.C1(n_16016),
+	.Y(n_16454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g846194 (
+	.A1(n_355),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[248]),
+	.B1(n_499),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[472]),
+	.C1(n_15846),
+	.X(n_16453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846195 (
+	.A1(n_20210),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1408]),
+	.B1(n_20203),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1385]),
+	.C1(n_15807),
+	.Y(n_16452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846196 (
+	.A1(n_17661),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1994]),
+	.B1(n_17664),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2017]),
+	.C1(n_15811),
+	.Y(n_16451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846197 (
+	.A1(n_20162),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [816]),
+	.B1(n_20145),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [793]),
+	.C1(n_15810),
+	.Y(n_16450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846198 (
+	.A1(n_17675),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2178]),
+	.B1(n_11624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2201]),
+	.C1(n_15809),
+	.Y(n_16449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846199 (
+	.A1(n_17672),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2592]),
+	.B1(n_17612),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2615]),
+	.C1(n_15808),
+	.Y(n_16448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846200 (
+	.A1(n_11453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2679]),
+	.B1(n_11488),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2702]),
+	.C1(n_15804),
+	.Y(n_16447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846201 (
+	.A1(n_17695),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2776]),
+	.B1(n_17613),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2799]),
+	.C1(n_15806),
+	.Y(n_16446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846202 (
+	.A(n_13708),
+	.B(n_13714),
+	.C(n_13700),
+	.D(n_13705),
+	.Y(n_16445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846203 (
+	.A(n_13716),
+	.B(n_13713),
+	.C(n_13711),
+	.D(n_13709),
+	.Y(n_16444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846204 (
+	.A1(n_20187),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2909]),
+	.B1(n_20250),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2932]),
+	.C1(n_15803),
+	.Y(n_16443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846205 (
+	.A(n_13706),
+	.B(n_13707),
+	.C(n_13702),
+	.D(n_13704),
+	.Y(n_16442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846206 (
+	.A(n_13694),
+	.B(n_13696),
+	.C(n_13693),
+	.D(n_13699),
+	.Y(n_16441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846207 (
+	.A1(n_11439),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1224]),
+	.B1(n_11432),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1201]),
+	.C1(n_15802),
+	.Y(n_16440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846208 (
+	.A(n_13692),
+	.B(n_13681),
+	.C(n_13690),
+	.D(n_13683),
+	.Y(n_16439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846209 (
+	.A(n_14291),
+	.B(n_13691),
+	.C(n_13687),
+	.D(n_13688),
+	.Y(n_16438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846210 (
+	.A(n_13680),
+	.B(n_13678),
+	.C(n_13685),
+	.D(n_13689),
+	.Y(n_16437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846211 (
+	.A(n_13679),
+	.B(n_14302),
+	.C(n_13684),
+	.D(n_13677),
+	.Y(n_16436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846212 (
+	.A(n_13667),
+	.B(n_13661),
+	.C(n_13672),
+	.D(n_13662),
+	.Y(n_16435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846213 (
+	.A(n_13664),
+	.B(n_13668),
+	.C(n_13675),
+	.D(n_13671),
+	.Y(n_16434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846214 (
+	.A(n_13673),
+	.B(n_13674),
+	.C(n_13676),
+	.D(n_13670),
+	.Y(n_16433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846215 (
+	.A1(n_17743),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1373]),
+	.B1(n_11600),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1350]),
+	.C1(n_15801),
+	.Y(n_16432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846216 (
+	.A1(n_20226),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1368]),
+	.B1(n_11398),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1345]),
+	.C1(n_15799),
+	.Y(n_16431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846217 (
+	.A1(n_17736),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1281]),
+	.B1(n_17735),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1258]),
+	.C1(n_15800),
+	.Y(n_16430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846218 (
+	.A(n_13645),
+	.B(n_13651),
+	.C(n_13658),
+	.D(n_13642),
+	.Y(n_16429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846219 (
+	.A(n_13652),
+	.B(n_13655),
+	.C(n_13648),
+	.D(n_13650),
+	.Y(n_16428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846220 (
+	.A1(n_11640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1161]),
+	.B1(n_11483),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1184]),
+	.C1(n_15798),
+	.Y(n_16427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846221 (
+	.A(n_13646),
+	.B(n_13643),
+	.C(n_13640),
+	.D(n_13644),
+	.Y(n_16426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846222 (
+	.A1(n_17714),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [75]),
+	.B1(n_11413),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [52]),
+	.C1(n_15797),
+	.Y(n_16425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846223 (
+	.A(n_13636),
+	.B(n_13637),
+	.C(n_13639),
+	.D(n_13634),
+	.Y(n_16424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846224 (
+	.A(n_13600),
+	.B(n_13556),
+	.C(n_13629),
+	.D(n_13568),
+	.Y(n_16423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846225 (
+	.A1(n_20206),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1552]),
+	.B1(n_20205),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1529]),
+	.C1(n_15796),
+	.Y(n_16422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846226 (
+	.A(n_13631),
+	.B(n_13627),
+	.C(n_13632),
+	.D(n_13630),
+	.Y(n_16421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846227 (
+	.A1(n_11448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2558]),
+	.B1(n_11622),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2535]),
+	.C1(n_15794),
+	.Y(n_16420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846228 (
+	.A1(n_17732),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [821]),
+	.B1(n_17739),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [798]),
+	.C1(n_15795),
+	.Y(n_16419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846229 (
+	.A1(n_11469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1736]),
+	.B1(n_20233),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1713]),
+	.C1(n_15792),
+	.Y(n_16418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846230 (
+	.A1(n_17745),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1419]),
+	.B1(n_17728),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1396]),
+	.C1(n_15791),
+	.Y(n_16417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846231 (
+	.A1(n_17734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1235]),
+	.B1(n_17713),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1212]),
+	.C1(n_15790),
+	.Y(n_16416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846232 (
+	.A1(n_17674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1833]),
+	.B1(n_17671),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1810]),
+	.C1(n_15789),
+	.Y(n_16415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846233 (
+	.A1(n_17637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [696]),
+	.B1(n_17630),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [719]),
+	.C1(n_15793),
+	.Y(n_16414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846234 (
+	.A(n_13596),
+	.B(n_13604),
+	.C(n_13591),
+	.D(n_13599),
+	.Y(n_16413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846235 (
+	.A1(n_17681),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2214]),
+	.B1(n_11582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2237]),
+	.C1(n_15788),
+	.Y(n_16412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846236 (
+	.A(n_13592),
+	.B(n_13595),
+	.C(n_13597),
+	.D(n_13594),
+	.Y(n_16411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846237 (
+	.A(n_13576),
+	.B(n_13588),
+	.C(n_13579),
+	.D(n_13583),
+	.Y(n_16410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846238 (
+	.A(n_13587),
+	.B(n_13585),
+	.C(n_13589),
+	.D(n_13584),
+	.Y(n_16409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846239 (
+	.A1(n_11461),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2559]),
+	.B1(n_17700),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2536]),
+	.C1(n_15787),
+	.Y(n_16408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846240 (
+	.A(n_13574),
+	.B(n_13578),
+	.C(n_13580),
+	.D(n_13575),
+	.Y(n_16407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846241 (
+	.A(n_13571),
+	.B(n_13567),
+	.C(n_13562),
+	.D(n_13559),
+	.Y(n_16406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846242 (
+	.A(n_13566),
+	.B(n_13569),
+	.C(n_13573),
+	.D(n_13570),
+	.Y(n_16405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846243 (
+	.A(n_13560),
+	.B(n_13561),
+	.C(n_13564),
+	.D(n_13558),
+	.Y(n_16404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846244 (
+	.A1(n_17633),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1639]),
+	.B1(n_17648),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1616]),
+	.C1(n_15785),
+	.Y(n_16403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846245 (
+	.A(n_13551),
+	.B(n_13545),
+	.C(n_13548),
+	.D(n_13555),
+	.Y(n_16402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846246 (
+	.A(n_13553),
+	.B(n_13554),
+	.C(n_13557),
+	.D(n_13550),
+	.Y(n_16401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846247 (
+	.A1(n_20249),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2374]),
+	.B1(n_20255),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2351]),
+	.C1(n_15786),
+	.Y(n_16400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846248 (
+	.A1(n_17665),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [499]),
+	.B1(n_17662),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [476]),
+	.C1(n_15784),
+	.Y(n_16399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846249 (
+	.A1(n_20247),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2265]),
+	.B1(n_20248),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2288]),
+	.C1(n_15782),
+	.Y(n_16398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846250 (
+	.A1(n_17643),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [614]),
+	.B1(n_17645),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [637]),
+	.C1(n_15783),
+	.Y(n_16397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846251 (
+	.A(n_13531),
+	.B(n_13535),
+	.C(n_13529),
+	.D(n_13532),
+	.Y(n_16396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846252 (
+	.A1(n_17674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1823]),
+	.B1(n_17671),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1800]),
+	.C1(n_15781),
+	.Y(n_16395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846253 (
+	.A1(n_20143),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [977]),
+	.B1(n_20144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1000]),
+	.C1(n_15780),
+	.Y(n_16394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846254 (
+	.A(n_13525),
+	.B(n_13521),
+	.C(n_13527),
+	.D(n_13524),
+	.Y(n_16393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846255 (
+	.A(n_13518),
+	.B(n_13520),
+	.C(n_13515),
+	.D(n_13517),
+	.Y(n_16392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846256 (
+	.A(n_13412),
+	.B(n_13539),
+	.C(n_13472),
+	.D(n_13359),
+	.Y(n_16391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846257 (
+	.A(n_13507),
+	.B(n_13504),
+	.C(n_13511),
+	.D(n_13514),
+	.Y(n_16390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846258 (
+	.A(n_13509),
+	.B(n_13510),
+	.C(n_13513),
+	.D(n_13512),
+	.Y(n_16389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846259 (
+	.A1(n_20209),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1506]),
+	.B1(n_20208),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1483]),
+	.C1(n_15779),
+	.Y(n_16388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846260 (
+	.A(n_13505),
+	.B(n_13479),
+	.C(n_13493),
+	.D(n_13465),
+	.Y(n_16387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846261 (
+	.A1(n_17703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2646]),
+	.B1(n_17699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2623]),
+	.C1(n_15778),
+	.Y(n_16386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846262 (
+	.A1(n_17743),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1358]),
+	.B1(n_11600),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1335]),
+	.C1(n_15776),
+	.Y(n_16385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846263 (
+	.A1(n_11469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1736]),
+	.B1(n_20233),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1713]),
+	.C1(n_15777),
+	.Y(n_16384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846264 (
+	.A1(n_11608),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1151]),
+	.B1(n_11451),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1174]),
+	.C1(n_15775),
+	.Y(n_16383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846265 (
+	.A1(n_20199),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [80]),
+	.B1(n_20232),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [57]),
+	.C1(n_15773),
+	.Y(n_16382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846266 (
+	.A1(n_17620),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2881]),
+	.B1(n_17614),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2858]),
+	.C1(n_15772),
+	.Y(n_16381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846267 (
+	.A1(n_11406),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2439]),
+	.B1(n_17666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2462]),
+	.C1(n_15774),
+	.Y(n_16380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846268 (
+	.A(n_13491),
+	.B(n_13485),
+	.C(n_13497),
+	.D(n_13499),
+	.Y(n_16379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846269 (
+	.A(n_13477),
+	.B(n_13473),
+	.C(n_13474),
+	.D(n_13476),
+	.Y(n_16378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846270 (
+	.A1(n_20219),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [310]),
+	.B1(n_11436),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [287]),
+	.C1(n_15771),
+	.Y(n_16377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846271 (
+	.A(n_13464),
+	.B(n_13462),
+	.C(n_13469),
+	.D(n_13468),
+	.Y(n_16376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846272 (
+	.A(n_13460),
+	.B(n_13457),
+	.C(n_13455),
+	.D(n_13458),
+	.Y(n_16375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846273 (
+	.A1(n_17672),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2582]),
+	.B1(n_17612),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2605]),
+	.C1(n_15895),
+	.Y(n_16374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846274 (
+	.A(n_13449),
+	.B(n_13445),
+	.C(n_13454),
+	.D(n_13442),
+	.Y(n_16373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846275 (
+	.A(n_13453),
+	.B(n_13451),
+	.C(n_13446),
+	.D(n_13448),
+	.Y(n_16372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846276 (
+	.A(n_13444),
+	.B(n_13440),
+	.C(n_13443),
+	.D(n_13441),
+	.Y(n_16371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846277 (
+	.A1(n_17722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [880]),
+	.B1(n_17723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [903]),
+	.C1(n_15769),
+	.Y(n_16370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846278 (
+	.A(n_13435),
+	.B(n_13430),
+	.C(n_13439),
+	.D(n_13428),
+	.Y(n_16369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846279 (
+	.A(n_13432),
+	.B(n_13433),
+	.C(n_13438),
+	.D(n_13437),
+	.Y(n_16368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846280 (
+	.A1(n_11437),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2071]),
+	.B1(n_17615),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2094]),
+	.C1(n_15768),
+	.Y(n_16367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846281 (
+	.A(n_13452),
+	.B(n_13404),
+	.C(n_13436),
+	.D(n_13421),
+	.Y(n_16366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846282 (
+	.A1(n_20169),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2656]),
+	.B1(n_11632),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2633]),
+	.C1(n_15766),
+	.Y(n_16365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846283 (
+	.A1(n_17727),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1064]),
+	.B1(n_11399),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1087]),
+	.C1(n_15767),
+	.Y(n_16364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846284 (
+	.A1(n_11428),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1887]),
+	.B1(n_11440),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1910]),
+	.C1(n_15765),
+	.Y(n_16363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846285 (
+	.A(n_13411),
+	.B(n_13410),
+	.C(n_13415),
+	.D(n_13413),
+	.Y(n_16362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846286 (
+	.A1(n_20178),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2886]),
+	.B1(n_11637),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2863]),
+	.C1(n_15764),
+	.Y(n_16361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846287 (
+	.A(n_13406),
+	.B(n_13403),
+	.C(n_13407),
+	.D(n_13402),
+	.Y(n_16360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846288 (
+	.A(n_13399),
+	.B(n_13400),
+	.C(n_13395),
+	.D(n_13397),
+	.Y(n_16359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846289 (
+	.A(n_13385),
+	.B(n_13396),
+	.C(n_13381),
+	.D(n_13391),
+	.Y(n_16358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846290 (
+	.A1(n_20220),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [448]),
+	.B1(n_20177),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [425]),
+	.C1(n_15763),
+	.Y(n_16357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846291 (
+	.A(n_13389),
+	.B(n_13392),
+	.C(n_13393),
+	.D(n_13388),
+	.Y(n_16356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846292 (
+	.A1(n_20187),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2903]),
+	.B1(n_20250),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2926]),
+	.C1(n_15762),
+	.Y(n_16355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846293 (
+	.A1(n_17639),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [645]),
+	.B1(n_17649),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [668]),
+	.C1(n_15761),
+	.Y(n_16354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846294 (
+	.A1(n_11459),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [609]),
+	.B1(n_11581),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [632]),
+	.C1(n_15760),
+	.Y(n_16353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846295 (
+	.A1(n_17734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1220]),
+	.B1(n_17713),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1197]),
+	.C1(n_15759),
+	.Y(n_16352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846296 (
+	.A1(n_11406),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2439]),
+	.B1(n_17666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2462]),
+	.C1(n_15758),
+	.Y(n_16351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846297 (
+	.A1(n_20245),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1946]),
+	.B1(n_20229),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1969]),
+	.C1(n_16017),
+	.Y(n_16350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846298 (
+	.A(n_13364),
+	.B(n_13378),
+	.C(n_13373),
+	.D(n_13368),
+	.Y(n_16349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846299 (
+	.A(n_13351),
+	.B(n_13355),
+	.C(n_13337),
+	.D(n_13343),
+	.Y(n_16348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846300 (
+	.A(n_13363),
+	.B(n_13361),
+	.C(n_13354),
+	.D(n_13356),
+	.Y(n_16347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846301 (
+	.A1(n_17665),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [484]),
+	.B1(n_17662),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [461]),
+	.C1(n_15757),
+	.Y(n_16346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846302 (
+	.A(n_13353),
+	.B(n_13347),
+	.C(n_13352),
+	.D(n_13349),
+	.Y(n_16345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846303 (
+	.A(n_13348),
+	.B(n_13341),
+	.C(n_13350),
+	.D(n_13344),
+	.Y(n_16344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846304 (
+	.A(n_13340),
+	.B(n_13342),
+	.C(n_13338),
+	.D(n_13345),
+	.Y(n_16343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846305 (
+	.A(n_13339),
+	.B(n_13336),
+	.C(n_13334),
+	.D(n_13331),
+	.Y(n_16342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846306 (
+	.A(n_13332),
+	.B(n_13329),
+	.C(n_13335),
+	.D(n_13333),
+	.Y(n_16341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846307 (
+	.A(n_13320),
+	.B(n_13326),
+	.C(n_13327),
+	.D(n_13322),
+	.Y(n_16340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846308 (
+	.A(n_13325),
+	.B(n_13321),
+	.C(n_13328),
+	.D(n_13323),
+	.Y(n_16339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846309 (
+	.A(n_13330),
+	.B(n_13317),
+	.C(n_13318),
+	.D(n_13324),
+	.Y(n_16338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846310 (
+	.A1(n_17714),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [80]),
+	.B1(n_11413),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [57]),
+	.C1(n_15755),
+	.Y(n_16337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846311 (
+	.A(n_13312),
+	.B(n_13314),
+	.C(n_13319),
+	.D(n_13315),
+	.Y(n_16336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846312 (
+	.A1(n_20140),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2719]),
+	.B1(n_11644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2742]),
+	.C1(n_15756),
+	.Y(n_16335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846313 (
+	.A1(n_11608),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1156]),
+	.B1(n_11451),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1179]),
+	.C1(n_15754),
+	.Y(n_16334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846314 (
+	.A(n_13304),
+	.B(n_13307),
+	.C(n_13309),
+	.D(n_13306),
+	.Y(n_16333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846315 (
+	.A1(n_17687),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2495]),
+	.B1(n_17660),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2518]),
+	.C1(n_15752),
+	.Y(n_16332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846316 (
+	.A1(n_17731),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1036]),
+	.B1(n_17730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1013]),
+	.C1(n_15753),
+	.Y(n_16331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846317 (
+	.A1(n_17731),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1041]),
+	.B1(n_17730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1018]),
+	.C1(n_15749),
+	.Y(n_16330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846318 (
+	.A1(n_17690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1943]),
+	.B1(n_17669),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1966]),
+	.C1(n_15750),
+	.Y(n_16329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846319 (
+	.A1(n_17732),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [806]),
+	.B1(n_17739),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [783]),
+	.C1(n_15751),
+	.Y(n_16328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846320 (
+	.A(n_13286),
+	.B(n_13283),
+	.C(n_13280),
+	.D(n_13285),
+	.Y(n_16327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846321 (
+	.A1(n_17631),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2127]),
+	.B1(n_17634),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2150]),
+	.C1(n_15748),
+	.Y(n_16326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846322 (
+	.A(n_13273),
+	.B(n_13272),
+	.C(n_13276),
+	.D(n_13277),
+	.Y(n_16325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846323 (
+	.A1(n_17639),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [655]),
+	.B1(n_17649),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [678]),
+	.C1(n_15747),
+	.Y(n_16324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846324 (
+	.A(n_13271),
+	.B(n_13265),
+	.C(n_13269),
+	.D(n_13267),
+	.Y(n_16323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846325 (
+	.A1(n_17714),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [75]),
+	.B1(n_11413),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [52]),
+	.C1(n_15746),
+	.Y(n_16322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846326 (
+	.A1(n_17665),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [494]),
+	.B1(n_17662),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [471]),
+	.C1(n_15745),
+	.Y(n_16321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846327 (
+	.A(n_13263),
+	.B(n_13259),
+	.C(n_13264),
+	.D(n_13260),
+	.Y(n_16320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846328 (
+	.A1(n_20263),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1592]),
+	.B1(n_20198),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1569]),
+	.C1(n_15744),
+	.Y(n_16319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846329 (
+	.A(n_13251),
+	.B(n_13255),
+	.C(n_13248),
+	.D(n_13249),
+	.Y(n_16318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846330 (
+	.A(n_13246),
+	.B(n_13250),
+	.C(n_13243),
+	.D(n_13252),
+	.Y(n_16317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846331 (
+	.A(n_13235),
+	.B(n_13238),
+	.C(n_13240),
+	.D(n_13233),
+	.Y(n_16316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846332 (
+	.A(n_13239),
+	.B(n_13244),
+	.C(n_13241),
+	.D(n_13245),
+	.Y(n_16315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846333 (
+	.A(n_13231),
+	.B(n_13234),
+	.C(n_13237),
+	.D(n_13232),
+	.Y(n_16314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846334 (
+	.A1(n_17640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [305]),
+	.B1(n_17629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [282]),
+	.C1(n_15743),
+	.Y(n_16313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846335 (
+	.A1(n_17731),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1046]),
+	.B1(n_17730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1023]),
+	.C1(n_15742),
+	.Y(n_16312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846336 (
+	.A(n_13225),
+	.B(n_13227),
+	.C(n_13229),
+	.D(n_13223),
+	.Y(n_16311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846337 (
+	.A1(n_17675),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2168]),
+	.B1(n_11624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2191]),
+	.C1(n_15739),
+	.Y(n_16310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846338 (
+	.A1(n_17744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [839]),
+	.B1(n_11430),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [862]),
+	.C1(n_15741),
+	.Y(n_16309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846339 (
+	.A1(n_20259),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [838]),
+	.B1(n_11489),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [861]),
+	.C1(n_15740),
+	.Y(n_16308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846340 (
+	.A1(n_17680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1782]),
+	.B1(n_17677),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1759]),
+	.C1(n_15737),
+	.Y(n_16307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846341 (
+	.A1(n_20231),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1781]),
+	.B1(n_20225),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1758]),
+	.C1(n_15738),
+	.Y(n_16306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846342 (
+	.A1(n_20231),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1776]),
+	.B1(n_20225),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1753]),
+	.C1(n_15731),
+	.Y(n_16305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846343 (
+	.A1(n_11428),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1892]),
+	.B1(n_11440),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1915]),
+	.C1(n_15735),
+	.Y(n_16304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846344 (
+	.A1(n_11448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2563]),
+	.B1(n_11622),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2540]),
+	.C1(n_15736),
+	.Y(n_16303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846345 (
+	.A1(n_17632),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1598]),
+	.B1(n_17673),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1575]),
+	.C1(n_15733),
+	.Y(n_16302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846346 (
+	.A1(n_20249),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2379]),
+	.B1(n_20255),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2356]),
+	.C1(n_15734),
+	.Y(n_16301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846347 (
+	.A1(n_20174),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [516]),
+	.B1(n_11567),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [539]),
+	.C1(n_15732),
+	.Y(n_16300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846348 (
+	.A(n_13191),
+	.B(n_13187),
+	.C(n_13193),
+	.D(n_13186),
+	.Y(n_16299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846349 (
+	.A(n_13188),
+	.B(n_13169),
+	.C(n_13183),
+	.D(n_13176),
+	.Y(n_16298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846350 (
+	.A1(n_20207),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [700]),
+	.B1(n_11556),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [723]),
+	.C1(n_15730),
+	.Y(n_16297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846351 (
+	.A(n_13179),
+	.B(n_13175),
+	.C(n_13177),
+	.D(n_13173),
+	.Y(n_16296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846352 (
+	.A(n_13182),
+	.B(n_13180),
+	.C(n_13178),
+	.D(n_13174),
+	.Y(n_16295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846353 (
+	.A(n_13166),
+	.B(n_13164),
+	.C(n_13170),
+	.D(n_13168),
+	.Y(n_16294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846354 (
+	.A(n_13167),
+	.B(n_13171),
+	.C(n_13163),
+	.D(n_13165),
+	.Y(n_16293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846355 (
+	.A(n_13158),
+	.B(n_13159),
+	.C(n_13154),
+	.D(n_13153),
+	.Y(n_16292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846356 (
+	.A1(n_20236),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1436]),
+	.B1(n_20197),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1459]),
+	.C1(n_15729),
+	.Y(n_16291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846357 (
+	.A(n_13162),
+	.B(n_13151),
+	.C(n_13156),
+	.D(n_13150),
+	.Y(n_16290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846358 (
+	.A1(n_17628),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1552]),
+	.B1(n_17623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1529]),
+	.C1(n_15727),
+	.Y(n_16289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846359 (
+	.A1(n_20254),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1275]),
+	.B1(n_11431),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1252]),
+	.C1(n_15728),
+	.Y(n_16288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846360 (
+	.A1(n_20140),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2724]),
+	.B1(n_11644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2747]),
+	.C1(n_15726),
+	.Y(n_16287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846361 (
+	.A1(n_17703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2651]),
+	.B1(n_17699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2628]),
+	.C1(n_15725),
+	.Y(n_16286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846362 (
+	.A1(n_17676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [241]),
+	.B1(n_17625),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [264]),
+	.C1(n_15724),
+	.Y(n_16285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846363 (
+	.A1(n_20187),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2908]),
+	.B1(n_20250),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2931]),
+	.C1(n_15723),
+	.Y(n_16284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846364 (
+	.A(n_13129),
+	.B(n_13132),
+	.C(n_13131),
+	.D(n_13134),
+	.Y(n_16283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846365 (
+	.A(n_13124),
+	.B(n_13100),
+	.C(n_13093),
+	.D(n_13118),
+	.Y(n_16282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846366 (
+	.A1(n_17638),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2835]),
+	.B1(n_17611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2812]),
+	.C1(n_15721),
+	.Y(n_16281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846367 (
+	.A1(n_11608),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1161]),
+	.B1(n_11451),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1184]),
+	.C1(n_15722),
+	.Y(n_16280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846368 (
+	.A(n_13125),
+	.B(n_13127),
+	.C(n_13122),
+	.D(n_13123),
+	.Y(n_16279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846369 (
+	.A(n_13463),
+	.B(n_13112),
+	.C(n_13119),
+	.D(n_13114),
+	.Y(n_16278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846370 (
+	.A1(n_17743),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1368]),
+	.B1(n_11600),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1345]),
+	.C1(n_15720),
+	.Y(n_16277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846371 (
+	.A1(n_17692),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2265]),
+	.B1(n_17693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2288]),
+	.C1(n_15719),
+	.Y(n_16276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846372 (
+	.A(n_13110),
+	.B(n_13106),
+	.C(n_13111),
+	.D(n_13108),
+	.Y(n_16275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846373 (
+	.A1(n_17628),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1547]),
+	.B1(n_17623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1524]),
+	.C1(n_15718),
+	.Y(n_16274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846374 (
+	.A1(n_11406),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2449]),
+	.B1(n_17666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2472]),
+	.C1(n_15716),
+	.Y(n_16273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846375 (
+	.A1(n_20148),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [769]),
+	.B1(n_20147),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [746]),
+	.C1(n_15717),
+	.Y(n_16272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g846376 (
+	.A1(n_499),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[462]),
+	.B1(n_353),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[366]),
+	.C1(n_15713),
+	.X(n_16271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846377 (
+	.A1(n_20226),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1367]),
+	.B1(n_11398),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1344]),
+	.C1(n_15715),
+	.Y(n_16270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846378 (
+	.A(n_13082),
+	.B(n_13081),
+	.C(n_13076),
+	.D(n_13078),
+	.Y(n_16269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846379 (
+	.A1(n_20206),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1551]),
+	.B1(n_20205),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1528]),
+	.C1(n_15712),
+	.Y(n_16268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846380 (
+	.A1(n_11469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1735]),
+	.B1(n_20233),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1712]),
+	.C1(n_15711),
+	.Y(n_16267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846381 (
+	.A(n_13090),
+	.B(n_13089),
+	.C(n_13092),
+	.D(n_13087),
+	.Y(n_16266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846382 (
+	.A1(n_20153),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [378]),
+	.B1(n_20202),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [401]),
+	.C1(n_15710),
+	.Y(n_16265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846383 (
+	.A1(n_17688),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1731]),
+	.B1(n_17683),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1708]),
+	.C1(n_15714),
+	.Y(n_16264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846384 (
+	.A1(n_11428),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1897]),
+	.B1(n_11440),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1920]),
+	.C1(n_15709),
+	.Y(n_16263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846385 (
+	.A1(n_20191),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [562]),
+	.B1(n_20195),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [585]),
+	.C1(n_15708),
+	.Y(n_16262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846386 (
+	.A(n_13045),
+	.B(n_13038),
+	.C(n_13063),
+	.D(n_13054),
+	.Y(n_16261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846387 (
+	.A1(n_11437),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2081]),
+	.B1(n_17615),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2104]),
+	.C1(n_15707),
+	.Y(n_16260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846388 (
+	.A(n_13058),
+	.B(n_13056),
+	.C(n_13060),
+	.D(n_13055),
+	.Y(n_16259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846389 (
+	.A1(n_17703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2656]),
+	.B1(n_17699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2633]),
+	.C1(n_15706),
+	.Y(n_16258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846390 (
+	.A(n_13051),
+	.B(n_13049),
+	.C(n_13052),
+	.D(n_13046),
+	.Y(n_16257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846391 (
+	.A(n_13032),
+	.B(n_13074),
+	.C(n_13064),
+	.D(n_13053),
+	.Y(n_16256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846392 (
+	.A1(n_17638),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2840]),
+	.B1(n_17611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2817]),
+	.C1(n_15704),
+	.Y(n_16255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846393 (
+	.A1(n_20256),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [102]),
+	.B1(n_20157),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [125]),
+	.C1(n_15705),
+	.Y(n_16254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846394 (
+	.A(n_13031),
+	.B(n_13026),
+	.C(n_13037),
+	.D(n_13011),
+	.Y(n_16253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846395 (
+	.A1(n_20237),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [240]),
+	.B1(n_20240),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [263]),
+	.C1(n_15703),
+	.Y(n_16252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846396 (
+	.A1(n_20204),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2494]),
+	.B1(n_11547),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2517]),
+	.C1(n_15702),
+	.Y(n_16251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846397 (
+	.A(n_13020),
+	.B(n_13001),
+	.C(n_12970),
+	.D(n_12985),
+	.Y(n_16250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846398 (
+	.A1(n_17716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [163]),
+	.B1(n_17715),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [140]),
+	.C1(n_15701),
+	.Y(n_16249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846399 (
+	.A1(n_20243),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2333]),
+	.B1(n_20241),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2310]),
+	.C1(n_15700),
+	.Y(n_16248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846400 (
+	.A1(n_17731),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1037]),
+	.B1(n_17730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1014]),
+	.C1(n_15699),
+	.Y(n_16247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846401 (
+	.A(n_13017),
+	.B(n_13018),
+	.C(n_13019),
+	.D(n_13016),
+	.Y(n_16246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846402 (
+	.A(n_13012),
+	.B(n_13010),
+	.C(n_14660),
+	.D(n_13014),
+	.Y(n_16245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846403 (
+	.A(n_13005),
+	.B(n_13003),
+	.C(n_13004),
+	.D(n_14692),
+	.Y(n_16244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846404 (
+	.A1(n_17732),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [807]),
+	.B1(n_17739),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [784]),
+	.C1(n_15698),
+	.Y(n_16243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846405 (
+	.A(n_12997),
+	.B(n_12999),
+	.C(n_13002),
+	.D(n_12998),
+	.Y(n_16242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846406 (
+	.A(n_13007),
+	.B(n_13000),
+	.C(n_12980),
+	.D(n_12991),
+	.Y(n_16241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846407 (
+	.A1(n_17628),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1551]),
+	.B1(n_17623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1528]),
+	.C1(n_15697),
+	.Y(n_16240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846408 (
+	.A1(n_17680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1773]),
+	.B1(n_17677),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1750]),
+	.C1(n_15695),
+	.Y(n_16239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846409 (
+	.A1(n_11437),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2080]),
+	.B1(n_17615),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2103]),
+	.C1(n_15696),
+	.Y(n_16238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846410 (
+	.A(n_12966),
+	.B(n_12976),
+	.C(n_12960),
+	.D(n_12952),
+	.Y(n_16237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846411 (
+	.A1(n_17746),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1436]),
+	.B1(n_17724),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1459]),
+	.C1(n_15694),
+	.Y(n_16236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846412 (
+	.A1(n_17736),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1275]),
+	.B1(n_17735),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1252]),
+	.C1(n_15693),
+	.Y(n_16235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846413 (
+	.A1(n_11472),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2724]),
+	.B1(n_17617),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2747]),
+	.C1(n_15692),
+	.Y(n_16234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846414 (
+	.A(n_15069),
+	.B(n_12955),
+	.C(n_12965),
+	.D(n_12961),
+	.Y(n_16233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846415 (
+	.A1(n_17635),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2908]),
+	.B1(n_17686),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2931]),
+	.C1(n_15691),
+	.Y(n_16232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846416 (
+	.A(n_12959),
+	.B(n_12962),
+	.C(n_12964),
+	.D(n_12963),
+	.Y(n_16231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846417 (
+	.A(n_12957),
+	.B(n_12909),
+	.C(n_12939),
+	.D(n_12924),
+	.Y(n_16230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846418 (
+	.A(n_12956),
+	.B(n_12958),
+	.C(n_12953),
+	.D(n_12954),
+	.Y(n_16229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846419 (
+	.A(n_12948),
+	.B(n_12943),
+	.C(n_12951),
+	.D(n_12944),
+	.Y(n_16228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846420 (
+	.A1(n_11461),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2563]),
+	.B1(n_17700),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2540]),
+	.C1(n_15689),
+	.Y(n_16227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846421 (
+	.A1(n_17689),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2379]),
+	.B1(n_17641),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2356]),
+	.C1(n_15688),
+	.Y(n_16226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846422 (
+	.A1(n_20153),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [375]),
+	.B1(n_20202),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [398]),
+	.C1(n_15686),
+	.Y(n_16225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846423 (
+	.A(n_12811),
+	.B(n_12889),
+	.C(n_12937),
+	.D(n_12847),
+	.Y(n_16224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846424 (
+	.A1(n_17619),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [516]),
+	.B1(n_17679),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [539]),
+	.C1(n_15687),
+	.Y(n_16223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846425 (
+	.A1(n_17637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [700]),
+	.B1(n_17630),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [723]),
+	.C1(n_15685),
+	.Y(n_16222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846426 (
+	.A(n_12925),
+	.B(n_12922),
+	.C(n_12935),
+	.D(n_12932),
+	.Y(n_16221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846427 (
+	.A(n_12928),
+	.B(n_12930),
+	.C(n_12931),
+	.D(n_12926),
+	.Y(n_16220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846428 (
+	.A(n_12918),
+	.B(n_12921),
+	.C(n_12920),
+	.D(n_12923),
+	.Y(n_16219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846429 (
+	.A(n_12911),
+	.B(n_12902),
+	.C(n_12906),
+	.D(n_12914),
+	.Y(n_16218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846430 (
+	.A(n_12913),
+	.B(n_12916),
+	.C(n_12917),
+	.D(n_12915),
+	.Y(n_16217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846431 (
+	.A(n_12910),
+	.B(n_12912),
+	.C(n_12907),
+	.D(n_12908),
+	.Y(n_16216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846432 (
+	.A1(n_20246),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [191]),
+	.B1(n_20253),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [214]),
+	.C1(n_15683),
+	.Y(n_16215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846433 (
+	.A1(n_17722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [884]),
+	.B1(n_17723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [907]),
+	.C1(n_15684),
+	.Y(n_16214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846434 (
+	.A1(n_17719),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1132]),
+	.B1(n_11605),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1109]),
+	.C1(n_15677),
+	.Y(n_16213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846435 (
+	.A(n_12891),
+	.B(n_12888),
+	.C(n_12901),
+	.D(n_12895),
+	.Y(n_16212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846436 (
+	.A1(n_11461),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2563]),
+	.B1(n_17700),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2540]),
+	.C1(n_15682),
+	.Y(n_16211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846437 (
+	.A1(n_17746),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1436]),
+	.B1(n_17724),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1459]),
+	.C1(n_15681),
+	.Y(n_16210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846438 (
+	.A1(n_17736),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1275]),
+	.B1(n_17735),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1252]),
+	.C1(n_15680),
+	.Y(n_16209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846439 (
+	.A(n_12874),
+	.B(n_12876),
+	.C(n_12880),
+	.D(n_12883),
+	.Y(n_16208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846440 (
+	.A1(n_17661),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1988]),
+	.B1(n_17664),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2011]),
+	.C1(n_15679),
+	.Y(n_16207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846441 (
+	.A1(n_17675),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2172]),
+	.B1(n_11624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2195]),
+	.C1(n_15678),
+	.Y(n_16206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g846442 (
+	.A1(n_347),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[804]),
+	.B1(n_496),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[836]),
+	.C1(n_15658),
+	.X(n_16205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846443 (
+	.A1(n_11609),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1870]),
+	.B1(n_20194),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1847]),
+	.C1(n_15676),
+	.Y(n_16204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846444 (
+	.A(n_12871),
+	.B(n_12873),
+	.C(n_12875),
+	.D(n_12872),
+	.Y(n_16203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846445 (
+	.A1(n_17639),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [646]),
+	.B1(n_17649),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [669]),
+	.C1(n_15675),
+	.Y(n_16202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846446 (
+	.A(n_12869),
+	.B(n_12867),
+	.C(n_12868),
+	.D(n_12870),
+	.Y(n_16201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846447 (
+	.A1(n_17716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [171]),
+	.B1(n_17715),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [148]),
+	.C1(n_15674),
+	.Y(n_16200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846448 (
+	.A1(n_20168),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2054]),
+	.B1(n_11423),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2031]),
+	.C1(n_15669),
+	.Y(n_16199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846449 (
+	.A1(n_17627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [355]),
+	.B1(n_17626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [332]),
+	.C1(n_15673),
+	.Y(n_16198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846450 (
+	.A1(n_17665),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [485]),
+	.B1(n_17662),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [462]),
+	.C1(n_15671),
+	.Y(n_16197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846451 (
+	.A1(n_17619),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [516]),
+	.B1(n_17679),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [539]),
+	.C1(n_15672),
+	.Y(n_16196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846452 (
+	.A1(n_17637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [700]),
+	.B1(n_17630),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [723]),
+	.C1(n_15670),
+	.Y(n_16195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846453 (
+	.A(n_12841),
+	.B(n_12840),
+	.C(n_12839),
+	.D(n_12837),
+	.Y(n_16194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846454 (
+	.A1(n_17668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2052]),
+	.B1(n_11584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2029]),
+	.C1(n_15668),
+	.Y(n_16193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846455 (
+	.A(n_12836),
+	.B(n_12829),
+	.C(n_12825),
+	.D(n_12833),
+	.Y(n_16192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846456 (
+	.A(n_12835),
+	.B(n_12832),
+	.C(n_12828),
+	.D(n_12830),
+	.Y(n_16191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846457 (
+	.A(n_12822),
+	.B(n_12814),
+	.C(n_12817),
+	.D(n_12808),
+	.Y(n_16190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846458 (
+	.A(n_12826),
+	.B(n_12820),
+	.C(n_12823),
+	.D(n_12824),
+	.Y(n_16189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846459 (
+	.A(n_12819),
+	.B(n_12815),
+	.C(n_12813),
+	.D(n_12816),
+	.Y(n_16188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846460 (
+	.A1(n_20141),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2583]),
+	.B1(n_11554),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2606]),
+	.C1(n_15666),
+	.Y(n_16187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846461 (
+	.A1(n_11609),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1878]),
+	.B1(n_20194),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1855]),
+	.C1(n_15667),
+	.Y(n_16186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846462 (
+	.A(n_12807),
+	.B(n_12797),
+	.C(n_12804),
+	.D(n_12801),
+	.Y(n_16185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846463 (
+	.A1(n_11445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1694]),
+	.B1(n_11470),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1671]),
+	.C1(n_15665),
+	.Y(n_16184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846464 (
+	.A1(n_20163),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2767]),
+	.B1(n_20149),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2790]),
+	.C1(n_15661),
+	.Y(n_16183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846465 (
+	.A(n_12794),
+	.B(n_12783),
+	.C(n_12790),
+	.D(n_12786),
+	.Y(n_16182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846466 (
+	.A1(n_11485),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1142]),
+	.B1(n_20184),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1119]),
+	.C1(n_15664),
+	.Y(n_16181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846467 (
+	.A1(n_20242),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1303]),
+	.B1(n_20189),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1326]),
+	.C1(n_15663),
+	.Y(n_16180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846468 (
+	.A1(n_20199),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [84]),
+	.B1(n_20232),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [61]),
+	.C1(n_15662),
+	.Y(n_16179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846469 (
+	.A1(n_17717),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [94]),
+	.B1(n_17718),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [117]),
+	.C1(n_15660),
+	.Y(n_16178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846470 (
+	.A1(n_20246),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [199]),
+	.B1(n_20253),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [222]),
+	.C1(n_15659),
+	.Y(n_16177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846471 (
+	.A(n_12768),
+	.B(n_12769),
+	.C(n_12766),
+	.D(n_12771),
+	.Y(n_16176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846472 (
+	.A(n_12744),
+	.B(n_12781),
+	.C(n_15602),
+	.D(n_12707),
+	.Y(n_16175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846473 (
+	.A1(n_11406),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2443]),
+	.B1(n_17666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2466]),
+	.C1(n_15656),
+	.Y(n_16174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846474 (
+	.A1(n_17687),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2486]),
+	.B1(n_17660),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2509]),
+	.C1(n_15657),
+	.Y(n_16173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846475 (
+	.A(n_12765),
+	.B(n_12761),
+	.C(n_12762),
+	.D(n_12763),
+	.Y(n_16172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846476 (
+	.A(n_12759),
+	.B(n_12741),
+	.C(n_12753),
+	.D(n_12747),
+	.Y(n_16171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846477 (
+	.A1(n_20141),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2591]),
+	.B1(n_11554),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2614]),
+	.C1(n_15655),
+	.Y(n_16170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846478 (
+	.A1(n_17703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2647]),
+	.B1(n_17699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2624]),
+	.C1(n_15654),
+	.Y(n_16169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846479 (
+	.A1(n_20163),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2775]),
+	.B1(n_20149),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2798]),
+	.C1(n_15653),
+	.Y(n_16168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846480 (
+	.A(n_12734),
+	.B(n_12718),
+	.C(n_12724),
+	.D(n_12715),
+	.Y(n_16167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846481 (
+	.A1(n_17640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [301]),
+	.B1(n_17629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [278]),
+	.C1(n_15651),
+	.Y(n_16166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846482 (
+	.A1(n_20153),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [383]),
+	.B1(n_20202),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [406]),
+	.C1(n_15652),
+	.Y(n_16165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846483 (
+	.A1(n_20191),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [567]),
+	.B1(n_20195),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [590]),
+	.C1(n_15650),
+	.Y(n_16164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846484 (
+	.A(n_12729),
+	.B(n_12732),
+	.C(n_12731),
+	.D(n_12727),
+	.Y(n_16163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846485 (
+	.A1(n_17692),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2259]),
+	.B1(n_17693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2282]),
+	.C1(n_15649),
+	.Y(n_16162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846486 (
+	.A(n_12717),
+	.B(n_12720),
+	.C(n_12725),
+	.D(n_12728),
+	.Y(n_16161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846487 (
+	.A(n_12723),
+	.B(n_12726),
+	.C(n_12721),
+	.D(n_12719),
+	.Y(n_16160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846488 (
+	.A(n_12716),
+	.B(n_14882),
+	.C(n_12714),
+	.D(n_12712),
+	.Y(n_16159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846489 (
+	.A(n_12713),
+	.B(n_12706),
+	.C(n_12705),
+	.D(n_12710),
+	.Y(n_16158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846490 (
+	.A(n_12711),
+	.B(n_12709),
+	.C(n_12708),
+	.D(n_15011),
+	.Y(n_16157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846491 (
+	.A1(n_11485),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1134]),
+	.B1(n_20184),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1111]),
+	.C1(n_15647),
+	.Y(n_16156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g846492 (
+	.A1(n_20238),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2223]),
+	.B1(n_20239),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2246]),
+	.C1(n_15648),
+	.Y(n_16155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846493 (
+	.A(n_12697),
+	.B(n_15617),
+	.C(n_12699),
+	.D(n_15620),
+	.Y(n_16154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g846494 (
+	.A(n_14219),
+	.B(n_14222),
+	.C(n_14216),
+	.D(n_14220),
+	.Y(n_16153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g846495 (
+	.A(n_16150),
+	.Y(n_16149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g846496 (
+	.A(n_16148),
+	.Y(n_16147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g846497 (
+	.A(n_16146),
+	.Y(n_16145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g846498 (
+	.A(n_16144),
+	.Y(n_16143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g846499 (
+	.A(n_16142),
+	.Y(n_16141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g846500 (
+	.A(n_16140),
+	.Y(n_16139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g846501 (
+	.A(n_16138),
+	.Y(n_16137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g846502 (
+	.A(n_16136),
+	.Y(n_16135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g846503 (
+	.A(n_16133),
+	.Y(n_16134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846504 (
+	.A(n_14911),
+	.B(n_14906),
+	.C(n_14908),
+	.Y(n_16127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846505 (
+	.A(n_14172),
+	.B(n_14193),
+	.C(n_14218),
+	.Y(n_16126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846506 (
+	.A(n_14223),
+	.B(n_14213),
+	.C(n_14217),
+	.Y(n_16125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846507 (
+	.A(n_14224),
+	.B(n_14226),
+	.C(n_14225),
+	.Y(n_16124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846508 (
+	.A(n_14228),
+	.B(n_14229),
+	.C(n_14230),
+	.Y(n_16123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846509 (
+	.A(n_14236),
+	.B(n_14235),
+	.C(n_14234),
+	.Y(n_16122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846510 (
+	.A(n_14233),
+	.B(n_14237),
+	.C(n_14231),
+	.Y(n_16121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846511 (
+	.A(n_14240),
+	.B(n_14239),
+	.C(n_14238),
+	.Y(n_16120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846512 (
+	.A(n_14243),
+	.B(n_14245),
+	.C(n_14242),
+	.Y(n_16119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846513 (
+	.A(n_14249),
+	.B(n_14251),
+	.C(n_14250),
+	.Y(n_16118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846514 (
+	.A(n_14247),
+	.B(n_14252),
+	.C(n_14244),
+	.Y(n_16117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846515 (
+	.A(n_14257),
+	.B(n_14265),
+	.C(n_14269),
+	.Y(n_16116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846516 (
+	.A(n_14271),
+	.B(n_14262),
+	.C(n_14248),
+	.Y(n_16115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846517 (
+	.A(n_14280),
+	.B(n_14276),
+	.C(n_14277),
+	.Y(n_16114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846518 (
+	.A(n_14283),
+	.B(n_14284),
+	.C(n_14285),
+	.Y(n_16113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846519 (
+	.A(n_14289),
+	.B(n_14288),
+	.C(n_14292),
+	.Y(n_16112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846520 (
+	.A(n_14297),
+	.B(n_14295),
+	.C(n_14299),
+	.Y(n_16111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846521 (
+	.A(n_15616),
+	.B(n_15614),
+	.C(n_15613),
+	.Y(n_16110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846522 (
+	.A(n_15608),
+	.B(n_15610),
+	.C(n_15606),
+	.Y(n_16109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846523 (
+	.A(n_15604),
+	.B(n_15600),
+	.C(n_15603),
+	.Y(n_16108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846524 (
+	.A(n_15592),
+	.B(n_15587),
+	.C(n_15598),
+	.Y(n_16107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846525 (
+	.A(n_15596),
+	.B(n_15595),
+	.C(n_15594),
+	.Y(n_16106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846526 (
+	.A(n_15541),
+	.B(n_15561),
+	.C(n_15575),
+	.Y(n_16105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846527 (
+	.A(n_15574),
+	.B(n_15576),
+	.C(n_15573),
+	.Y(n_16104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846528 (
+	.A(n_15572),
+	.B(n_15566),
+	.C(n_15560),
+	.Y(n_16103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846529 (
+	.A(n_15571),
+	.B(n_15567),
+	.C(n_15565),
+	.Y(n_16102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846530 (
+	.A(n_15568),
+	.B(n_15570),
+	.C(n_15569),
+	.Y(n_16101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846531 (
+	.A(n_12897),
+	.B(n_15562),
+	.C(n_15564),
+	.Y(n_16100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846532 (
+	.A(n_15559),
+	.B(n_15556),
+	.C(n_15553),
+	.Y(n_16099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846533 (
+	.A(n_15555),
+	.B(n_15558),
+	.C(n_15557),
+	.Y(n_16098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846534 (
+	.A(n_15548),
+	.B(n_15538),
+	.C(n_15528),
+	.Y(n_16097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846535 (
+	.A(n_15525),
+	.B(n_15523),
+	.C(n_15526),
+	.Y(n_16096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846536 (
+	.A(n_15509),
+	.B(n_15491),
+	.C(n_15510),
+	.Y(n_16095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846537 (
+	.A(n_15520),
+	.B(n_15522),
+	.C(n_13305),
+	.Y(n_16094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846538 (
+	.A(n_15517),
+	.B(n_15516),
+	.C(n_15518),
+	.Y(n_16093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846539 (
+	.A(n_13425),
+	.B(n_15512),
+	.C(n_15514),
+	.Y(n_16092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846540 (
+	.A(n_15505),
+	.B(n_15508),
+	.C(n_15506),
+	.Y(n_16091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846541 (
+	.A(n_15501),
+	.B(n_15503),
+	.C(n_15502),
+	.Y(n_16090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846542 (
+	.A(n_15484),
+	.B(n_15485),
+	.C(n_15483),
+	.Y(n_16089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846543 (
+	.A(n_15480),
+	.B(n_13743),
+	.C(n_15479),
+	.Y(n_16088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846544 (
+	.A(n_15472),
+	.B(n_15478),
+	.C(n_15471),
+	.Y(n_16087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846545 (
+	.A(n_15476),
+	.B(n_15477),
+	.C(n_15474),
+	.Y(n_16086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846546 (
+	.A(n_15469),
+	.B(n_15468),
+	.C(n_15470),
+	.Y(n_16085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846547 (
+	.A(n_15455),
+	.B(n_15460),
+	.C(n_15448),
+	.Y(n_16084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846548 (
+	.A(n_15437),
+	.B(n_15442),
+	.C(n_15431),
+	.Y(n_16083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846549 (
+	.A(n_15436),
+	.B(n_15441),
+	.C(n_15439),
+	.Y(n_16082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846550 (
+	.A(n_15434),
+	.B(n_15433),
+	.C(n_15432),
+	.Y(n_16081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846551 (
+	.A(n_15428),
+	.B(n_15430),
+	.C(n_15429),
+	.Y(n_16080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846552 (
+	.A(n_15427),
+	.B(n_15424),
+	.C(n_15420),
+	.Y(n_16079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846553 (
+	.A(n_15425),
+	.B(n_15423),
+	.C(n_15422),
+	.Y(n_16078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846554 (
+	.A(n_15417),
+	.B(n_15419),
+	.C(n_15418),
+	.Y(n_16077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846555 (
+	.A(n_15413),
+	.B(n_15414),
+	.C(n_15412),
+	.Y(n_16076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846556 (
+	.A(n_15396),
+	.B(n_15395),
+	.C(n_15394),
+	.Y(n_16075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846557 (
+	.A(n_15391),
+	.B(n_14686),
+	.C(n_15388),
+	.Y(n_16074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846558 (
+	.A(n_15385),
+	.B(n_15384),
+	.C(n_15386),
+	.Y(n_16073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846559 (
+	.A(n_15374),
+	.B(n_15368),
+	.C(n_15381),
+	.Y(n_16072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846560 (
+	.A(n_15380),
+	.B(n_15378),
+	.C(n_15377),
+	.Y(n_16071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846561 (
+	.A(n_14885),
+	.B(n_15372),
+	.C(n_15375),
+	.Y(n_16070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846562 (
+	.A(n_15369),
+	.B(n_15366),
+	.C(n_15367),
+	.Y(n_16069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846563 (
+	.A(n_15362),
+	.B(n_15363),
+	.C(n_15360),
+	.Y(n_16068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846564 (
+	.A(n_15355),
+	.B(n_15358),
+	.C(n_15357),
+	.Y(n_16067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846565 (
+	.A(n_15330),
+	.B(n_15348),
+	.C(n_15338),
+	.Y(n_16066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846566 (
+	.A(n_15280),
+	.B(n_15315),
+	.C(n_15298),
+	.Y(n_16065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846567 (
+	.A(n_15317),
+	.B(n_15318),
+	.C(n_15316),
+	.Y(n_16064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846568 (
+	.A(n_15302),
+	.B(n_15311),
+	.C(n_15290),
+	.Y(n_16063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846569 (
+	.A(n_15314),
+	.B(n_15313),
+	.C(n_15312),
+	.Y(n_16062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846570 (
+	.A(n_15306),
+	.B(n_15440),
+	.C(n_15299),
+	.Y(n_16061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846571 (
+	.A(n_15291),
+	.B(n_15283),
+	.C(n_15287),
+	.Y(n_16060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846572 (
+	.A(n_15282),
+	.B(n_15281),
+	.C(n_15284),
+	.Y(n_16059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846573 (
+	.A(n_15271),
+	.B(n_15279),
+	.C(n_15261),
+	.Y(n_16058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846574 (
+	.A(n_15276),
+	.B(n_15277),
+	.C(n_15274),
+	.Y(n_16057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846575 (
+	.A(n_15273),
+	.B(n_12929),
+	.C(n_15270),
+	.Y(n_16056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846576 (
+	.A(n_15266),
+	.B(n_15267),
+	.C(n_15268),
+	.Y(n_16055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846577 (
+	.A(n_15230),
+	.B(n_15221),
+	.C(n_15242),
+	.Y(n_16054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846578 (
+	.A(n_15245),
+	.B(n_15225),
+	.C(n_15236),
+	.Y(n_16053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846579 (
+	.A(n_15226),
+	.B(n_15223),
+	.C(n_13839),
+	.Y(n_16052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846580 (
+	.A(n_15219),
+	.B(n_13872),
+	.C(n_15220),
+	.Y(n_16051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846581 (
+	.A(n_15199),
+	.B(n_15213),
+	.C(n_15206),
+	.Y(n_16050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846582 (
+	.A(n_15202),
+	.B(n_14352),
+	.C(n_15204),
+	.Y(n_16049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846583 (
+	.A(n_15194),
+	.B(n_14751),
+	.C(n_15188),
+	.Y(n_16048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846584 (
+	.A(n_15193),
+	.B(n_14581),
+	.C(n_15195),
+	.Y(n_16047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846585 (
+	.A(n_15163),
+	.B(n_15170),
+	.C(n_15187),
+	.Y(n_16046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846586 (
+	.A(n_15192),
+	.B(n_15190),
+	.C(n_15189),
+	.Y(n_16045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846587 (
+	.A(n_15175),
+	.B(n_15122),
+	.C(n_15107),
+	.Y(n_16044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846588 (
+	.A(n_15184),
+	.B(n_15185),
+	.C(n_15186),
+	.Y(n_16043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846589 (
+	.A(n_15178),
+	.B(n_15181),
+	.C(n_15180),
+	.Y(n_16042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846590 (
+	.A(n_14965),
+	.B(n_15179),
+	.C(n_15172),
+	.Y(n_16041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846591 (
+	.A(n_15152),
+	.B(n_15158),
+	.C(n_15164),
+	.Y(n_16040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846592 (
+	.A(n_15138),
+	.B(n_15137),
+	.C(n_15140),
+	.Y(n_16039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846593 (
+	.A(n_15133),
+	.B(n_15131),
+	.C(n_15134),
+	.Y(n_16038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846594 (
+	.A(n_15106),
+	.B(n_15263),
+	.C(n_15091),
+	.Y(n_16037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846595 (
+	.A(n_15114),
+	.B(n_15098),
+	.C(n_15105),
+	.Y(n_16036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846596 (
+	.A(n_12733),
+	.B(n_15103),
+	.C(n_12784),
+	.Y(n_16035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846597 (
+	.A(n_15101),
+	.B(n_15099),
+	.C(n_15100),
+	.Y(n_16034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846598 (
+	.A(n_15094),
+	.B(n_15096),
+	.C(n_15093),
+	.Y(n_16033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846599 (
+	.A(n_13816),
+	.B(n_15092),
+	.C(n_15090),
+	.Y(n_16032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846600 (
+	.A(n_15084),
+	.B(n_15070),
+	.C(n_15078),
+	.Y(n_16031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846601 (
+	.A(n_14480),
+	.B(n_15058),
+	.C(n_14830),
+	.Y(n_16030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g846602 (
+	.A1(n_355),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[227]),
+	.B1(n_499),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[451]),
+	.C1(n_15629),
+	.X(n_16029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846603 (
+	.A(n_15048),
+	.B(n_15056),
+	.C(n_15042),
+	.Y(n_16028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846604 (
+	.A(n_15054),
+	.B(n_15052),
+	.C(n_15055),
+	.Y(n_16027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846605 (
+	.A(n_15045),
+	.B(n_15053),
+	.C(n_14961),
+	.Y(n_16026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846606 (
+	.A(n_15029),
+	.B(n_15036),
+	.C(n_15032),
+	.Y(n_16025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846607 (
+	.A(n_15000),
+	.B(n_15013),
+	.C(n_14989),
+	.Y(n_16024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846608 (
+	.A(n_14966),
+	.B(n_15027),
+	.C(n_15022),
+	.Y(n_16023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846609 (
+	.A(n_14431),
+	.B(n_13927),
+	.C(n_14972),
+	.Y(n_16022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846610 (
+	.A(n_13506),
+	.B(n_14973),
+	.C(n_14975),
+	.Y(n_16021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846611 (
+	.A(n_14971),
+	.B(n_14709),
+	.C(n_14974),
+	.Y(n_16020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846612 (
+	.A(n_14970),
+	.B(n_14182),
+	.C(n_14991),
+	.Y(n_16019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846613 (
+	.A(n_14968),
+	.B(n_14956),
+	.C(n_14960),
+	.Y(n_16018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846614 (
+	.A(n_13914),
+	.B(n_14967),
+	.C(n_15466),
+	.Y(n_16017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846615 (
+	.A(n_14959),
+	.B(n_14958),
+	.C(n_15257),
+	.Y(n_16016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846616 (
+	.A(n_15309),
+	.B(n_13256),
+	.C(n_15028),
+	.Y(n_16015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846617 (
+	.A(n_14949),
+	.B(n_14950),
+	.C(n_14952),
+	.Y(n_16014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846618 (
+	.A(n_14955),
+	.B(n_14953),
+	.C(n_14951),
+	.Y(n_16013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846619 (
+	.A(n_14957),
+	.B(n_14907),
+	.C(n_14875),
+	.Y(n_16012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846620 (
+	.A(n_14954),
+	.B(n_14925),
+	.C(n_14931),
+	.Y(n_16011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846621 (
+	.A(n_14948),
+	.B(n_14947),
+	.C(n_14946),
+	.Y(n_16010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846622 (
+	.A(n_14942),
+	.B(n_14940),
+	.C(n_14944),
+	.Y(n_16009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846623 (
+	.A(n_14945),
+	.B(n_14934),
+	.C(n_14939),
+	.Y(n_16008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846624 (
+	.A(n_14920),
+	.B(n_14924),
+	.C(n_14922),
+	.Y(n_16007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846625 (
+	.A(n_14915),
+	.B(n_14917),
+	.C(n_14914),
+	.Y(n_16006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846626 (
+	.A(n_14910),
+	.B(n_14909),
+	.C(n_14913),
+	.Y(n_16005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846627 (
+	.A(n_14916),
+	.B(n_14921),
+	.C(n_14912),
+	.Y(n_16004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846628 (
+	.A(n_14260),
+	.B(n_14279),
+	.C(n_14298),
+	.Y(n_16003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846629 (
+	.A(n_14898),
+	.B(n_14901),
+	.C(n_14904),
+	.Y(n_16002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846630 (
+	.A(n_14903),
+	.B(n_14900),
+	.C(n_14902),
+	.Y(n_16001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846631 (
+	.A(n_14897),
+	.B(n_14905),
+	.C(n_14899),
+	.Y(n_16000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846632 (
+	.A(n_14890),
+	.B(n_14891),
+	.C(n_14894),
+	.Y(n_15999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846633 (
+	.A(n_14881),
+	.B(n_14888),
+	.C(n_14874),
+	.Y(n_15998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846634 (
+	.A(n_14878),
+	.B(n_14884),
+	.C(n_14879),
+	.Y(n_15997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846635 (
+	.A(n_14873),
+	.B(n_14856),
+	.C(n_14824),
+	.Y(n_15996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846636 (
+	.A(n_14857),
+	.B(n_14861),
+	.C(n_14860),
+	.Y(n_15995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846637 (
+	.A(n_14852),
+	.B(n_14850),
+	.C(n_14847),
+	.Y(n_15994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846638 (
+	.A(n_14842),
+	.B(n_14839),
+	.C(n_14841),
+	.Y(n_15993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846639 (
+	.A(n_14836),
+	.B(n_14831),
+	.C(n_14834),
+	.Y(n_15992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846640 (
+	.A(n_14822),
+	.B(n_14823),
+	.C(n_14826),
+	.Y(n_15991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846641 (
+	.A(n_14827),
+	.B(n_14825),
+	.C(n_14828),
+	.Y(n_15990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846642 (
+	.A(n_14819),
+	.B(n_14821),
+	.C(n_14820),
+	.Y(n_15989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846643 (
+	.A(n_14814),
+	.B(n_14808),
+	.C(n_14818),
+	.Y(n_15988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846644 (
+	.A(n_14813),
+	.B(n_14817),
+	.C(n_14811),
+	.Y(n_15987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846645 (
+	.A(n_14801),
+	.B(n_14805),
+	.C(n_14800),
+	.Y(n_15986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846646 (
+	.A(n_14793),
+	.B(n_14803),
+	.C(n_14797),
+	.Y(n_15985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846647 (
+	.A(n_14798),
+	.B(n_14795),
+	.C(n_14799),
+	.Y(n_15984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846648 (
+	.A(n_14794),
+	.B(n_14792),
+	.C(n_14796),
+	.Y(n_15983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846649 (
+	.A(n_14788),
+	.B(n_14789),
+	.C(n_14791),
+	.Y(n_15982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g846650 (
+	.A1(n_506),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[973]),
+	.B1(n_504),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[877]),
+	.C1(n_15634),
+	.X(n_15981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846651 (
+	.A(n_14773),
+	.B(n_14790),
+	.C(n_14738),
+	.Y(n_15980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846652 (
+	.A(n_14781),
+	.B(n_14787),
+	.C(n_14786),
+	.Y(n_15979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846653 (
+	.A(n_14785),
+	.B(n_14783),
+	.C(n_14782),
+	.Y(n_15978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846654 (
+	.A(n_14778),
+	.B(n_14771),
+	.C(n_14784),
+	.Y(n_15977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846655 (
+	.A(n_14774),
+	.B(n_14779),
+	.C(n_14775),
+	.Y(n_15976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846656 (
+	.A(n_14776),
+	.B(n_14780),
+	.C(n_14777),
+	.Y(n_15975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846657 (
+	.A(n_14742),
+	.B(n_14741),
+	.C(n_14740),
+	.Y(n_15974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846658 (
+	.A(n_14735),
+	.B(n_14732),
+	.C(n_14739),
+	.Y(n_15973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846659 (
+	.A(n_14743),
+	.B(n_14750),
+	.C(n_14758),
+	.Y(n_15972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846660 (
+	.A(n_14737),
+	.B(n_14734),
+	.C(n_14733),
+	.Y(n_15971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846661 (
+	.A(n_14729),
+	.B(n_14730),
+	.C(n_14728),
+	.Y(n_15970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846662 (
+	.A(n_14727),
+	.B(n_14724),
+	.C(n_14722),
+	.Y(n_15969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846663 (
+	.A(n_14725),
+	.B(n_14723),
+	.C(n_14721),
+	.Y(n_15968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846664 (
+	.A(n_14719),
+	.B(n_14717),
+	.C(n_14718),
+	.Y(n_15967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846665 (
+	.A(n_14713),
+	.B(n_14711),
+	.C(n_14716),
+	.Y(n_15966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846666 (
+	.A(n_14715),
+	.B(n_14712),
+	.C(n_14710),
+	.Y(n_15965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846667 (
+	.A(n_14700),
+	.B(n_14698),
+	.C(n_14704),
+	.Y(n_15964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846668 (
+	.A(n_14672),
+	.B(n_14693),
+	.C(n_14656),
+	.Y(n_15963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846669 (
+	.A(n_14691),
+	.B(n_14689),
+	.C(n_14690),
+	.Y(n_15962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846670 (
+	.A(n_14683),
+	.B(n_14687),
+	.C(n_14681),
+	.Y(n_15961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846671 (
+	.A(n_14680),
+	.B(n_14678),
+	.C(n_14677),
+	.Y(n_15960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846672 (
+	.A(n_14673),
+	.B(n_14670),
+	.C(n_14669),
+	.Y(n_15959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846673 (
+	.A(n_14641),
+	.B(n_14639),
+	.C(n_14640),
+	.Y(n_15958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846674 (
+	.A(n_14634),
+	.B(n_14633),
+	.C(n_14636),
+	.Y(n_15957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846675 (
+	.A(n_14630),
+	.B(n_14629),
+	.C(n_14628),
+	.Y(n_15956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846676 (
+	.A(n_14623),
+	.B(n_14622),
+	.C(n_14625),
+	.Y(n_15955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846677 (
+	.A(n_14620),
+	.B(n_14618),
+	.C(n_14619),
+	.Y(n_15954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846678 (
+	.A(n_14610),
+	.B(n_14614),
+	.C(n_14612),
+	.Y(n_15953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846679 (
+	.A(n_14617),
+	.B(n_14616),
+	.C(n_14615),
+	.Y(n_15952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846680 (
+	.A(n_14596),
+	.B(n_14592),
+	.C(n_14595),
+	.Y(n_15951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846681 (
+	.A(n_14599),
+	.B(n_14598),
+	.C(n_14597),
+	.Y(n_15950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846682 (
+	.A(n_14594),
+	.B(n_14593),
+	.C(n_14591),
+	.Y(n_15949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846683 (
+	.A(n_14590),
+	.B(n_14589),
+	.C(n_14588),
+	.Y(n_15948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846684 (
+	.A(n_14573),
+	.B(n_14585),
+	.C(n_14576),
+	.Y(n_15947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846685 (
+	.A(n_14587),
+	.B(n_14584),
+	.C(n_14582),
+	.Y(n_15946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846686 (
+	.A(n_14583),
+	.B(n_14575),
+	.C(n_14578),
+	.Y(n_15945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846687 (
+	.A(n_14569),
+	.B(n_14562),
+	.C(n_14566),
+	.Y(n_15944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846688 (
+	.A(n_14557),
+	.B(n_14550),
+	.C(n_14546),
+	.Y(n_15943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846689 (
+	.A(n_14556),
+	.B(n_14553),
+	.C(n_14549),
+	.Y(n_15942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846690 (
+	.A(n_14551),
+	.B(n_14548),
+	.C(n_14547),
+	.Y(n_15941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846691 (
+	.A(n_14541),
+	.B(n_14540),
+	.C(n_14537),
+	.Y(n_15940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846692 (
+	.A(n_14542),
+	.B(n_14544),
+	.C(n_14543),
+	.Y(n_15939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846693 (
+	.A(n_14536),
+	.B(n_14535),
+	.C(n_14534),
+	.Y(n_15938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846694 (
+	.A(n_14531),
+	.B(n_14527),
+	.C(n_14526),
+	.Y(n_15937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846695 (
+	.A(n_14525),
+	.B(n_14519),
+	.C(n_14512),
+	.Y(n_15936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846696 (
+	.A(n_14520),
+	.B(n_14521),
+	.C(n_14523),
+	.Y(n_15935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846697 (
+	.A(n_14514),
+	.B(n_14516),
+	.C(n_14513),
+	.Y(n_15934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846698 (
+	.A(n_14493),
+	.B(n_14497),
+	.C(n_14499),
+	.Y(n_15933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846699 (
+	.A(n_14491),
+	.B(n_14495),
+	.C(n_14492),
+	.Y(n_15932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846700 (
+	.A(n_14490),
+	.B(n_14494),
+	.C(n_14501),
+	.Y(n_15931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846701 (
+	.A(n_14485),
+	.B(n_14486),
+	.C(n_14484),
+	.Y(n_15930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846702 (
+	.A(n_14489),
+	.B(n_14488),
+	.C(n_14487),
+	.Y(n_15929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846703 (
+	.A(n_14483),
+	.B(n_14481),
+	.C(n_14482),
+	.Y(n_15928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846704 (
+	.A(n_14477),
+	.B(n_14471),
+	.C(n_14473),
+	.Y(n_15927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846705 (
+	.A(n_14476),
+	.B(n_14474),
+	.C(n_14479),
+	.Y(n_15926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846706 (
+	.A(n_14475),
+	.B(n_14469),
+	.C(n_14465),
+	.Y(n_15925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846707 (
+	.A(n_14458),
+	.B(n_14460),
+	.C(n_14464),
+	.Y(n_15924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846708 (
+	.A(n_14423),
+	.B(n_14440),
+	.C(n_14455),
+	.Y(n_15923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846709 (
+	.A(n_14444),
+	.B(n_14447),
+	.C(n_14437),
+	.Y(n_15922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846710 (
+	.A(n_14442),
+	.B(n_14445),
+	.C(n_14441),
+	.Y(n_15921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846711 (
+	.A(n_14434),
+	.B(n_14433),
+	.C(n_14436),
+	.Y(n_15920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846712 (
+	.A(n_14430),
+	.B(n_14426),
+	.C(n_14428),
+	.Y(n_15919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846713 (
+	.A(n_14424),
+	.B(n_14419),
+	.C(n_14420),
+	.Y(n_15918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846714 (
+	.A(n_14417),
+	.B(n_14414),
+	.C(n_14413),
+	.Y(n_15917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846715 (
+	.A(n_14411),
+	.B(n_14408),
+	.C(n_14409),
+	.Y(n_15916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846716 (
+	.A(n_14390),
+	.B(n_14396),
+	.C(n_14392),
+	.Y(n_15915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846717 (
+	.A(n_14389),
+	.B(n_14387),
+	.C(n_14386),
+	.Y(n_15914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846718 (
+	.A(n_14373),
+	.B(n_14384),
+	.C(n_14359),
+	.Y(n_15913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846719 (
+	.A(n_14377),
+	.B(n_14380),
+	.C(n_14379),
+	.Y(n_15912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846720 (
+	.A(n_14383),
+	.B(n_14382),
+	.C(n_14381),
+	.Y(n_15911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846721 (
+	.A(n_14378),
+	.B(n_14376),
+	.C(n_14375),
+	.Y(n_15910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846722 (
+	.A(n_14371),
+	.B(n_14374),
+	.C(n_14369),
+	.Y(n_15909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846723 (
+	.A(n_14368),
+	.B(n_14370),
+	.C(n_14365),
+	.Y(n_15908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846724 (
+	.A(n_14362),
+	.B(n_14372),
+	.C(n_14355),
+	.Y(n_15907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846725 (
+	.A(n_14349),
+	.B(n_14344),
+	.C(n_14354),
+	.Y(n_15906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846726 (
+	.A(n_14324),
+	.B(n_14338),
+	.C(n_14328),
+	.Y(n_15905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846727 (
+	.A(n_14339),
+	.B(n_14341),
+	.C(n_14343),
+	.Y(n_15904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846728 (
+	.A(n_14336),
+	.B(n_14335),
+	.C(n_14334),
+	.Y(n_15903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846729 (
+	.A(n_14337),
+	.B(n_14333),
+	.C(n_14331),
+	.Y(n_15902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846730 (
+	.A(n_14329),
+	.B(n_14330),
+	.C(n_14332),
+	.Y(n_15901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846731 (
+	.A(n_14326),
+	.B(n_14325),
+	.C(n_14327),
+	.Y(n_15900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846732 (
+	.A(n_14318),
+	.B(n_14319),
+	.C(n_14317),
+	.Y(n_15899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846733 (
+	.A(n_14320),
+	.B(n_14321),
+	.C(n_14322),
+	.Y(n_15898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846734 (
+	.A(n_14314),
+	.B(n_14316),
+	.C(n_14315),
+	.Y(n_15897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846735 (
+	.A(n_14293),
+	.B(n_14307),
+	.C(n_14294),
+	.Y(n_15896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g846736 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [15]),
+	.B(n_32360),
+	.X(n_32359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g846737 (
+	.A(n_15639),
+	.B(n_14156),
+	.Y(n_16152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g846738 (
+	.A(n_15639),
+	.B(n_14157),
+	.Y(n_16151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g846739 (
+	.A(n_15645),
+	.B(n_14159),
+	.Y(n_16150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g846740 (
+	.A(n_15644),
+	.B(n_14158),
+	.Y(n_16148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g846741 (
+	.A(n_15645),
+	.B(n_14158),
+	.Y(n_16146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g846742 (
+	.A(n_15641),
+	.B(n_14159),
+	.Y(n_16144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g846743 (
+	.A(n_15643),
+	.B(n_14159),
+	.Y(n_16142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g846744 (
+	.A(n_15641),
+	.B(n_14158),
+	.Y(n_16140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g846745 (
+	.A(n_15643),
+	.B(n_14158),
+	.Y(n_16138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g846746 (
+	.A(n_15644),
+	.B(n_14159),
+	.Y(n_16136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g846747 (
+	.A(n_15642),
+	.B(n_14156),
+	.Y(n_16133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g846748 (
+	.A(n_14157),
+	.B(n_15638),
+	.Y(n_16132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g846749 (
+	.A(n_14156),
+	.B(n_12395),
+	.Y(n_16131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g846750 (
+	.A(n_14157),
+	.B(n_15640),
+	.Y(n_16130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g846751 (
+	.A(n_14156),
+	.B(n_15638),
+	.Y(n_16129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g846752 (
+	.A(n_14156),
+	.B(n_15640),
+	.Y(n_16128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846753 (
+	.A(n_13447),
+	.B(n_13456),
+	.C(n_13450),
+	.Y(n_15895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846754 (
+	.A(n_14197),
+	.B(n_14200),
+	.C(n_14199),
+	.Y(n_15894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846755 (
+	.A(n_14191),
+	.B(n_14194),
+	.C(n_14196),
+	.Y(n_15893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846756 (
+	.A(n_14187),
+	.B(n_14183),
+	.C(n_14185),
+	.Y(n_15892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846757 (
+	.A(n_14139),
+	.B(n_14134),
+	.C(n_14137),
+	.Y(n_15891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846758 (
+	.A(n_14132),
+	.B(n_14129),
+	.C(n_14130),
+	.Y(n_15890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846759 (
+	.A(n_14131),
+	.B(n_14128),
+	.C(n_14126),
+	.Y(n_15889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846760 (
+	.A(n_14125),
+	.B(n_14123),
+	.C(n_14124),
+	.Y(n_15888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846761 (
+	.A(n_14117),
+	.B(n_14118),
+	.C(n_14116),
+	.Y(n_15887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846762 (
+	.A(n_14119),
+	.B(n_14115),
+	.C(n_14120),
+	.Y(n_15886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846763 (
+	.A(n_14111),
+	.B(n_14109),
+	.C(n_14105),
+	.Y(n_15885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846764 (
+	.A(n_14113),
+	.B(n_14110),
+	.C(n_14108),
+	.Y(n_15884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846765 (
+	.A(n_14101),
+	.B(n_14104),
+	.C(n_14103),
+	.Y(n_15883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846766 (
+	.A(n_14100),
+	.B(n_14099),
+	.C(n_14102),
+	.Y(n_15882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g846767 (
+	.A1(n_495),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[394]),
+	.B1(n_353),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[362]),
+	.C1(n_15633),
+	.X(n_15881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846768 (
+	.A(n_14097),
+	.B(n_14098),
+	.C(n_14096),
+	.Y(n_15880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846769 (
+	.A(n_14083),
+	.B(n_14076),
+	.C(n_14086),
+	.Y(n_15879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846770 (
+	.A(n_14089),
+	.B(n_14094),
+	.C(n_14092),
+	.Y(n_15878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846771 (
+	.A(n_14072),
+	.B(n_14069),
+	.C(n_14070),
+	.Y(n_15877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846772 (
+	.A(n_14066),
+	.B(n_14063),
+	.C(n_14065),
+	.Y(n_15876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846773 (
+	.A(n_14046),
+	.B(n_14061),
+	.C(n_14054),
+	.Y(n_15875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846774 (
+	.A(n_14060),
+	.B(n_14058),
+	.C(n_14057),
+	.Y(n_15874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846775 (
+	.A(n_14056),
+	.B(n_14059),
+	.C(n_14055),
+	.Y(n_15873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846776 (
+	.A(n_14052),
+	.B(n_14047),
+	.C(n_14049),
+	.Y(n_15872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846777 (
+	.A(n_14053),
+	.B(n_14050),
+	.C(n_14048),
+	.Y(n_15871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846778 (
+	.A(n_14039),
+	.B(n_14037),
+	.C(n_14043),
+	.Y(n_15870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846779 (
+	.A(n_14032),
+	.B(n_14027),
+	.C(n_14029),
+	.Y(n_15869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846780 (
+	.A(n_14016),
+	.B(n_14012),
+	.C(n_14026),
+	.Y(n_15868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846781 (
+	.A(n_14010),
+	.B(n_14008),
+	.C(n_14013),
+	.Y(n_15867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g846782 (
+	.A1(n_499),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[463]),
+	.B1(n_353),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[367]),
+	.C1(n_15632),
+	.X(n_15866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846783 (
+	.A(n_14006),
+	.B(n_14001),
+	.C(n_14003),
+	.Y(n_15865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846784 (
+	.A(n_13994),
+	.B(n_13995),
+	.C(n_13996),
+	.Y(n_15864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846785 (
+	.A(n_13990),
+	.B(n_13999),
+	.C(n_13982),
+	.Y(n_15863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846786 (
+	.A(n_13986),
+	.B(n_13985),
+	.C(n_13989),
+	.Y(n_15862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846787 (
+	.A(n_13980),
+	.B(n_13979),
+	.C(n_13981),
+	.Y(n_15861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846788 (
+	.A(n_13977),
+	.B(n_13976),
+	.C(n_13975),
+	.Y(n_15860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g846789 (
+	.A1(n_499),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[465]),
+	.B1(n_353),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[369]),
+	.C1(n_15628),
+	.X(n_15859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846790 (
+	.A(n_13972),
+	.B(n_13974),
+	.C(n_13971),
+	.Y(n_15858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846791 (
+	.A(n_13970),
+	.B(n_13965),
+	.C(n_13964),
+	.Y(n_15857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846792 (
+	.A(n_13967),
+	.B(n_13954),
+	.C(n_13959),
+	.Y(n_15856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846793 (
+	.A(n_13951),
+	.B(n_13953),
+	.C(n_13957),
+	.Y(n_15855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846794 (
+	.A(n_13950),
+	.B(n_13952),
+	.C(n_13948),
+	.Y(n_15854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846795 (
+	.A(n_13944),
+	.B(n_13946),
+	.C(n_13949),
+	.Y(n_15853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846796 (
+	.A(n_13942),
+	.B(n_13943),
+	.C(n_13945),
+	.Y(n_15852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846797 (
+	.A(n_13940),
+	.B(n_13933),
+	.C(n_13930),
+	.Y(n_15851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846798 (
+	.A(n_13939),
+	.B(n_13935),
+	.C(n_13937),
+	.Y(n_15850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846799 (
+	.A(n_13936),
+	.B(n_13941),
+	.C(n_13938),
+	.Y(n_15849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846800 (
+	.A(n_13925),
+	.B(n_13929),
+	.C(n_13924),
+	.Y(n_15848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846801 (
+	.A(n_13928),
+	.B(n_13931),
+	.C(n_13926),
+	.Y(n_15847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g846802 (
+	.A1(n_503),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[440]),
+	.B1(n_360),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[280]),
+	.C1(n_15631),
+	.X(n_15846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846803 (
+	.A(n_13892),
+	.B(n_13895),
+	.C(n_13893),
+	.Y(n_15845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846804 (
+	.A(n_13887),
+	.B(n_13889),
+	.C(n_13885),
+	.Y(n_15844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846805 (
+	.A(n_13883),
+	.B(n_13890),
+	.C(n_13888),
+	.Y(n_15843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846806 (
+	.A(n_13880),
+	.B(n_13881),
+	.C(n_13882),
+	.Y(n_15842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846807 (
+	.A(n_13876),
+	.B(n_13874),
+	.C(n_13879),
+	.Y(n_15841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846808 (
+	.A(n_13873),
+	.B(n_13878),
+	.C(n_13875),
+	.Y(n_15840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846809 (
+	.A(n_13869),
+	.B(n_13871),
+	.C(n_13870),
+	.Y(n_15839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846810 (
+	.A(n_13865),
+	.B(n_13862),
+	.C(n_13867),
+	.Y(n_15838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846811 (
+	.A(n_13866),
+	.B(n_13864),
+	.C(n_13863),
+	.Y(n_15837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846812 (
+	.A(n_13861),
+	.B(n_13842),
+	.C(n_13829),
+	.Y(n_15836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846813 (
+	.A(n_13854),
+	.B(n_13855),
+	.C(n_13850),
+	.Y(n_15835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846814 (
+	.A(n_13847),
+	.B(n_13846),
+	.C(n_13845),
+	.Y(n_15834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846815 (
+	.A(n_13843),
+	.B(n_13840),
+	.C(n_13841),
+	.Y(n_15833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846816 (
+	.A(n_13835),
+	.B(n_13838),
+	.C(n_13836),
+	.Y(n_15832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846817 (
+	.A(n_13830),
+	.B(n_13833),
+	.C(n_13831),
+	.Y(n_15831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846818 (
+	.A(n_13825),
+	.B(n_13811),
+	.C(n_13834),
+	.Y(n_15830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g846819 (
+	.A1(n_354),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[747]),
+	.B1(n_496),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[843]),
+	.C1(n_15630),
+	.X(n_15829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846820 (
+	.A(n_13786),
+	.B(n_13790),
+	.C(n_13797),
+	.Y(n_15828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846821 (
+	.A(n_13803),
+	.B(n_13800),
+	.C(n_13801),
+	.Y(n_15827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846822 (
+	.A(n_13796),
+	.B(n_13795),
+	.C(n_13798),
+	.Y(n_15826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846823 (
+	.A(n_13792),
+	.B(n_13776),
+	.C(n_13759),
+	.Y(n_15825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846824 (
+	.A(n_13791),
+	.B(n_14000),
+	.C(n_13788),
+	.Y(n_15824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846825 (
+	.A(n_13785),
+	.B(n_13783),
+	.C(n_13784),
+	.Y(n_15823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846826 (
+	.A(n_13782),
+	.B(n_13781),
+	.C(n_13779),
+	.Y(n_15822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846827 (
+	.A(n_13774),
+	.B(n_13767),
+	.C(n_13771),
+	.Y(n_15821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846828 (
+	.A(n_13777),
+	.B(n_13773),
+	.C(n_13775),
+	.Y(n_15820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846829 (
+	.A(n_13758),
+	.B(n_13761),
+	.C(n_13755),
+	.Y(n_15819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846830 (
+	.A(n_13757),
+	.B(n_13754),
+	.C(n_13747),
+	.Y(n_15818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846831 (
+	.A(n_14075),
+	.B(n_13760),
+	.C(n_13756),
+	.Y(n_15817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846832 (
+	.A(n_13751),
+	.B(n_13753),
+	.C(n_13752),
+	.Y(n_15816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846833 (
+	.A(n_13748),
+	.B(n_13749),
+	.C(n_13750),
+	.Y(n_15815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846834 (
+	.A(n_13746),
+	.B(n_13744),
+	.C(n_13745),
+	.Y(n_15814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846835 (
+	.A(n_13741),
+	.B(n_13742),
+	.C(n_13735),
+	.Y(n_15813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846836 (
+	.A(n_13729),
+	.B(n_13732),
+	.C(n_13738),
+	.Y(n_15812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846837 (
+	.A(n_13737),
+	.B(n_13740),
+	.C(n_13736),
+	.Y(n_15811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846838 (
+	.A(n_13731),
+	.B(n_13728),
+	.C(n_13725),
+	.Y(n_15810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846839 (
+	.A(n_13733),
+	.B(n_13734),
+	.C(n_13730),
+	.Y(n_15809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846840 (
+	.A(n_13724),
+	.B(n_13726),
+	.C(n_13727),
+	.Y(n_15808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846841 (
+	.A(n_13722),
+	.B(n_13697),
+	.C(n_13715),
+	.Y(n_15807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846842 (
+	.A(n_13719),
+	.B(n_13718),
+	.C(n_13721),
+	.Y(n_15806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846843 (
+	.A(n_14963),
+	.B(n_14744),
+	.C(n_13365),
+	.Y(n_15805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846844 (
+	.A(n_13717),
+	.B(n_13712),
+	.C(n_13710),
+	.Y(n_15804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846845 (
+	.A(n_13698),
+	.B(n_13703),
+	.C(n_13695),
+	.Y(n_15803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846846 (
+	.A(n_13654),
+	.B(n_13647),
+	.C(n_13669),
+	.Y(n_15802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846847 (
+	.A(n_13665),
+	.B(n_13666),
+	.C(n_13663),
+	.Y(n_15801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846848 (
+	.A(n_13660),
+	.B(n_13657),
+	.C(n_13659),
+	.Y(n_15800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846849 (
+	.A(n_13656),
+	.B(n_13653),
+	.C(n_13649),
+	.Y(n_15799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846850 (
+	.A(n_13638),
+	.B(n_13635),
+	.C(n_13641),
+	.Y(n_15798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846851 (
+	.A(n_13624),
+	.B(n_13626),
+	.C(n_13633),
+	.Y(n_15797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846852 (
+	.A(n_13622),
+	.B(n_13625),
+	.C(n_13628),
+	.Y(n_15796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846853 (
+	.A(n_13621),
+	.B(n_13620),
+	.C(n_13623),
+	.Y(n_15795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846854 (
+	.A(n_13598),
+	.B(n_13590),
+	.C(n_13613),
+	.Y(n_15794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846855 (
+	.A(n_13606),
+	.B(n_13611),
+	.C(n_13616),
+	.Y(n_15793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846856 (
+	.A(n_13609),
+	.B(n_13612),
+	.C(n_13615),
+	.Y(n_15792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846857 (
+	.A(n_13617),
+	.B(n_13614),
+	.C(n_13618),
+	.Y(n_15791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846858 (
+	.A(n_13610),
+	.B(n_13608),
+	.C(n_13607),
+	.Y(n_15790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846859 (
+	.A(n_13603),
+	.B(n_13602),
+	.C(n_13601),
+	.Y(n_15789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846860 (
+	.A(n_13593),
+	.B(n_13586),
+	.C(n_13581),
+	.Y(n_15788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846861 (
+	.A(n_13565),
+	.B(n_13572),
+	.C(n_13563),
+	.Y(n_15787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846862 (
+	.A(n_13538),
+	.B(n_13552),
+	.C(n_13526),
+	.Y(n_15786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846863 (
+	.A(n_13543),
+	.B(n_13542),
+	.C(n_13549),
+	.Y(n_15785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846864 (
+	.A(n_13544),
+	.B(n_13546),
+	.C(n_13547),
+	.Y(n_15784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846865 (
+	.A(n_13540),
+	.B(n_13541),
+	.C(n_13536),
+	.Y(n_15783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846866 (
+	.A(n_13537),
+	.B(n_13530),
+	.C(n_13534),
+	.Y(n_15782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846867 (
+	.A(n_13523),
+	.B(n_13533),
+	.C(n_13528),
+	.Y(n_15781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846868 (
+	.A(n_13522),
+	.B(n_13516),
+	.C(n_13519),
+	.Y(n_15780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846869 (
+	.A(n_13502),
+	.B(n_13503),
+	.C(n_13508),
+	.Y(n_15779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846870 (
+	.A(n_13500),
+	.B(n_13498),
+	.C(n_13501),
+	.Y(n_15778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846871 (
+	.A(n_13490),
+	.B(n_13495),
+	.C(n_13488),
+	.Y(n_15777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846872 (
+	.A(n_13494),
+	.B(n_13492),
+	.C(n_13496),
+	.Y(n_15776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846873 (
+	.A(n_13487),
+	.B(n_13486),
+	.C(n_13489),
+	.Y(n_15775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846874 (
+	.A(n_13480),
+	.B(n_13481),
+	.C(n_13483),
+	.Y(n_15774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846875 (
+	.A(n_13482),
+	.B(n_13478),
+	.C(n_13475),
+	.Y(n_15773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846876 (
+	.A(n_13470),
+	.B(n_13467),
+	.C(n_13471),
+	.Y(n_15772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846877 (
+	.A(n_13461),
+	.B(n_13466),
+	.C(n_13459),
+	.Y(n_15771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846878 (
+	.A(n_14206),
+	.B(n_14204),
+	.C(n_14208),
+	.Y(n_15770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846879 (
+	.A(n_13431),
+	.B(n_13424),
+	.C(n_13434),
+	.Y(n_15769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846880 (
+	.A(n_13426),
+	.B(n_13427),
+	.C(n_13429),
+	.Y(n_15768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846881 (
+	.A(n_13417),
+	.B(n_13409),
+	.C(n_13408),
+	.Y(n_15767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846882 (
+	.A(n_13414),
+	.B(n_14153),
+	.C(n_13416),
+	.Y(n_15766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846883 (
+	.A(n_13418),
+	.B(n_13419),
+	.C(n_13422),
+	.Y(n_15765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846884 (
+	.A(n_13405),
+	.B(n_13401),
+	.C(n_13398),
+	.Y(n_15764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846885 (
+	.A(n_13384),
+	.B(n_13386),
+	.C(n_13390),
+	.Y(n_15763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846886 (
+	.A(n_13346),
+	.B(n_13374),
+	.C(n_13358),
+	.Y(n_15762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846887 (
+	.A(n_13380),
+	.B(n_13382),
+	.C(n_13383),
+	.Y(n_15761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846888 (
+	.A(n_13370),
+	.B(n_13376),
+	.C(n_13371),
+	.Y(n_15760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846889 (
+	.A(n_13377),
+	.B(n_13375),
+	.C(n_13372),
+	.Y(n_15759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846890 (
+	.A(n_13367),
+	.B(n_13366),
+	.C(n_13369),
+	.Y(n_15758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846891 (
+	.A(n_13357),
+	.B(n_13360),
+	.C(n_13362),
+	.Y(n_15757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846892 (
+	.A(n_13292),
+	.B(n_13311),
+	.C(n_13284),
+	.Y(n_15756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846893 (
+	.A(n_13313),
+	.B(n_13308),
+	.C(n_13310),
+	.Y(n_15755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846894 (
+	.A(n_13303),
+	.B(n_13296),
+	.C(n_13295),
+	.Y(n_15754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846895 (
+	.A(n_13298),
+	.B(n_13301),
+	.C(n_13297),
+	.Y(n_15753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846896 (
+	.A(n_13299),
+	.B(n_13302),
+	.C(n_13300),
+	.Y(n_15752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846897 (
+	.A(n_13290),
+	.B(n_13289),
+	.C(n_13294),
+	.Y(n_15751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846898 (
+	.A(n_13288),
+	.B(n_13291),
+	.C(n_13287),
+	.Y(n_15750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846899 (
+	.A(n_13279),
+	.B(n_13282),
+	.C(n_13274),
+	.Y(n_15749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846900 (
+	.A(n_13275),
+	.B(n_13281),
+	.C(n_13278),
+	.Y(n_15748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846901 (
+	.A(n_13268),
+	.B(n_13270),
+	.C(n_13266),
+	.Y(n_15747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846902 (
+	.A(n_13254),
+	.B(n_13253),
+	.C(n_13261),
+	.Y(n_15746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846903 (
+	.A(n_13257),
+	.B(n_13262),
+	.C(n_13258),
+	.Y(n_15745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846904 (
+	.A(n_13228),
+	.B(n_13247),
+	.C(n_13215),
+	.Y(n_15744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846905 (
+	.A(n_13236),
+	.B(n_13230),
+	.C(n_13242),
+	.Y(n_15743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846906 (
+	.A(n_13224),
+	.B(n_13226),
+	.C(n_13222),
+	.Y(n_15742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846907 (
+	.A(n_13216),
+	.B(n_13218),
+	.C(n_13213),
+	.Y(n_15741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846908 (
+	.A(n_13217),
+	.B(n_15534),
+	.C(n_13221),
+	.Y(n_15740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846909 (
+	.A(n_13214),
+	.B(n_13208),
+	.C(n_13219),
+	.Y(n_15739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846910 (
+	.A(n_13210),
+	.B(n_13212),
+	.C(n_13211),
+	.Y(n_15738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846911 (
+	.A(n_13209),
+	.B(n_13205),
+	.C(n_13207),
+	.Y(n_15737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846912 (
+	.A(n_13206),
+	.B(n_15580),
+	.C(n_13204),
+	.Y(n_15736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846913 (
+	.A(n_13196),
+	.B(n_13195),
+	.C(n_13201),
+	.Y(n_15735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846914 (
+	.A(n_13202),
+	.B(n_13197),
+	.C(n_13198),
+	.Y(n_15734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846915 (
+	.A(n_13199),
+	.B(n_13203),
+	.C(n_13200),
+	.Y(n_15733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846916 (
+	.A(n_13192),
+	.B(n_13190),
+	.C(n_13194),
+	.Y(n_15732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846917 (
+	.A(n_13161),
+	.B(n_13189),
+	.C(n_13172),
+	.Y(n_15731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846918 (
+	.A(n_13181),
+	.B(n_13185),
+	.C(n_13184),
+	.Y(n_15730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846919 (
+	.A(n_13155),
+	.B(n_13160),
+	.C(n_13157),
+	.Y(n_15729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846920 (
+	.A(n_13152),
+	.B(n_13034),
+	.C(n_13149),
+	.Y(n_15728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846921 (
+	.A(n_13148),
+	.B(n_13147),
+	.C(n_13145),
+	.Y(n_15727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846922 (
+	.A(n_13146),
+	.B(n_13144),
+	.C(n_13143),
+	.Y(n_15726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846923 (
+	.A(n_13136),
+	.B(n_13133),
+	.C(n_13142),
+	.Y(n_15725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846924 (
+	.A(n_13135),
+	.B(n_13140),
+	.C(n_13138),
+	.Y(n_15724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846925 (
+	.A(n_13141),
+	.B(n_13139),
+	.C(n_13137),
+	.Y(n_15723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846926 (
+	.A(n_13128),
+	.B(n_13126),
+	.C(n_13130),
+	.Y(n_15722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846927 (
+	.A(n_13113),
+	.B(n_13117),
+	.C(n_13120),
+	.Y(n_15721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846928 (
+	.A(n_13116),
+	.B(n_13121),
+	.C(n_13115),
+	.Y(n_15720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846929 (
+	.A(n_13109),
+	.B(n_13107),
+	.C(n_13105),
+	.Y(n_15719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846930 (
+	.A(n_13094),
+	.B(n_13095),
+	.C(n_13103),
+	.Y(n_15718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846931 (
+	.A(n_13104),
+	.B(n_13102),
+	.C(n_13099),
+	.Y(n_15717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846932 (
+	.A(n_13101),
+	.B(n_13098),
+	.C(n_13097),
+	.Y(n_15716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846933 (
+	.A(n_13091),
+	.B(n_13096),
+	.C(n_13682),
+	.Y(n_15715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846934 (
+	.A(n_13073),
+	.B(n_13085),
+	.C(n_13079),
+	.Y(n_15714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g846935 (
+	.A1(n_355),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[238]),
+	.B1(n_360),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[270]),
+	.C1(n_15627),
+	.X(n_15713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846936 (
+	.A(n_13088),
+	.B(n_13084),
+	.C(n_13086),
+	.Y(n_15712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846937 (
+	.A(n_13080),
+	.B(n_13077),
+	.C(n_13075),
+	.Y(n_15711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846938 (
+	.A(n_13071),
+	.B(n_13069),
+	.C(n_13072),
+	.Y(n_15710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846939 (
+	.A(n_13070),
+	.B(n_13068),
+	.C(n_13067),
+	.Y(n_15709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846940 (
+	.A(n_13062),
+	.B(n_13066),
+	.C(n_13065),
+	.Y(n_15708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846941 (
+	.A(n_13061),
+	.B(n_13057),
+	.C(n_13059),
+	.Y(n_15707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846942 (
+	.A(n_13050),
+	.B(n_13047),
+	.C(n_13048),
+	.Y(n_15706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846943 (
+	.A(n_13040),
+	.B(n_13043),
+	.C(n_13042),
+	.Y(n_15705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846944 (
+	.A(n_13044),
+	.B(n_13039),
+	.C(n_13041),
+	.Y(n_15704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846945 (
+	.A(n_13035),
+	.B(n_13036),
+	.C(n_13033),
+	.Y(n_15703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846946 (
+	.A(n_13030),
+	.B(n_13029),
+	.C(n_13027),
+	.Y(n_15702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846947 (
+	.A(n_13024),
+	.B(n_13022),
+	.C(n_13028),
+	.Y(n_15701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846948 (
+	.A(n_13025),
+	.B(n_13021),
+	.C(n_13023),
+	.Y(n_15700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846949 (
+	.A(n_13009),
+	.B(n_13015),
+	.C(n_13006),
+	.Y(n_15699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846950 (
+	.A(n_12990),
+	.B(n_12989),
+	.C(n_12995),
+	.Y(n_15698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846951 (
+	.A(n_12992),
+	.B(n_12993),
+	.C(n_12994),
+	.Y(n_15697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846952 (
+	.A(n_12986),
+	.B(n_12987),
+	.C(n_12988),
+	.Y(n_15696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846953 (
+	.A(n_12974),
+	.B(n_12981),
+	.C(n_12978),
+	.Y(n_15695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846954 (
+	.A(n_12984),
+	.B(n_12983),
+	.C(n_12982),
+	.Y(n_15694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846955 (
+	.A(n_12975),
+	.B(n_12977),
+	.C(n_12979),
+	.Y(n_15693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846956 (
+	.A(n_12971),
+	.B(n_12973),
+	.C(n_12972),
+	.Y(n_15692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846957 (
+	.A(n_12968),
+	.B(n_12969),
+	.C(n_12967),
+	.Y(n_15691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846958 (
+	.A(n_14976),
+	.B(n_14340),
+	.C(n_14982),
+	.Y(n_15690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846959 (
+	.A(n_12949),
+	.B(n_12950),
+	.C(n_12947),
+	.Y(n_15689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846960 (
+	.A(n_15303),
+	.B(n_12946),
+	.C(n_12945),
+	.Y(n_15688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846961 (
+	.A(n_12940),
+	.B(n_12942),
+	.C(n_12941),
+	.Y(n_15687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846962 (
+	.A(n_12927),
+	.B(n_12936),
+	.C(n_12919),
+	.Y(n_15686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846963 (
+	.A(n_12934),
+	.B(n_15382),
+	.C(n_12933),
+	.Y(n_15685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846964 (
+	.A(n_12904),
+	.B(n_12903),
+	.C(n_12905),
+	.Y(n_15684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846965 (
+	.A(n_12892),
+	.B(n_12896),
+	.C(n_12884),
+	.Y(n_15683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846966 (
+	.A(n_12899),
+	.B(n_12900),
+	.C(n_12898),
+	.Y(n_15682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846967 (
+	.A(n_12894),
+	.B(n_12893),
+	.C(n_12890),
+	.Y(n_15681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846968 (
+	.A(n_12885),
+	.B(n_12886),
+	.C(n_12887),
+	.Y(n_15680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846969 (
+	.A(n_12881),
+	.B(n_12882),
+	.C(n_12879),
+	.Y(n_15679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846970 (
+	.A(n_12877),
+	.B(n_12878),
+	.C(n_13013),
+	.Y(n_15678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846971 (
+	.A(n_12866),
+	.B(n_12854),
+	.C(n_12842),
+	.Y(n_15677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846972 (
+	.A(n_12865),
+	.B(n_12859),
+	.C(n_12850),
+	.Y(n_15676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846973 (
+	.A(n_12857),
+	.B(n_12861),
+	.C(n_12864),
+	.Y(n_15675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846974 (
+	.A(n_12860),
+	.B(n_12863),
+	.C(n_12862),
+	.Y(n_15674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846975 (
+	.A(n_12858),
+	.B(n_12855),
+	.C(n_12856),
+	.Y(n_15673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846976 (
+	.A(n_12851),
+	.B(n_12853),
+	.C(n_12852),
+	.Y(n_15672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846977 (
+	.A(n_12838),
+	.B(n_12845),
+	.C(n_12849),
+	.Y(n_15671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846978 (
+	.A(n_12846),
+	.B(n_12848),
+	.C(n_12844),
+	.Y(n_15670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846979 (
+	.A(n_12834),
+	.B(n_12827),
+	.C(n_12818),
+	.Y(n_15669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846980 (
+	.A(n_12795),
+	.B(n_12788),
+	.C(n_12805),
+	.Y(n_15668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846981 (
+	.A(n_12809),
+	.B(n_13739),
+	.C(n_12810),
+	.Y(n_15667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846982 (
+	.A(n_12806),
+	.B(n_12791),
+	.C(n_12799),
+	.Y(n_15666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846983 (
+	.A(n_12802),
+	.B(n_12803),
+	.C(n_12800),
+	.Y(n_15665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846984 (
+	.A(n_12796),
+	.B(n_12792),
+	.C(n_12793),
+	.Y(n_15664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846985 (
+	.A(n_12789),
+	.B(n_12785),
+	.C(n_12787),
+	.Y(n_15663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846986 (
+	.A(n_12782),
+	.B(n_12779),
+	.C(n_12780),
+	.Y(n_15662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846987 (
+	.A(n_12767),
+	.B(n_12773),
+	.C(n_12778),
+	.Y(n_15661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846988 (
+	.A(n_12772),
+	.B(n_12777),
+	.C(n_12770),
+	.Y(n_15660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846989 (
+	.A(n_12776),
+	.B(n_12775),
+	.C(n_12774),
+	.Y(n_15659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g846990 (
+	.A1(n_354),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[740]),
+	.B1(n_504),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[868]),
+	.C1(n_15637),
+	.X(n_15658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846991 (
+	.A(n_12760),
+	.B(n_12756),
+	.C(n_12764),
+	.Y(n_15657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846992 (
+	.A(n_12738),
+	.B(n_12722),
+	.C(n_12754),
+	.Y(n_15656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846993 (
+	.A(n_12757),
+	.B(n_12755),
+	.C(n_12758),
+	.Y(n_15655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846994 (
+	.A(n_12748),
+	.B(n_12746),
+	.C(n_12752),
+	.Y(n_15654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846995 (
+	.A(n_12750),
+	.B(n_12749),
+	.C(n_12751),
+	.Y(n_15653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846996 (
+	.A(n_12742),
+	.B(n_12743),
+	.C(n_12745),
+	.Y(n_15652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846997 (
+	.A(n_12736),
+	.B(n_12740),
+	.C(n_12730),
+	.Y(n_15651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846998 (
+	.A(n_12735),
+	.B(n_12739),
+	.C(n_12737),
+	.Y(n_15650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g846999 (
+	.A(n_15601),
+	.B(n_15615),
+	.C(n_12702),
+	.Y(n_15649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g847000 (
+	.A(n_12701),
+	.B(n_12704),
+	.C(n_12703),
+	.Y(n_15648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g847001 (
+	.A(n_15609),
+	.B(n_15621),
+	.C(n_12700),
+	.Y(n_15647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g847002 (
+	.A(n_15623),
+	.B(n_15622),
+	.C(n_12696),
+	.Y(n_15646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g847003 (
+	.A(n_12395),
+	.Y(n_15642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g847004 (
+	.A1(n_498),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[900]),
+	.B1(n_510),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[772]),
+	.C1(n_15618),
+	.X(n_15637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g847005 (
+	.A1(n_498),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[899]),
+	.B1(n_357),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[931]),
+	.C1(n_15475),
+	.Y(n_15636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g847006 (
+	.A(n_14163),
+	.B(n_14160),
+	.Y(n_15645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g847007 (
+	.A(n_14162),
+	.B(n_14160),
+	.Y(n_15644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g847008 (
+	.A(n_14163),
+	.B(n_14161),
+	.Y(n_15643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g847009 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [16]),
+	.B(n_32206),
+	.X(n_32360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g847011 (
+	.A(n_14162),
+	.B(n_14161),
+	.Y(n_15641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g847012 (
+	.A(n_14164),
+	.B(n_14166),
+	.Y(n_15640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g847013 (
+	.A(n_14166),
+	.B(n_14164),
+	.Y(n_15639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g847014 (
+	.A(n_14164),
+	.B(n_14165),
+	.Y(n_15638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g847015 (
+	.A1(n_12524),
+	.A2(n_12525),
+	.B1(n_13484),
+	.Y(n_15635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g847016 (
+	.A1(n_498),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[909]),
+	.B1(n_357),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[941]),
+	.C1(n_14726),
+	.X(n_15634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g847017 (
+	.A1(n_360),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[266]),
+	.B1(n_356),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[298]),
+	.C1(n_14040),
+	.X(n_15633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g847018 (
+	.A1(n_355),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[239]),
+	.B1(n_360),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[271]),
+	.C1(n_13934),
+	.X(n_15632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g847019 (
+	.A1(n_495),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[408]),
+	.B1(n_353),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[376]),
+	.C1(n_13701),
+	.X(n_15631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g847020 (
+	.A1(n_498),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[907]),
+	.B1(n_504),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[875]),
+	.C1(n_13778),
+	.X(n_15630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g847021 (
+	.A1(n_495),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[387]),
+	.B1(n_353),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[355]),
+	.C1(n_12821),
+	.X(n_15629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g847022 (
+	.A1(n_355),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[241]),
+	.B1(n_360),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[273]),
+	.C1(n_13423),
+	.X(n_15628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g847023 (
+	.A1(n_503),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[430]),
+	.B1(n_495),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[398]),
+	.C1(n_12996),
+	.X(n_15627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g847024 (
+	.A(n_12694),
+	.B(n_31427),
+	.C(n_31428),
+	.Y(n_31056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g847025 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[739]),
+	.A2(n_354),
+	.B1(n_510),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[771]),
+	.C1(n_506),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[963]),
+	.Y(n_15626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g847026 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[579]),
+	.A2(n_505),
+	.B1(n_352),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[643]),
+	.C1(n_494),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[611]),
+	.Y(n_15625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847027 (
+	.A1(n_20169),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2659]),
+	.B1(n_11632),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2636]),
+	.Y(n_15624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847028 (
+	.A1(n_6017),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_samedw[2]),
+	.B1(n_6019),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_samedw[1]),
+	.Y(n_326111_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847029 (
+	.A1(n_6019),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sideeffect[1]),
+	.B1(n_6018),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sideeffect[3]),
+	.Y(n_327053_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847030 (
+	.A1(n_20187),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2913]),
+	.B1(n_20250),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2936]),
+	.Y(n_15623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847031 (
+	.A1(n_20178),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2890]),
+	.B1(n_11637),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2867]),
+	.Y(n_15622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847032 (
+	.A1(n_20254),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1272]),
+	.B1(n_11431),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1249]),
+	.Y(n_15621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847033 (
+	.A1(n_17733),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [968]),
+	.B1(n_17709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [991]),
+	.Y(n_15620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847034 (
+	.A1(n_20170),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2086]),
+	.B1(n_20176),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2109]),
+	.Y(n_15619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g847035 (
+	.A1(n_357),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[932]),
+	.B1(n_506),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[964]),
+	.X(n_15618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847036 (
+	.A1(n_17727),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1060]),
+	.B1(n_11399),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1083]),
+	.Y(n_15617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847037 (
+	.A1(n_20209),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1510]),
+	.B1(n_20208),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1487]),
+	.Y(n_15616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847038 (
+	.A1(n_17644),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2328]),
+	.B1(n_17684),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2305]),
+	.Y(n_15615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847039 (
+	.A1(n_20263),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1602]),
+	.B1(n_20198),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1579]),
+	.Y(n_15614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847040 (
+	.A1(n_20206),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1556]),
+	.B1(n_20205),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1533]),
+	.Y(n_15613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847041 (
+	.A1(n_17732),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [807]),
+	.B1(n_17739),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [784]),
+	.Y(n_15612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847042 (
+	.A1(n_11552),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [761]),
+	.B1(n_11484),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [738]),
+	.Y(n_15611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847043 (
+	.A1(n_11469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1740]),
+	.B1(n_20233),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1717]),
+	.Y(n_15610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847044 (
+	.A1(n_11439),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1226]),
+	.B1(n_11432),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1203]),
+	.Y(n_15609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847045 (
+	.A1(n_20223),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1832]),
+	.B1(n_20221),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1809]),
+	.Y(n_15608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847046 (
+	.A1(n_17744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [830]),
+	.B1(n_11430),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [853]),
+	.Y(n_15607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847047 (
+	.A1(n_20231),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1786]),
+	.B1(n_20225),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1763]),
+	.Y(n_15606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847048 (
+	.A1(n_17722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [876]),
+	.B1(n_17723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [899]),
+	.Y(n_15605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847049 (
+	.A1(n_11403),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [38]),
+	.B1(n_11434),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [15]),
+	.Y(n_15604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847050 (
+	.A1(n_20256),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [107]),
+	.B1(n_20157),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [130]),
+	.Y(n_15603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847051 (
+	.A1(n_20207),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [695]),
+	.B1(n_11556),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [718]),
+	.Y(n_15602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847052 (
+	.A1(n_17681),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2213]),
+	.B1(n_11582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2236]),
+	.Y(n_15601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847053 (
+	.A1(n_12498),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [176]),
+	.B1(n_20262),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [153]),
+	.Y(n_15600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847054 (
+	.A1(n_17690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1934]),
+	.B1(n_17669),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1957]),
+	.Y(n_15599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847055 (
+	.A1(n_20226),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1364]),
+	.B1(n_11398),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1341]),
+	.Y(n_15598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847056 (
+	.A1(n_17702),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1865]),
+	.B1(n_17654),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1842]),
+	.Y(n_15597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847057 (
+	.A1(n_20246),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [199]),
+	.B1(n_20253),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [222]),
+	.Y(n_15596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847058 (
+	.A1(n_11598),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [360]),
+	.B1(n_20224),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [337]),
+	.Y(n_15595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847059 (
+	.A1(n_20237),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [245]),
+	.B1(n_20240),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [268]),
+	.Y(n_15594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847060 (
+	.A1(n_11428),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1888]),
+	.B1(n_11440),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1911]),
+	.Y(n_15593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847061 (
+	.A1(n_20236),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1433]),
+	.B1(n_20197),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1456]),
+	.Y(n_15592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847062 (
+	.A1(n_11609),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1878]),
+	.B1(n_20194),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1855]),
+	.Y(n_15591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847063 (
+	.A1(n_17661),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1980]),
+	.B1(n_17664),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2003]),
+	.Y(n_15590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847064 (
+	.A1(n_11420),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1901]),
+	.B1(n_20211),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1924]),
+	.Y(n_15589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847065 (
+	.A1(n_20260),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1993]),
+	.B1(n_20261),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2016]),
+	.Y(n_15588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847066 (
+	.A1(n_20210),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1410]),
+	.B1(n_20203),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1387]),
+	.Y(n_15587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847067 (
+	.A1(n_11437),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2072]),
+	.B1(n_17615),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2095]),
+	.Y(n_15586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847068 (
+	.A1(n_20245),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1947]),
+	.B1(n_20229),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1970]),
+	.Y(n_15585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847069 (
+	.A1(n_20168),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2062]),
+	.B1(n_11423),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2039]),
+	.Y(n_15584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847070 (
+	.A1(n_17668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2049]),
+	.B1(n_11584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2026]),
+	.Y(n_15583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847071 (
+	.A1(n_20170),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2085]),
+	.B1(n_20176),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2108]),
+	.Y(n_15582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847072 (
+	.A1(n_11479),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2177]),
+	.B1(n_20165),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2200]),
+	.Y(n_15581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847073 (
+	.A1(n_20204),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2494]),
+	.B1(n_11547),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2517]),
+	.Y(n_15580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847074 (
+	.A1(n_17675),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2164]),
+	.B1(n_11624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2187]),
+	.Y(n_15579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847075 (
+	.A1(n_11422),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2131]),
+	.B1(n_11478),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2154]),
+	.Y(n_15578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847076 (
+	.A1(n_17631),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2118]),
+	.B1(n_17634),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2141]),
+	.Y(n_15577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847077 (
+	.A1(n_20148),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [774]),
+	.B1(n_20147),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [751]),
+	.Y(n_15576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847078 (
+	.A1(n_17716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [166]),
+	.B1(n_17715),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [143]),
+	.Y(n_15575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847079 (
+	.A1(n_20259),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [843]),
+	.B1(n_11489),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [866]),
+	.Y(n_15574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847080 (
+	.A1(n_11400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [889]),
+	.B1(n_11490),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [912]),
+	.Y(n_15573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847081 (
+	.A1(n_20214),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1640]),
+	.B1(n_20212),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1617]),
+	.Y(n_15572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847082 (
+	.A1(n_17621),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1497]),
+	.B1(n_17618),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1474]),
+	.Y(n_15571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847083 (
+	.A1(n_20138),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [958]),
+	.B1(n_20215),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [935]),
+	.Y(n_15570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847084 (
+	.A1(n_20180),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1073]),
+	.B1(n_20151),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1096]),
+	.Y(n_15569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847085 (
+	.A1(n_20142),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1050]),
+	.B1(n_20154),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1027]),
+	.Y(n_15568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847086 (
+	.A1(n_17632),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1589]),
+	.B1(n_17673),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1566]),
+	.Y(n_15567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847087 (
+	.A1(n_20263),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1594]),
+	.B1(n_20198),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1571]),
+	.Y(n_15566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847088 (
+	.A1(n_17633),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1635]),
+	.B1(n_17648),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1612]),
+	.Y(n_15565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847089 (
+	.A1(n_20220),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [452]),
+	.B1(n_20177),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [429]),
+	.Y(n_15564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847090 (
+	.A1(n_20210),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1408]),
+	.B1(n_20203),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1385]),
+	.Y(n_15563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847091 (
+	.A1(n_20174),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [521]),
+	.B1(n_11567),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [544]),
+	.Y(n_15562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847092 (
+	.A1(n_17717),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [97]),
+	.B1(n_17718),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [120]),
+	.Y(n_15561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847093 (
+	.A1(n_20206),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1548]),
+	.B1(n_20205),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1525]),
+	.Y(n_15560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847094 (
+	.A1(n_17670),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1681]),
+	.B1(n_17667),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1658]),
+	.Y(n_15559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847095 (
+	.A1(n_11459),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [613]),
+	.B1(n_11581),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [636]),
+	.Y(n_15558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847096 (
+	.A1(n_20207),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [705]),
+	.B1(n_11556),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [728]),
+	.Y(n_15557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847097 (
+	.A1(n_17674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1819]),
+	.B1(n_17671),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1796]),
+	.Y(n_15556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847098 (
+	.A1(n_11404),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [659]),
+	.B1(n_20244),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [682]),
+	.Y(n_15555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847099 (
+	.A1(n_17674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1826]),
+	.B1(n_17671),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1803]),
+	.Y(n_15554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847100 (
+	.A1(n_17680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1773]),
+	.B1(n_17677),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1750]),
+	.Y(n_15553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847101 (
+	.A1(n_17745),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1418]),
+	.B1(n_17728),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1395]),
+	.Y(n_15552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847102 (
+	.A1(n_11454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1303]),
+	.B1(n_17741),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1326]),
+	.Y(n_15551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847103 (
+	.A1(n_17746),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1441]),
+	.B1(n_17724),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1464]),
+	.Y(n_15550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847104 (
+	.A1(n_17743),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1372]),
+	.B1(n_11600),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1349]),
+	.Y(n_15549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847105 (
+	.A1(n_20223),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1824]),
+	.B1(n_20221),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1801]),
+	.Y(n_15548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847106 (
+	.A1(n_11471),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2683]),
+	.B1(n_11421),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2706]),
+	.Y(n_15547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847107 (
+	.A1(n_17672),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2591]),
+	.B1(n_17612),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2614]),
+	.Y(n_15546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847108 (
+	.A1(n_11472),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2729]),
+	.B1(n_17617),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2752]),
+	.Y(n_15545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847109 (
+	.A1(n_17703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2660]),
+	.B1(n_17699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2637]),
+	.Y(n_15544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847110 (
+	.A1(n_17745),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1405]),
+	.B1(n_17728),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1382]),
+	.Y(n_15543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847111 (
+	.A1(n_11454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1290]),
+	.B1(n_17741),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1313]),
+	.Y(n_15542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847112 (
+	.A1(n_17714),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [74]),
+	.B1(n_11413),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [51]),
+	.Y(n_15541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847113 (
+	.A1(n_17620),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2890]),
+	.B1(n_17614),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2867]),
+	.Y(n_15540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847114 (
+	.A1(n_17695),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2775]),
+	.B1(n_17613),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2798]),
+	.Y(n_15539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847115 (
+	.A1(n_11469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1732]),
+	.B1(n_20233),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1709]),
+	.Y(n_15538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847116 (
+	.A1(n_17635),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2913]),
+	.B1(n_17686),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2936]),
+	.Y(n_15537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847117 (
+	.A1(n_17638),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2844]),
+	.B1(n_17611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2821]),
+	.Y(n_15536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847118 (
+	.A1(n_17746),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1428]),
+	.B1(n_17724),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1451]),
+	.Y(n_15535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847119 (
+	.A1(n_20162),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [815]),
+	.B1(n_20145),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [792]),
+	.Y(n_15534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847120 (
+	.A1(n_17681),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2223]),
+	.B1(n_11582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2246]),
+	.Y(n_15533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847121 (
+	.A1(n_17743),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1359]),
+	.B1(n_11600),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1336]),
+	.Y(n_15532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847122 (
+	.A1(n_17644),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2338]),
+	.B1(n_17684),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2315]),
+	.Y(n_15531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847123 (
+	.A1(n_17689),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2384]),
+	.B1(n_17641),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2361]),
+	.Y(n_15530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847124 (
+	.A1(n_17692),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2269]),
+	.B1(n_17693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2292]),
+	.Y(n_15529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847125 (
+	.A1(n_20231),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1778]),
+	.B1(n_20225),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1755]),
+	.Y(n_15528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847126 (
+	.A1(n_20246),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [193]),
+	.B1(n_20253),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [216]),
+	.Y(n_15527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847127 (
+	.A1(n_17719),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1142]),
+	.B1(n_11605),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1119]),
+	.Y(n_15526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847128 (
+	.A1(n_17736),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1280]),
+	.B1(n_17735),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1257]),
+	.Y(n_15525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847129 (
+	.A1(n_17719),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1129]),
+	.B1(n_11605),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1106]),
+	.Y(n_15524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847130 (
+	.A1(n_11608),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1165]),
+	.B1(n_11451),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1188]),
+	.Y(n_15523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847131 (
+	.A1(n_17698),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2430]),
+	.B1(n_11565),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2407]),
+	.Y(n_15522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847132 (
+	.A1(n_20226),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1362]),
+	.B1(n_11398),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1339]),
+	.Y(n_15521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847133 (
+	.A1(n_11406),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2453]),
+	.B1(n_17666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2476]),
+	.Y(n_15520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847134 (
+	.A1(n_11608),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1152]),
+	.B1(n_11451),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1175]),
+	.Y(n_15519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847135 (
+	.A1(n_17690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1947]),
+	.B1(n_17669),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1970]),
+	.Y(n_15518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847136 (
+	.A1(n_11428),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1901]),
+	.B1(n_11440),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1924]),
+	.Y(n_15517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847137 (
+	.A1(n_17661),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1993]),
+	.B1(n_17664),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2016]),
+	.Y(n_15516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847138 (
+	.A1(n_20204),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2491]),
+	.B1(n_11547),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2514]),
+	.Y(n_15515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847139 (
+	.A1(n_17631),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2131]),
+	.B1(n_17634),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2154]),
+	.Y(n_15514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847140 (
+	.A1(n_11448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2560]),
+	.B1(n_11622),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2537]),
+	.Y(n_15513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847141 (
+	.A1(n_17675),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2177]),
+	.B1(n_11624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2200]),
+	.Y(n_15512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847142 (
+	.A1(n_17694),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [370]),
+	.B1(n_11647),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [393]),
+	.Y(n_15511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847143 (
+	.A1(n_17640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [304]),
+	.B1(n_17629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [281]),
+	.Y(n_15510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847144 (
+	.A1(n_17627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [350]),
+	.B1(n_17626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [327]),
+	.Y(n_15509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847145 (
+	.A1(n_17647),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [567]),
+	.B1(n_17650),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [590]),
+	.Y(n_15508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847146 (
+	.A1(n_17619),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [508]),
+	.B1(n_17679),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [531]),
+	.Y(n_15507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847147 (
+	.A1(n_17637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [705]),
+	.B1(n_17630),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [728]),
+	.Y(n_15506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847148 (
+	.A1(n_17643),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [613]),
+	.B1(n_17645),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [636]),
+	.Y(n_15505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847149 (
+	.A1(n_17665),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [485]),
+	.B1(n_17662),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [462]),
+	.Y(n_15504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847150 (
+	.A1(n_17694),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [383]),
+	.B1(n_11647),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [406]),
+	.Y(n_15503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847151 (
+	.A1(n_17619),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [521]),
+	.B1(n_17679),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [544]),
+	.Y(n_15502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847152 (
+	.A1(n_11559),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [452]),
+	.B1(n_17652),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [429]),
+	.Y(n_15501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847153 (
+	.A1(n_17637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [692]),
+	.B1(n_17630),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [715]),
+	.Y(n_15500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847154 (
+	.A1(n_20161),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2422]),
+	.B1(n_20196),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2399]),
+	.Y(n_15499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847155 (
+	.A1(n_20251),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2445]),
+	.B1(n_20258),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2468]),
+	.Y(n_15498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847156 (
+	.A1(n_17632),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1602]),
+	.B1(n_17673),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1579]),
+	.Y(n_15497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847157 (
+	.A1(n_17621),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1510]),
+	.B1(n_17618),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1487]),
+	.Y(n_15496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847158 (
+	.A1(n_17633),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1648]),
+	.B1(n_17648),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1625]),
+	.Y(n_15495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847159 (
+	.A1(n_17628),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1556]),
+	.B1(n_17623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1533]),
+	.Y(n_15494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847160 (
+	.A1(n_17647),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [554]),
+	.B1(n_17650),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [577]),
+	.Y(n_15493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847161 (
+	.A1(n_17670),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1694]),
+	.B1(n_17667),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1671]),
+	.Y(n_15492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847162 (
+	.A1(n_17676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [235]),
+	.B1(n_17625),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [258]),
+	.Y(n_15491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847163 (
+	.A1(n_17688),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1740]),
+	.B1(n_17683),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1717]),
+	.Y(n_15490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847164 (
+	.A1(n_17643),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [600]),
+	.B1(n_17645),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [623]),
+	.Y(n_15489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847165 (
+	.A1(n_17680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1786]),
+	.B1(n_17677),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1763]),
+	.Y(n_15488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847166 (
+	.A1(n_17639),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [646]),
+	.B1(n_17649),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [669]),
+	.Y(n_15487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847167 (
+	.A1(n_11453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2675]),
+	.B1(n_11488),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2698]),
+	.Y(n_15486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847168 (
+	.A1(n_11452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [38]),
+	.B1(n_11594),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [15]),
+	.Y(n_15485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847169 (
+	.A1(n_17714),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [84]),
+	.B1(n_11413),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [61]),
+	.Y(n_15484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847170 (
+	.A1(n_17716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [176]),
+	.B1(n_17715),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [153]),
+	.Y(n_15483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847171 (
+	.A1(n_20242),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1293]),
+	.B1(n_20189),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1316]),
+	.Y(n_15482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847172 (
+	.A1(n_20140),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2721]),
+	.B1(n_11644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2744]),
+	.Y(n_15481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847173 (
+	.A1(n_17627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [360]),
+	.B1(n_17626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [337]),
+	.Y(n_15480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847174 (
+	.A1(n_17676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [245]),
+	.B1(n_17625),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [268]),
+	.Y(n_15479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847175 (
+	.A1(n_11552),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [768]),
+	.B1(n_11484),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [745]),
+	.Y(n_15478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847176 (
+	.A1(n_17732),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [820]),
+	.B1(n_17739),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [797]),
+	.Y(n_15477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847177 (
+	.A1(n_11552),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [774]),
+	.B1(n_11484),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [751]),
+	.Y(n_15476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g847178 (
+	.A1(n_347),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[803]),
+	.B1(n_496),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[835]),
+	.X(n_15475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847179 (
+	.A1(n_17722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [889]),
+	.B1(n_17723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [912]),
+	.Y(n_15474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847180 (
+	.A1(n_20141),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2583]),
+	.B1(n_11554),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2606]),
+	.Y(n_15473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847181 (
+	.A1(n_17732),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [814]),
+	.B1(n_17739),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [791]),
+	.Y(n_15472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847182 (
+	.A1(n_17722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [883]),
+	.B1(n_17723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [906]),
+	.Y(n_15471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847183 (
+	.A1(n_17733),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [981]),
+	.B1(n_17709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1004]),
+	.Y(n_15470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847184 (
+	.A1(n_17726),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [958]),
+	.B1(n_17729),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [935]),
+	.Y(n_15469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847185 (
+	.A1(n_17731),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1050]),
+	.B1(n_17730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1027]),
+	.Y(n_15468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847186 (
+	.A1(n_17665),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [488]),
+	.B1(n_17662),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [465]),
+	.Y(n_15467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847187 (
+	.A1(n_11420),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1900]),
+	.B1(n_20211),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1923]),
+	.Y(n_15466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847188 (
+	.A1(n_11469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1741]),
+	.B1(n_20233),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1718]),
+	.Y(n_15465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847189 (
+	.A1(n_11472),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2729]),
+	.B1(n_17617),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2752]),
+	.Y(n_15464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847190 (
+	.A1(n_17703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2660]),
+	.B1(n_17699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2637]),
+	.Y(n_15463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847191 (
+	.A1(n_17672),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2591]),
+	.B1(n_17612),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2614]),
+	.Y(n_15462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847192 (
+	.A1(n_11471),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2683]),
+	.B1(n_11421),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2706]),
+	.Y(n_15461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847193 (
+	.A1(n_17647),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [561]),
+	.B1(n_17650),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [584]),
+	.Y(n_15460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847194 (
+	.A1(n_17674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1832]),
+	.B1(n_17671),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1809]),
+	.Y(n_15459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847195 (
+	.A1(n_17670),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1694]),
+	.B1(n_17667),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1671]),
+	.Y(n_15458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847196 (
+	.A1(n_20169),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2652]),
+	.B1(n_11632),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2629]),
+	.Y(n_15457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847197 (
+	.A1(n_17688),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1740]),
+	.B1(n_17683),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1717]),
+	.Y(n_15456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847198 (
+	.A1(n_17643),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [607]),
+	.B1(n_17645),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [630]),
+	.Y(n_15455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847199 (
+	.A1(n_20236),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1431]),
+	.B1(n_20197),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1454]),
+	.Y(n_15454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847200 (
+	.A1(n_20143),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [973]),
+	.B1(n_20144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [996]),
+	.Y(n_15453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847201 (
+	.A1(n_17635),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2913]),
+	.B1(n_17686),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2936]),
+	.Y(n_15452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847202 (
+	.A1(n_17638),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2844]),
+	.B1(n_17611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2821]),
+	.Y(n_15451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847203 (
+	.A1(n_17695),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2775]),
+	.B1(n_17613),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2798]),
+	.Y(n_15450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847204 (
+	.A1(n_17620),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2890]),
+	.B1(n_17614),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2867]),
+	.Y(n_15449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847205 (
+	.A1(n_17637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [699]),
+	.B1(n_17630),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [722]),
+	.Y(n_15448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847206 (
+	.A1(n_17689),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2384]),
+	.B1(n_17641),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2361]),
+	.Y(n_15447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847207 (
+	.A1(n_17694),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [373]),
+	.B1(n_11647),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [396]),
+	.Y(n_15446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847208 (
+	.A1(n_20142),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1042]),
+	.B1(n_20154),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1019]),
+	.Y(n_15445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847209 (
+	.A1(n_17644),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2338]),
+	.B1(n_17684),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2315]),
+	.Y(n_15444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847210 (
+	.A1(n_17681),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2223]),
+	.B1(n_11582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2246]),
+	.Y(n_15443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847211 (
+	.A1(n_11452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [32]),
+	.B1(n_11594),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [9]),
+	.Y(n_15442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847212 (
+	.A1(n_17621),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1510]),
+	.B1(n_17618),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1487]),
+	.Y(n_15441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847213 (
+	.A1(n_17702),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1872]),
+	.B1(n_17654),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1849]),
+	.Y(n_15440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847214 (
+	.A1(n_17633),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1648]),
+	.B1(n_17648),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1625]),
+	.Y(n_15439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847215 (
+	.A1(n_20138),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [950]),
+	.B1(n_20215),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [927]),
+	.Y(n_15438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847216 (
+	.A1(n_17714),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [78]),
+	.B1(n_11413),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [55]),
+	.Y(n_15437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847217 (
+	.A1(n_17628),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1556]),
+	.B1(n_17623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1533]),
+	.Y(n_15436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847218 (
+	.A1(n_17619),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [511]),
+	.B1(n_17679),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [534]),
+	.Y(n_15435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847219 (
+	.A1(n_11406),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2453]),
+	.B1(n_17666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2476]),
+	.Y(n_15434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847220 (
+	.A1(n_11461),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2568]),
+	.B1(n_17700),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2545]),
+	.Y(n_15433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847221 (
+	.A1(n_17687),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2499]),
+	.B1(n_17660),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2522]),
+	.Y(n_15432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847222 (
+	.A1(n_17716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [170]),
+	.B1(n_17715),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [147]),
+	.Y(n_15431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847223 (
+	.A1(n_11452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [38]),
+	.B1(n_11594),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [15]),
+	.Y(n_15430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847224 (
+	.A1(n_17717),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [107]),
+	.B1(n_17718),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [130]),
+	.Y(n_15429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847225 (
+	.A1(n_17714),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [84]),
+	.B1(n_11413),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [61]),
+	.Y(n_15428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847226 (
+	.A1(n_17726),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [952]),
+	.B1(n_17729),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [929]),
+	.Y(n_15427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847227 (
+	.A1(n_20180),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1065]),
+	.B1(n_20151),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1088]),
+	.Y(n_15426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847228 (
+	.A1(n_17622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [199]),
+	.B1(n_17624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [222]),
+	.Y(n_15425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847229 (
+	.A1(n_17733),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [975]),
+	.B1(n_17709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [998]),
+	.Y(n_15424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847230 (
+	.A1(n_17640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [314]),
+	.B1(n_17629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [291]),
+	.Y(n_15423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847231 (
+	.A1(n_17676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [245]),
+	.B1(n_17625),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [268]),
+	.Y(n_15422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847232 (
+	.A1(n_20247),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2261]),
+	.B1(n_20248),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2284]),
+	.Y(n_15421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847233 (
+	.A1(n_17731),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1044]),
+	.B1(n_17730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1021]),
+	.Y(n_15420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847234 (
+	.A1(n_17694),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [383]),
+	.B1(n_11647),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [406]),
+	.Y(n_15419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847235 (
+	.A1(n_17665),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [498]),
+	.B1(n_17662),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [475]),
+	.Y(n_15418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847236 (
+	.A1(n_11559),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [452]),
+	.B1(n_17652),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [429]),
+	.Y(n_15417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847237 (
+	.A1(n_11559),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [442]),
+	.B1(n_17652),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [419]),
+	.Y(n_15416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847238 (
+	.A1(n_17665),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [492]),
+	.B1(n_17662),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [469]),
+	.Y(n_15415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847239 (
+	.A1(n_17647),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [567]),
+	.B1(n_17650),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [590]),
+	.Y(n_15414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847240 (
+	.A1(n_17643),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [613]),
+	.B1(n_17645),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [636]),
+	.Y(n_15413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847241 (
+	.A1(n_17639),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [659]),
+	.B1(n_17649),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [682]),
+	.Y(n_15412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847242 (
+	.A1(n_17694),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [377]),
+	.B1(n_11647),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [400]),
+	.Y(n_15411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847243 (
+	.A1(n_20243),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2330]),
+	.B1(n_20241),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2307]),
+	.Y(n_15410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847244 (
+	.A1(n_17743),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1372]),
+	.B1(n_11600),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1349]),
+	.Y(n_15409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847245 (
+	.A1(n_11454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1303]),
+	.B1(n_17741),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1326]),
+	.Y(n_15408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847246 (
+	.A1(n_17619),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [515]),
+	.B1(n_17679),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [538]),
+	.Y(n_15407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847247 (
+	.A1(n_17746),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1441]),
+	.B1(n_17724),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1464]),
+	.Y(n_15406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847248 (
+	.A1(n_17745),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1418]),
+	.B1(n_17728),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1395]),
+	.Y(n_15405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847249 (
+	.A1(n_20249),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2376]),
+	.B1(n_20255),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2353]),
+	.Y(n_15404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847250 (
+	.A1(n_17734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1234]),
+	.B1(n_17713),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1211]),
+	.Y(n_15403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847251 (
+	.A1(n_11559),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [446]),
+	.B1(n_17652),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [423]),
+	.Y(n_15402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847252 (
+	.A1(n_17719),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1142]),
+	.B1(n_11605),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1119]),
+	.Y(n_15401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847253 (
+	.A1(n_17736),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1280]),
+	.B1(n_17735),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1257]),
+	.Y(n_15400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847254 (
+	.A1(n_11608),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1165]),
+	.B1(n_11451),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1188]),
+	.Y(n_15399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847255 (
+	.A1(n_17637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [695]),
+	.B1(n_17630),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [718]),
+	.Y(n_15398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847256 (
+	.A1(n_20238),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2215]),
+	.B1(n_20239),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2238]),
+	.Y(n_15397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847257 (
+	.A1(n_11428),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1901]),
+	.B1(n_11440),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1924]),
+	.Y(n_15396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847258 (
+	.A1(n_17661),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1993]),
+	.B1(n_17664),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2016]),
+	.Y(n_15395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847259 (
+	.A1(n_17690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1947]),
+	.B1(n_17669),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1970]),
+	.Y(n_15394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847260 (
+	.A1(n_17640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [308]),
+	.B1(n_17629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [285]),
+	.Y(n_15393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847261 (
+	.A1(n_17622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [193]),
+	.B1(n_17624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [216]),
+	.Y(n_15392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847262 (
+	.A1(n_11437),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2085]),
+	.B1(n_17615),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2108]),
+	.Y(n_15391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847263 (
+	.A1(n_20220),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [442]),
+	.B1(n_20177),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [419]),
+	.Y(n_15390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847264 (
+	.A1(n_17627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [354]),
+	.B1(n_17626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [331]),
+	.Y(n_15389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847265 (
+	.A1(n_17631),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2131]),
+	.B1(n_17634),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2154]),
+	.Y(n_15388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847266 (
+	.A1(n_17676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [239]),
+	.B1(n_17625),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [262]),
+	.Y(n_15387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847267 (
+	.A1(n_11552),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [774]),
+	.B1(n_11484),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [751]),
+	.Y(n_15386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847268 (
+	.A1(n_17744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [843]),
+	.B1(n_11430),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [866]),
+	.Y(n_15385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847269 (
+	.A1(n_17732),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [820]),
+	.B1(n_17739),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [797]),
+	.Y(n_15384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847270 (
+	.A1(n_17647),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [557]),
+	.B1(n_17650),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [580]),
+	.Y(n_15383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847271 (
+	.A1(n_17647),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [562]),
+	.B1(n_17650),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [585]),
+	.Y(n_15382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847272 (
+	.A1(n_11400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [881]),
+	.B1(n_11490),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [904]),
+	.Y(n_15381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847273 (
+	.A1(n_17726),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [958]),
+	.B1(n_17729),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [935]),
+	.Y(n_15380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847274 (
+	.A1(n_17680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1780]),
+	.B1(n_17677),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1757]),
+	.Y(n_15379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847275 (
+	.A1(n_17733),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [981]),
+	.B1(n_17709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1004]),
+	.Y(n_15378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847276 (
+	.A1(n_17731),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1050]),
+	.B1(n_17730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1027]),
+	.Y(n_15377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847277 (
+	.A1(n_17670),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1688]),
+	.B1(n_17667),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1665]),
+	.Y(n_15376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847278 (
+	.A1(n_20174),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [515]),
+	.B1(n_11567),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [538]),
+	.Y(n_15375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847279 (
+	.A1(n_20259),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [835]),
+	.B1(n_11489),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [858]),
+	.Y(n_15374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847280 (
+	.A1(n_17688),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1734]),
+	.B1(n_17683),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1711]),
+	.Y(n_15373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847281 (
+	.A1(n_20220),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [446]),
+	.B1(n_20177),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [423]),
+	.Y(n_15372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847282 (
+	.A1(n_17674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1826]),
+	.B1(n_17671),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1803]),
+	.Y(n_15371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847283 (
+	.A1(n_17643),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [603]),
+	.B1(n_17645),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [626]),
+	.Y(n_15370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847284 (
+	.A1(n_20138),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [952]),
+	.B1(n_20215),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [929]),
+	.Y(n_15369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847285 (
+	.A1(n_20148),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [766]),
+	.B1(n_20147),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [743]),
+	.Y(n_15368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847286 (
+	.A1(n_20143),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [975]),
+	.B1(n_20144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [998]),
+	.Y(n_15367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847287 (
+	.A1(n_20142),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1044]),
+	.B1(n_20154),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1021]),
+	.Y(n_15366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847288 (
+	.A1(n_11479),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2178]),
+	.B1(n_20165),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2201]),
+	.Y(n_15365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847289 (
+	.A1(n_17632),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1596]),
+	.B1(n_17673),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1573]),
+	.Y(n_15364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847290 (
+	.A1(n_11485),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1136]),
+	.B1(n_20184),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1113]),
+	.Y(n_15363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847291 (
+	.A1(n_11439),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1228]),
+	.B1(n_11432),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1205]),
+	.Y(n_15362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847292 (
+	.A1(n_17621),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1504]),
+	.B1(n_17618),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1481]),
+	.Y(n_15361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847293 (
+	.A1(n_11640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1159]),
+	.B1(n_11483),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1182]),
+	.Y(n_15360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847294 (
+	.A1(n_17639),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [649]),
+	.B1(n_17649),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [672]),
+	.Y(n_15359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847295 (
+	.A1(n_20207),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [699]),
+	.B1(n_11556),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [722]),
+	.Y(n_15358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847296 (
+	.A1(n_11459),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [607]),
+	.B1(n_11581),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [630]),
+	.Y(n_15357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847297 (
+	.A1(n_17633),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1642]),
+	.B1(n_17648),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1619]),
+	.Y(n_15356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847298 (
+	.A1(n_11404),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [653]),
+	.B1(n_20244),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [676]),
+	.Y(n_15355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847299 (
+	.A1(n_17628),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1550]),
+	.B1(n_17623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1527]),
+	.Y(n_15354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847300 (
+	.A1(n_20148),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [768]),
+	.B1(n_20147),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [745]),
+	.Y(n_15353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847301 (
+	.A1(n_20259),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [837]),
+	.B1(n_11489),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [860]),
+	.Y(n_15352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847302 (
+	.A1(n_20158),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [488]),
+	.B1(n_11435),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [465]),
+	.Y(n_15351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847303 (
+	.A1(n_20162),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [814]),
+	.B1(n_20145),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [791]),
+	.Y(n_15350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847304 (
+	.A1(n_11400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [883]),
+	.B1(n_11490),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [906]),
+	.Y(n_15349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847305 (
+	.A1(n_20187),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2905]),
+	.B1(n_20250),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2928]),
+	.Y(n_15348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847306 (
+	.A1(n_17620),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2884]),
+	.B1(n_17614),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2861]),
+	.Y(n_15347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847307 (
+	.A1(n_20236),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1435]),
+	.B1(n_20197),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1458]),
+	.Y(n_15346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847308 (
+	.A1(n_20242),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1297]),
+	.B1(n_20189),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1320]),
+	.Y(n_15345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847309 (
+	.A1(n_20226),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1366]),
+	.B1(n_11398),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1343]),
+	.Y(n_15344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847310 (
+	.A1(n_20210),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1412]),
+	.B1(n_20203),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1389]),
+	.Y(n_15343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847311 (
+	.A1(n_17695),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2769]),
+	.B1(n_17613),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2792]),
+	.Y(n_15342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847312 (
+	.A1(n_511),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[996]),
+	.B1(n_492),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[36]),
+	.Y(n_15341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847313 (
+	.A1(n_11448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2562]),
+	.B1(n_11622),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2539]),
+	.Y(n_15340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847314 (
+	.A1(n_20161),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2424]),
+	.B1(n_20196),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2401]),
+	.Y(n_15339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847315 (
+	.A1(n_20183),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2836]),
+	.B1(n_20182),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2813]),
+	.Y(n_15338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847316 (
+	.A1(n_493),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[707]),
+	.B1(n_350),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[547]),
+	.Y(n_15337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847317 (
+	.A1(n_20204),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2493]),
+	.B1(n_11547),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2516]),
+	.Y(n_15336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847318 (
+	.A1(n_17638),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2838]),
+	.B1(n_17611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2815]),
+	.Y(n_15335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847319 (
+	.A1(n_20249),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2378]),
+	.B1(n_20255),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2355]),
+	.Y(n_15334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847320 (
+	.A1(n_20238),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2217]),
+	.B1(n_20239),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2240]),
+	.Y(n_15333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847321 (
+	.A1(n_20243),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2332]),
+	.B1(n_20241),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2309]),
+	.Y(n_15332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847322 (
+	.A1(n_20247),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2263]),
+	.B1(n_20248),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2286]),
+	.Y(n_15331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847323 (
+	.A1(n_20163),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2767]),
+	.B1(n_20149),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2790]),
+	.Y(n_15330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847324 (
+	.A1(n_20263),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1596]),
+	.B1(n_20198),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1573]),
+	.Y(n_15329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847325 (
+	.A1(n_20214),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1642]),
+	.B1(n_20212),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1619]),
+	.Y(n_15328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847326 (
+	.A1(n_11471),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2677]),
+	.B1(n_11421),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2700]),
+	.Y(n_15327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847327 (
+	.A1(n_20209),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1504]),
+	.B1(n_20208),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1481]),
+	.Y(n_15326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847328 (
+	.A1(n_17672),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2585]),
+	.B1(n_17612),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2608]),
+	.Y(n_15325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847329 (
+	.A1(n_20206),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1550]),
+	.B1(n_20205),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1527]),
+	.Y(n_15324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847330 (
+	.A1(n_11469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1734]),
+	.B1(n_20233),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1711]),
+	.Y(n_15323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847331 (
+	.A1(n_20223),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1826]),
+	.B1(n_20221),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1803]),
+	.Y(n_15322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847332 (
+	.A1(n_11472),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2723]),
+	.B1(n_17617),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2746]),
+	.Y(n_15321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847333 (
+	.A1(n_11445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1688]),
+	.B1(n_11470),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1665]),
+	.Y(n_15320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847334 (
+	.A1(n_17703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2654]),
+	.B1(n_17699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2631]),
+	.Y(n_15319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847335 (
+	.A1(n_20140),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2723]),
+	.B1(n_11644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2746]),
+	.Y(n_15318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847336 (
+	.A1(n_20141),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2585]),
+	.B1(n_11554),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2608]),
+	.Y(n_15317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847337 (
+	.A1(n_20169),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2654]),
+	.B1(n_11632),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2631]),
+	.Y(n_15316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847338 (
+	.A1(n_17726),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [948]),
+	.B1(n_17729),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [925]),
+	.Y(n_15315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847339 (
+	.A1(n_20163),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2769]),
+	.B1(n_20149),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2792]),
+	.Y(n_15314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847340 (
+	.A1(n_20183),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2838]),
+	.B1(n_20182),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2815]),
+	.Y(n_15313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847341 (
+	.A1(n_20178),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2884]),
+	.B1(n_11637),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2861]),
+	.Y(n_15312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847342 (
+	.A1(n_20207),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [697]),
+	.B1(n_11556),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [720]),
+	.Y(n_15311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847343 (
+	.A1(n_20199),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [78]),
+	.B1(n_20232),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [55]),
+	.Y(n_15310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847344 (
+	.A1(n_11479),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2176]),
+	.B1(n_20165),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2199]),
+	.Y(n_15309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847345 (
+	.A1(n_11403),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [32]),
+	.B1(n_11434),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [9]),
+	.Y(n_15308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847346 (
+	.A1(n_20174),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [511]),
+	.B1(n_11567),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [534]),
+	.Y(n_15307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847347 (
+	.A1(n_11428),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1895]),
+	.B1(n_11440),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1918]),
+	.Y(n_15306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847348 (
+	.A1(n_20256),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [101]),
+	.B1(n_20157),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [124]),
+	.Y(n_15305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847349 (
+	.A1(n_12498),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [170]),
+	.B1(n_20262),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [147]),
+	.Y(n_15304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847350 (
+	.A1(n_17692),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2264]),
+	.B1(n_17693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2287]),
+	.Y(n_15303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847351 (
+	.A1(n_20191),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [559]),
+	.B1(n_20195),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [582]),
+	.Y(n_15302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847352 (
+	.A1(n_20237),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [239]),
+	.B1(n_20240),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [262]),
+	.Y(n_15301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847353 (
+	.A1(n_20231),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1780]),
+	.B1(n_20225),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1757]),
+	.Y(n_15300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847354 (
+	.A1(n_17661),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1987]),
+	.B1(n_17664),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2010]),
+	.Y(n_15299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847355 (
+	.A1(n_17733),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [971]),
+	.B1(n_17709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [994]),
+	.Y(n_15298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847356 (
+	.A1(n_20219),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [308]),
+	.B1(n_11436),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [285]),
+	.Y(n_15297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847357 (
+	.A1(n_11598),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [354]),
+	.B1(n_20224),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [331]),
+	.Y(n_15296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847358 (
+	.A1(n_20170),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2079]),
+	.B1(n_20176),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2102]),
+	.Y(n_15295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847359 (
+	.A1(n_11479),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2171]),
+	.B1(n_20165),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2194]),
+	.Y(n_15294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847360 (
+	.A1(n_11422),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2125]),
+	.B1(n_11478),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2148]),
+	.Y(n_15293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847361 (
+	.A1(n_20168),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2056]),
+	.B1(n_11423),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2033]),
+	.Y(n_15292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847362 (
+	.A1(n_11437),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2079]),
+	.B1(n_17615),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2102]),
+	.Y(n_15291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847363 (
+	.A1(n_11459),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [605]),
+	.B1(n_11581),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [628]),
+	.Y(n_15290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847364 (
+	.A1(n_11420),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1895]),
+	.B1(n_20211),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1918]),
+	.Y(n_15289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847365 (
+	.A1(n_20260),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1987]),
+	.B1(n_20261),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2010]),
+	.Y(n_15288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847366 (
+	.A1(n_17675),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2171]),
+	.B1(n_11624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2194]),
+	.Y(n_15287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847367 (
+	.A1(n_20245),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1941]),
+	.B1(n_20229),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1964]),
+	.Y(n_15286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847368 (
+	.A1(n_11609),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1872]),
+	.B1(n_20194),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1849]),
+	.Y(n_15285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847369 (
+	.A1(n_20254),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1274]),
+	.B1(n_11431),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1251]),
+	.Y(n_15284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847370 (
+	.A1(n_17668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2056]),
+	.B1(n_11584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2033]),
+	.Y(n_15283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847371 (
+	.A1(n_11439),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1228]),
+	.B1(n_11432),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1205]),
+	.Y(n_15282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847372 (
+	.A1(n_11640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1159]),
+	.B1(n_11483),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1182]),
+	.Y(n_15281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847373 (
+	.A1(n_17727),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1063]),
+	.B1(n_11399),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1086]),
+	.Y(n_15280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847374 (
+	.A1(n_20174),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [513]),
+	.B1(n_11567),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [536]),
+	.Y(n_15279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847375 (
+	.A1(n_17745),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1412]),
+	.B1(n_17728),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1389]),
+	.Y(n_15278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847376 (
+	.A1(n_11598),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [354]),
+	.B1(n_20224),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [331]),
+	.Y(n_15277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847377 (
+	.A1(n_20219),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [308]),
+	.B1(n_11436),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [285]),
+	.Y(n_15276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847378 (
+	.A1(n_11454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1297]),
+	.B1(n_17741),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1320]),
+	.Y(n_15275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847379 (
+	.A1(n_20237),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [239]),
+	.B1(n_20240),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [262]),
+	.Y(n_15274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847380 (
+	.A1(n_12498),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [170]),
+	.B1(n_20262),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [147]),
+	.Y(n_15273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847381 (
+	.A1(n_20153),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [373]),
+	.B1(n_20202),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [396]),
+	.Y(n_15272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847382 (
+	.A1(n_20153),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [375]),
+	.B1(n_20202),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [398]),
+	.Y(n_15271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847383 (
+	.A1(n_20199),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [78]),
+	.B1(n_20232),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [55]),
+	.Y(n_15270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847384 (
+	.A1(n_17743),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1366]),
+	.B1(n_11600),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1343]),
+	.Y(n_15269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847385 (
+	.A1(n_20180),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1067]),
+	.B1(n_20151),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1090]),
+	.Y(n_15268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847386 (
+	.A1(n_20143),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [975]),
+	.B1(n_20144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [998]),
+	.Y(n_15267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847387 (
+	.A1(n_20142),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1044]),
+	.B1(n_20154),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1021]),
+	.Y(n_15266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847388 (
+	.A1(n_17734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1228]),
+	.B1(n_17713),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1205]),
+	.Y(n_15265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847389 (
+	.A1(n_20148),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [768]),
+	.B1(n_20147),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [745]),
+	.Y(n_15264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847390 (
+	.A1(n_17695),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2765]),
+	.B1(n_17613),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2788]),
+	.Y(n_15263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847391 (
+	.A1(n_11400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [883]),
+	.B1(n_11490),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [906]),
+	.Y(n_15262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847392 (
+	.A1(n_20220),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [444]),
+	.B1(n_20177),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [421]),
+	.Y(n_15261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847393 (
+	.A1(n_20259),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [837]),
+	.B1(n_11489),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [860]),
+	.Y(n_15260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847394 (
+	.A1(n_17719),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1136]),
+	.B1(n_11605),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1113]),
+	.Y(n_15259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847395 (
+	.A1(n_20162),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [814]),
+	.B1(n_20145),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [791]),
+	.Y(n_15258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847396 (
+	.A1(n_17734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1226]),
+	.B1(n_17713),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1203]),
+	.Y(n_15257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847397 (
+	.A1(n_20210),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1412]),
+	.B1(n_20203),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1389]),
+	.Y(n_15256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847398 (
+	.A1(n_20236),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1435]),
+	.B1(n_20197),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1458]),
+	.Y(n_15255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847399 (
+	.A1(n_17736),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1274]),
+	.B1(n_17735),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1251]),
+	.Y(n_15254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847400 (
+	.A1(n_20242),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1297]),
+	.B1(n_20189),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1320]),
+	.Y(n_15253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847401 (
+	.A1(n_20226),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1366]),
+	.B1(n_11398),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1343]),
+	.Y(n_15252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847402 (
+	.A1(n_11445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1695]),
+	.B1(n_11470),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1672]),
+	.Y(n_15251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847403 (
+	.A1(n_20209),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1504]),
+	.B1(n_20208),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1481]),
+	.Y(n_15250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847404 (
+	.A1(n_20214),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1642]),
+	.B1(n_20212),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1619]),
+	.Y(n_15249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847405 (
+	.A1(n_11608),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1159]),
+	.B1(n_11451),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1182]),
+	.Y(n_15248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847406 (
+	.A1(n_20263),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1596]),
+	.B1(n_20198),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1573]),
+	.Y(n_15247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847407 (
+	.A1(n_20206),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1550]),
+	.B1(n_20205),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1527]),
+	.Y(n_15246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847408 (
+	.A1(n_11479),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2169]),
+	.B1(n_20165),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2192]),
+	.Y(n_15245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847409 (
+	.A1(n_17687),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2493]),
+	.B1(n_17660),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2516]),
+	.Y(n_15244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847410 (
+	.A1(n_20223),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1826]),
+	.B1(n_20221),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1803]),
+	.Y(n_15243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847411 (
+	.A1(n_11552),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [764]),
+	.B1(n_11484),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [741]),
+	.Y(n_15242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847412 (
+	.A1(n_11469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1734]),
+	.B1(n_20233),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1711]),
+	.Y(n_15241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847413 (
+	.A1(n_17698),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2424]),
+	.B1(n_11565),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2401]),
+	.Y(n_15240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847414 (
+	.A1(n_20231),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1780]),
+	.B1(n_20225),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1757]),
+	.Y(n_15239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847415 (
+	.A1(n_20251),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2447]),
+	.B1(n_20258),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2470]),
+	.Y(n_15238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847416 (
+	.A1(n_20204),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2493]),
+	.B1(n_11547),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2516]),
+	.Y(n_15237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847417 (
+	.A1(n_20170),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2077]),
+	.B1(n_20176),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2100]),
+	.Y(n_15236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847418 (
+	.A1(n_11461),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2562]),
+	.B1(n_17700),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2539]),
+	.Y(n_15235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847419 (
+	.A1(n_20161),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2424]),
+	.B1(n_20196),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2401]),
+	.Y(n_15234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847420 (
+	.A1(n_11406),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2447]),
+	.B1(n_17666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2470]),
+	.Y(n_15233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847421 (
+	.A1(n_20247),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2263]),
+	.B1(n_20248),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2286]),
+	.Y(n_15232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847422 (
+	.A1(n_20249),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2378]),
+	.B1(n_20255),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2355]),
+	.Y(n_15231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847423 (
+	.A1(n_17744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [833]),
+	.B1(n_11430),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [856]),
+	.Y(n_15230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847424 (
+	.A1(n_20243),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2332]),
+	.B1(n_20241),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2309]),
+	.Y(n_15229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847425 (
+	.A1(n_17644),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2332]),
+	.B1(n_17684),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2309]),
+	.Y(n_15228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847426 (
+	.A1(n_20238),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2217]),
+	.B1(n_20239),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2240]),
+	.Y(n_15227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847427 (
+	.A1(n_20260),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1987]),
+	.B1(n_20261),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2010]),
+	.Y(n_15226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847428 (
+	.A1(n_20168),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2054]),
+	.B1(n_11423),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2031]),
+	.Y(n_15225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847429 (
+	.A1(n_17681),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2217]),
+	.B1(n_11582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2240]),
+	.Y(n_15224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847430 (
+	.A1(n_20245),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1941]),
+	.B1(n_20229),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1964]),
+	.Y(n_15223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847431 (
+	.A1(n_17689),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2378]),
+	.B1(n_17641),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2355]),
+	.Y(n_15222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847432 (
+	.A1(n_17722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [879]),
+	.B1(n_17723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [902]),
+	.Y(n_15221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847433 (
+	.A1(n_20170),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2079]),
+	.B1(n_20176),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2102]),
+	.Y(n_15220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847434 (
+	.A1(n_20168),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2056]),
+	.B1(n_11423),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2033]),
+	.Y(n_15219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847435 (
+	.A1(n_20169),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2654]),
+	.B1(n_11632),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2631]),
+	.Y(n_15218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847436 (
+	.A1(n_20141),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2585]),
+	.B1(n_11554),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2608]),
+	.Y(n_15217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847437 (
+	.A1(n_20140),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2723]),
+	.B1(n_11644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2746]),
+	.Y(n_15216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847438 (
+	.A1(n_11608),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1155]),
+	.B1(n_11451),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1178]),
+	.Y(n_15215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847439 (
+	.A1(n_17692),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2263]),
+	.B1(n_17693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2286]),
+	.Y(n_15214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847440 (
+	.A1(n_20260),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1985]),
+	.B1(n_20261),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2008]),
+	.Y(n_15213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847441 (
+	.A1(n_20183),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2838]),
+	.B1(n_20182),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2815]),
+	.Y(n_15212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847442 (
+	.A1(n_20163),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2769]),
+	.B1(n_20149),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2792]),
+	.Y(n_15211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847443 (
+	.A1(n_20187),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2907]),
+	.B1(n_20250),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2930]),
+	.Y(n_15210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847444 (
+	.A1(n_20178),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2884]),
+	.B1(n_11637),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2861]),
+	.Y(n_15209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847445 (
+	.A1(n_20220),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [446]),
+	.B1(n_20177),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [423]),
+	.Y(n_15208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847446 (
+	.A1(n_20174),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [515]),
+	.B1(n_11567),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [538]),
+	.Y(n_15207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847447 (
+	.A1(n_11420),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1893]),
+	.B1(n_20211),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1916]),
+	.Y(n_15206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847448 (
+	.A1(n_20158),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [492]),
+	.B1(n_11435),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [469]),
+	.Y(n_15205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847449 (
+	.A1(n_17619),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [515]),
+	.B1(n_17679),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [538]),
+	.Y(n_15204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847450 (
+	.A1(n_20153),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [377]),
+	.B1(n_20202),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [400]),
+	.Y(n_15203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847451 (
+	.A1(n_11559),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [446]),
+	.B1(n_17652),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [423]),
+	.Y(n_15202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847452 (
+	.A1(n_11459),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [607]),
+	.B1(n_11581),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [630]),
+	.Y(n_15201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847453 (
+	.A1(n_20207),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [699]),
+	.B1(n_11556),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [722]),
+	.Y(n_15200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847454 (
+	.A1(n_11609),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1870]),
+	.B1(n_20194),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1847]),
+	.Y(n_15199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847455 (
+	.A1(n_20191),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [561]),
+	.B1(n_20195),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [584]),
+	.Y(n_15198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847456 (
+	.A1(n_11404),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [653]),
+	.B1(n_20244),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [676]),
+	.Y(n_15197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847457 (
+	.A1(n_17695),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2767]),
+	.B1(n_17613),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2790]),
+	.Y(n_15196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847458 (
+	.A1(n_20220),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [453]),
+	.B1(n_20177),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [430]),
+	.Y(n_15195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847459 (
+	.A1(n_17622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [193]),
+	.B1(n_17624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [216]),
+	.Y(n_15194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847460 (
+	.A1(n_20158),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [499]),
+	.B1(n_11435),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [476]),
+	.Y(n_15193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847461 (
+	.A1(n_20168),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2063]),
+	.B1(n_11423),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2040]),
+	.Y(n_15192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847462 (
+	.A1(n_20256),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [99]),
+	.B1(n_20157),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [122]),
+	.Y(n_15191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847463 (
+	.A1(n_11479),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2178]),
+	.B1(n_20165),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2201]),
+	.Y(n_15190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847464 (
+	.A1(n_11422),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2132]),
+	.B1(n_11478),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2155]),
+	.Y(n_15189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847465 (
+	.A1(n_17676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [239]),
+	.B1(n_17625),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [262]),
+	.Y(n_15188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847466 (
+	.A1(n_11472),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2719]),
+	.B1(n_17617),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2742]),
+	.Y(n_15187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847467 (
+	.A1(n_20199),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [85]),
+	.B1(n_20232),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [62]),
+	.Y(n_15186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847468 (
+	.A1(n_20256),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [108]),
+	.B1(n_20157),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [131]),
+	.Y(n_15185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847469 (
+	.A1(n_12498),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [177]),
+	.B1(n_20262),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [154]),
+	.Y(n_15184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847470 (
+	.A1(n_507),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[483]),
+	.B1(n_508),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[515]),
+	.Y(n_15183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847471 (
+	.A1(n_12498),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [168]),
+	.B1(n_20262),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [145]),
+	.Y(n_15182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847472 (
+	.A1(n_11459),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [614]),
+	.B1(n_11581),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [637]),
+	.Y(n_15181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847473 (
+	.A1(n_20207),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [706]),
+	.B1(n_11556),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [729]),
+	.Y(n_15180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847474 (
+	.A1(n_17647),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [561]),
+	.B1(n_17650),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [584]),
+	.Y(n_15179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847475 (
+	.A1(n_11404),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [660]),
+	.B1(n_20244),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [683]),
+	.Y(n_15178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847476 (
+	.A1(n_20245),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1948]),
+	.B1(n_20229),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1971]),
+	.Y(n_15177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847477 (
+	.A1(n_11609),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1879]),
+	.B1(n_20194),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1856]),
+	.Y(n_15176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847478 (
+	.A1(n_11439),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1224]),
+	.B1(n_11432),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1201]),
+	.Y(n_15175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847479 (
+	.A1(n_20199),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [76]),
+	.B1(n_20232),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [53]),
+	.Y(n_15174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847480 (
+	.A1(n_20260),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1994]),
+	.B1(n_20261),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2017]),
+	.Y(n_15173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847481 (
+	.A1(n_17637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [699]),
+	.B1(n_17630),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [722]),
+	.Y(n_15172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847482 (
+	.A1(n_20237),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [246]),
+	.B1(n_20240),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [269]),
+	.Y(n_15171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847483 (
+	.A1(n_17672),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2581]),
+	.B1(n_17612),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2604]),
+	.Y(n_15170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847484 (
+	.A1(n_20219),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [315]),
+	.B1(n_11436),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [292]),
+	.Y(n_15169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847485 (
+	.A1(n_11598),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [361]),
+	.B1(n_20224),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [338]),
+	.Y(n_15168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847486 (
+	.A1(n_20236),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1442]),
+	.B1(n_20197),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1465]),
+	.Y(n_15167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847487 (
+	.A1(n_11403),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [30]),
+	.B1(n_11434),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [7]),
+	.Y(n_15166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847488 (
+	.A1(n_20242),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1304]),
+	.B1(n_20189),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1327]),
+	.Y(n_15165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847489 (
+	.A1(n_17661),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1987]),
+	.B1(n_17664),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2010]),
+	.Y(n_15164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847490 (
+	.A1(n_17703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2650]),
+	.B1(n_17699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2627]),
+	.Y(n_15163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847491 (
+	.A1(n_20210),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1419]),
+	.B1(n_20203),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1396]),
+	.Y(n_15162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847492 (
+	.A1(n_11485),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1143]),
+	.B1(n_20184),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1120]),
+	.Y(n_15161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847493 (
+	.A1(n_20219),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [306]),
+	.B1(n_11436),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [283]),
+	.Y(n_15160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847494 (
+	.A1(n_20254),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1281]),
+	.B1(n_11431),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1258]),
+	.Y(n_15159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847495 (
+	.A1(n_17690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1941]),
+	.B1(n_17669),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1964]),
+	.Y(n_15158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847496 (
+	.A1(n_11439),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1235]),
+	.B1(n_11432),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1212]),
+	.Y(n_15157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847497 (
+	.A1(n_11640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1166]),
+	.B1(n_11483),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1189]),
+	.Y(n_15156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847498 (
+	.A1(n_11448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2569]),
+	.B1(n_11622),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2546]),
+	.Y(n_15155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847499 (
+	.A1(n_20251),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2447]),
+	.B1(n_20258),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2470]),
+	.Y(n_15154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847500 (
+	.A1(n_20161),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2431]),
+	.B1(n_20196),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2408]),
+	.Y(n_15153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847501 (
+	.A1(n_17702),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1872]),
+	.B1(n_17654),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1849]),
+	.Y(n_15152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847502 (
+	.A1(n_20251),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2454]),
+	.B1(n_20258),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2477]),
+	.Y(n_15151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847503 (
+	.A1(n_20204),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2500]),
+	.B1(n_11547),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2523]),
+	.Y(n_15150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847504 (
+	.A1(n_11437),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2079]),
+	.B1(n_17615),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2102]),
+	.Y(n_15149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847505 (
+	.A1(n_20238),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2224]),
+	.B1(n_20239),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2247]),
+	.Y(n_15148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847506 (
+	.A1(n_20243),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2339]),
+	.B1(n_20241),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2316]),
+	.Y(n_15147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847507 (
+	.A1(n_11598),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [352]),
+	.B1(n_20224),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [329]),
+	.Y(n_15146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847508 (
+	.A1(n_20249),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2385]),
+	.B1(n_20255),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2362]),
+	.Y(n_15145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847509 (
+	.A1(n_17668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2056]),
+	.B1(n_11584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2033]),
+	.Y(n_15144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847510 (
+	.A1(n_20247),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2270]),
+	.B1(n_20248),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2293]),
+	.Y(n_15143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847511 (
+	.A1(n_17619),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [513]),
+	.B1(n_17679),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [536]),
+	.Y(n_15142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847512 (
+	.A1(n_20246),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [191]),
+	.B1(n_20253),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [214]),
+	.Y(n_15141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847513 (
+	.A1(n_20169),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2661]),
+	.B1(n_11632),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2638]),
+	.Y(n_15140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847514 (
+	.A1(n_17675),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2171]),
+	.B1(n_11624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2194]),
+	.Y(n_15139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847515 (
+	.A1(n_20140),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2730]),
+	.B1(n_11644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2753]),
+	.Y(n_15138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847516 (
+	.A1(n_11453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2684]),
+	.B1(n_11488),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2707]),
+	.Y(n_15137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847517 (
+	.A1(n_17631),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2125]),
+	.B1(n_17634),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2148]),
+	.Y(n_15136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847518 (
+	.A1(n_17635),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2907]),
+	.B1(n_17686),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2930]),
+	.Y(n_15135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847519 (
+	.A1(n_20183),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2845]),
+	.B1(n_20182),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2822]),
+	.Y(n_15134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847520 (
+	.A1(n_20187),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2914]),
+	.B1(n_20250),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2937]),
+	.Y(n_15133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847521 (
+	.A1(n_20237),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [237]),
+	.B1(n_20240),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [260]),
+	.Y(n_15132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847522 (
+	.A1(n_20178),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2891]),
+	.B1(n_11637),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2868]),
+	.Y(n_15131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847523 (
+	.A1(n_11485),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1141]),
+	.B1(n_20184),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1118]),
+	.Y(n_15130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847524 (
+	.A1(n_20214),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1649]),
+	.B1(n_20212),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1626]),
+	.Y(n_15129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847525 (
+	.A1(n_17717),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [101]),
+	.B1(n_17718),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [124]),
+	.Y(n_15128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847526 (
+	.A1(n_20209),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1511]),
+	.B1(n_20208),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1488]),
+	.Y(n_15127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847527 (
+	.A1(n_11452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [32]),
+	.B1(n_11594),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [9]),
+	.Y(n_15126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847528 (
+	.A1(n_20263),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1603]),
+	.B1(n_20198),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1580]),
+	.Y(n_15125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847529 (
+	.A1(n_20206),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1557]),
+	.B1(n_20205),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1534]),
+	.Y(n_15124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847530 (
+	.A1(n_20223),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1833]),
+	.B1(n_20221),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1810]),
+	.Y(n_15123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847531 (
+	.A1(n_20254),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1270]),
+	.B1(n_11431),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1247]),
+	.Y(n_15122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847532 (
+	.A1(n_17714),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [78]),
+	.B1(n_11413),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [55]),
+	.Y(n_15121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847533 (
+	.A1(n_20231),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1787]),
+	.B1(n_20225),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1764]),
+	.Y(n_15120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847534 (
+	.A1(n_11400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [890]),
+	.B1(n_11490),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [913]),
+	.Y(n_15119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847535 (
+	.A1(n_20148),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [775]),
+	.B1(n_20147),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [752]),
+	.Y(n_15118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847536 (
+	.A1(n_20259),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [844]),
+	.B1(n_11489),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [867]),
+	.Y(n_15117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847537 (
+	.A1(n_17716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [170]),
+	.B1(n_17715),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [147]),
+	.Y(n_15116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847538 (
+	.A1(n_20162),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [821]),
+	.B1(n_20145),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [798]),
+	.Y(n_15115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847539 (
+	.A1(n_11439),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1226]),
+	.B1(n_11432),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1203]),
+	.Y(n_15114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847540 (
+	.A1(n_20138),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [959]),
+	.B1(n_20215),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [936]),
+	.Y(n_15113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847541 (
+	.A1(n_17731),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1044]),
+	.B1(n_17730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1021]),
+	.Y(n_15112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847542 (
+	.A1(n_20143),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [982]),
+	.B1(n_20144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1005]),
+	.Y(n_15111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847543 (
+	.A1(n_20180),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1074]),
+	.B1(n_20151),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1097]),
+	.Y(n_15110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847544 (
+	.A1(n_20142),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1051]),
+	.B1(n_20154),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1028]),
+	.Y(n_15109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847545 (
+	.A1(n_17726),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [952]),
+	.B1(n_17729),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [929]),
+	.Y(n_15108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847546 (
+	.A1(n_11485),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1132]),
+	.B1(n_20184),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1109]),
+	.Y(n_15107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847547 (
+	.A1(n_17638),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2834]),
+	.B1(n_17611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2811]),
+	.Y(n_15106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847548 (
+	.A1(n_20254),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1272]),
+	.B1(n_11431),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1249]),
+	.Y(n_15105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847549 (
+	.A1(n_17733),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [975]),
+	.B1(n_17709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [998]),
+	.Y(n_15104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847550 (
+	.A1(n_12498),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [177]),
+	.B1(n_20262),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [154]),
+	.Y(n_15103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847551 (
+	.A1(n_17727),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1067]),
+	.B1(n_11399),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1090]),
+	.Y(n_15102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847552 (
+	.A1(n_20161),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2431]),
+	.B1(n_20196),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2408]),
+	.Y(n_15101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847553 (
+	.A1(n_11448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2569]),
+	.B1(n_11622),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2546]),
+	.Y(n_15100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847554 (
+	.A1(n_20251),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2454]),
+	.B1(n_20258),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2477]),
+	.Y(n_15099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847555 (
+	.A1(n_11485),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1134]),
+	.B1(n_20184),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1111]),
+	.Y(n_15098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847556 (
+	.A1(n_17744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [837]),
+	.B1(n_11430),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [860]),
+	.Y(n_15097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847557 (
+	.A1(n_20141),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2592]),
+	.B1(n_11554),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2615]),
+	.Y(n_15096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847558 (
+	.A1(n_11552),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [768]),
+	.B1(n_11484),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [745]),
+	.Y(n_15095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847559 (
+	.A1(n_20140),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2730]),
+	.B1(n_11644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2753]),
+	.Y(n_15094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847560 (
+	.A1(n_20169),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2661]),
+	.B1(n_11632),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2638]),
+	.Y(n_15093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847561 (
+	.A1(n_11598),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [361]),
+	.B1(n_20224),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [338]),
+	.Y(n_15092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847562 (
+	.A1(n_17620),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2880]),
+	.B1(n_17614),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2857]),
+	.Y(n_15091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847563 (
+	.A1(n_20237),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [246]),
+	.B1(n_20240),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [269]),
+	.Y(n_15090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847564 (
+	.A1(n_17722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [883]),
+	.B1(n_17723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [906]),
+	.Y(n_15089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847565 (
+	.A1(n_20249),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2385]),
+	.B1(n_20255),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2362]),
+	.Y(n_15088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847566 (
+	.A1(n_20243),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2339]),
+	.B1(n_20241),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2316]),
+	.Y(n_15087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847567 (
+	.A1(n_20238),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2224]),
+	.B1(n_20239),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2247]),
+	.Y(n_15086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847568 (
+	.A1(n_17620),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2884]),
+	.B1(n_17614),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2861]),
+	.Y(n_15085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847569 (
+	.A1(n_20210),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1410]),
+	.B1(n_20203),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1387]),
+	.Y(n_15084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847570 (
+	.A1(n_20163),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2776]),
+	.B1(n_20149),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2799]),
+	.Y(n_15083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847571 (
+	.A1(n_20187),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2914]),
+	.B1(n_20250),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2937]),
+	.Y(n_15082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847572 (
+	.A1(n_17695),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2769]),
+	.B1(n_17613),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2792]),
+	.Y(n_15081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847573 (
+	.A1(n_20178),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2891]),
+	.B1(n_11637),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2868]),
+	.Y(n_15080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847574 (
+	.A1(n_20254),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1281]),
+	.B1(n_11431),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1258]),
+	.Y(n_15079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847575 (
+	.A1(n_20242),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1295]),
+	.B1(n_20189),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1318]),
+	.Y(n_15078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847576 (
+	.A1(n_17635),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2907]),
+	.B1(n_17686),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2930]),
+	.Y(n_15077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847577 (
+	.A1(n_11485),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1143]),
+	.B1(n_20184),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1120]),
+	.Y(n_15076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847578 (
+	.A1(n_17638),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2838]),
+	.B1(n_17611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2815]),
+	.Y(n_15075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847579 (
+	.A1(n_11439),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1235]),
+	.B1(n_11432),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1212]),
+	.Y(n_15074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847580 (
+	.A1(n_20236),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1442]),
+	.B1(n_20197),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1465]),
+	.Y(n_15073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847581 (
+	.A1(n_20242),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1304]),
+	.B1(n_20189),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1327]),
+	.Y(n_15072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847582 (
+	.A1(n_20226),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1373]),
+	.B1(n_11398),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1350]),
+	.Y(n_15071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847583 (
+	.A1(n_20236),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1433]),
+	.B1(n_20197),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1456]),
+	.Y(n_15070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847584 (
+	.A1(n_17628),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1543]),
+	.B1(n_17623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1520]),
+	.Y(n_15069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847585 (
+	.A1(n_11471),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2677]),
+	.B1(n_11421),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2700]),
+	.Y(n_15068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847586 (
+	.A1(n_20260),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1994]),
+	.B1(n_20261),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2017]),
+	.Y(n_15067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847587 (
+	.A1(n_17716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [166]),
+	.B1(n_17715),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [143]),
+	.Y(n_15066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847588 (
+	.A1(n_11609),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1879]),
+	.B1(n_20194),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1856]),
+	.Y(n_15065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847589 (
+	.A1(n_17672),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2585]),
+	.B1(n_17612),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2608]),
+	.Y(n_15064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847590 (
+	.A1(n_11420),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1902]),
+	.B1(n_20211),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1925]),
+	.Y(n_15063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847591 (
+	.A1(n_20245),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1948]),
+	.B1(n_20229),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1971]),
+	.Y(n_15062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847592 (
+	.A1(n_11422),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2132]),
+	.B1(n_11478),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2155]),
+	.Y(n_15061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847593 (
+	.A1(n_11472),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2723]),
+	.B1(n_17617),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2746]),
+	.Y(n_15060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847594 (
+	.A1(n_20168),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2063]),
+	.B1(n_11423),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2040]),
+	.Y(n_15059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847595 (
+	.A1(n_20209),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1511]),
+	.B1(n_20208),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1488]),
+	.Y(n_15058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847596 (
+	.A1(n_11452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [28]),
+	.B1(n_11594),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [5]),
+	.Y(n_15057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847597 (
+	.A1(n_20214),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1640]),
+	.B1(n_20212),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1617]),
+	.Y(n_15056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847598 (
+	.A1(n_11469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1741]),
+	.B1(n_20233),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1718]),
+	.Y(n_15055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847599 (
+	.A1(n_20223),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1833]),
+	.B1(n_20221),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1810]),
+	.Y(n_15054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847600 (
+	.A1(n_17698),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2424]),
+	.B1(n_11565),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2401]),
+	.Y(n_15053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847601 (
+	.A1(n_11445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1695]),
+	.B1(n_11470),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1672]),
+	.Y(n_15052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847602 (
+	.A1(n_17717),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [97]),
+	.B1(n_17718),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [120]),
+	.Y(n_15051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847603 (
+	.A1(n_20259),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [844]),
+	.B1(n_11489),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [867]),
+	.Y(n_15050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847604 (
+	.A1(n_20162),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [821]),
+	.B1(n_20145),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [798]),
+	.Y(n_15049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847605 (
+	.A1(n_20209),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1502]),
+	.B1(n_20208),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1479]),
+	.Y(n_15048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847606 (
+	.A1(n_20148),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [775]),
+	.B1(n_20147),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [752]),
+	.Y(n_15047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847607 (
+	.A1(n_11400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [890]),
+	.B1(n_11490),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [913]),
+	.Y(n_15046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847608 (
+	.A1(n_11406),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2447]),
+	.B1(n_17666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2470]),
+	.Y(n_15045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847609 (
+	.A1(n_20143),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [982]),
+	.B1(n_20144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1005]),
+	.Y(n_15044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847610 (
+	.A1(n_20138),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [959]),
+	.B1(n_20215),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [936]),
+	.Y(n_15043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847611 (
+	.A1(n_20206),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1548]),
+	.B1(n_20205),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1525]),
+	.Y(n_15042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847612 (
+	.A1(n_20180),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1074]),
+	.B1(n_20151),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1097]),
+	.Y(n_15041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847613 (
+	.A1(n_20142),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1051]),
+	.B1(n_20154),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1028]),
+	.Y(n_15040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847614 (
+	.A1(n_20220),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [453]),
+	.B1(n_20177),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [430]),
+	.Y(n_15039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847615 (
+	.A1(n_20158),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [499]),
+	.B1(n_11435),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [476]),
+	.Y(n_15038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847616 (
+	.A1(n_20174),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [522]),
+	.B1(n_11567),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [545]),
+	.Y(n_15037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847617 (
+	.A1(n_17681),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2217]),
+	.B1(n_11582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2240]),
+	.Y(n_15036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847618 (
+	.A1(n_20153),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [384]),
+	.B1(n_20202),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [407]),
+	.Y(n_15035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847619 (
+	.A1(n_11459),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [614]),
+	.B1(n_11581),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [637]),
+	.Y(n_15034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847620 (
+	.A1(n_17714),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [74]),
+	.B1(n_11413),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [51]),
+	.Y(n_15033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847621 (
+	.A1(n_17689),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2378]),
+	.B1(n_17641),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2355]),
+	.Y(n_15032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847622 (
+	.A1(n_20191),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [568]),
+	.B1(n_20195),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [591]),
+	.Y(n_15031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847623 (
+	.A1(n_11404),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [660]),
+	.B1(n_20244),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [683]),
+	.Y(n_15030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847624 (
+	.A1(n_17692),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2263]),
+	.B1(n_17693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2286]),
+	.Y(n_15029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847625 (
+	.A1(n_20170),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2084]),
+	.B1(n_20176),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2107]),
+	.Y(n_15028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847626 (
+	.A1(n_20223),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1824]),
+	.B1(n_20221),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1801]),
+	.Y(n_15027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847627 (
+	.A1(n_17745),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1412]),
+	.B1(n_17728),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1389]),
+	.Y(n_15026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847628 (
+	.A1(n_17745),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1408]),
+	.B1(n_17728),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1385]),
+	.Y(n_15025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847629 (
+	.A1(n_17746),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1435]),
+	.B1(n_17724),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1458]),
+	.Y(n_15024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847630 (
+	.A1(n_11454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1293]),
+	.B1(n_17741),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1316]),
+	.Y(n_15023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847631 (
+	.A1(n_11469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1732]),
+	.B1(n_20233),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1709]),
+	.Y(n_15022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847632 (
+	.A1(n_11454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1297]),
+	.B1(n_17741),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1320]),
+	.Y(n_15021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847633 (
+	.A1(n_17743),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1366]),
+	.B1(n_11600),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1343]),
+	.Y(n_15020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847634 (
+	.A1(n_11608),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1159]),
+	.B1(n_11451),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1182]),
+	.Y(n_15019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847635 (
+	.A1(n_17746),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1431]),
+	.B1(n_17724),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1454]),
+	.Y(n_15018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847636 (
+	.A1(n_17676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [237]),
+	.B1(n_17625),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [260]),
+	.Y(n_15017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847637 (
+	.A1(n_17719),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1136]),
+	.B1(n_11605),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1113]),
+	.Y(n_15016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847638 (
+	.A1(n_17736),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1274]),
+	.B1(n_17735),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1251]),
+	.Y(n_15015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847639 (
+	.A1(n_11452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [30]),
+	.B1(n_11594),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [7]),
+	.Y(n_15014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847640 (
+	.A1(n_20246),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [189]),
+	.B1(n_20253),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [212]),
+	.Y(n_15013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847641 (
+	.A1(n_17633),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1642]),
+	.B1(n_17648),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1619]),
+	.Y(n_15012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847642 (
+	.A1(n_20204),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2499]),
+	.B1(n_11547),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2522]),
+	.Y(n_15011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847643 (
+	.A1(n_17632),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1596]),
+	.B1(n_17673),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1573]),
+	.Y(n_15010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847644 (
+	.A1(n_17621),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1504]),
+	.B1(n_17618),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1481]),
+	.Y(n_15009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847645 (
+	.A1(n_17628),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1550]),
+	.B1(n_17623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1527]),
+	.Y(n_15008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847646 (
+	.A1(n_17734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1224]),
+	.B1(n_17713),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1201]),
+	.Y(n_15007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847647 (
+	.A1(n_17736),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1270]),
+	.B1(n_17735),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1247]),
+	.Y(n_15006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847648 (
+	.A1(n_349),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[113]),
+	.B1(n_497),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[177]),
+	.Y(n_15005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847649 (
+	.A1(n_17670),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1688]),
+	.B1(n_17667),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1665]),
+	.Y(n_15004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847650 (
+	.A1(n_17638),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2836]),
+	.B1(n_17611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2813]),
+	.Y(n_15003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847651 (
+	.A1(n_20254),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1279]),
+	.B1(n_11431),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1256]),
+	.Y(n_15002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847652 (
+	.A1(n_20162),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [819]),
+	.B1(n_20145),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [796]),
+	.Y(n_15001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847653 (
+	.A1(n_11598),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [350]),
+	.B1(n_20224),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [327]),
+	.Y(n_15000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847654 (
+	.A1(n_17680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1780]),
+	.B1(n_17677),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1757]),
+	.Y(n_14999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847655 (
+	.A1(n_11559),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [444]),
+	.B1(n_17652),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [421]),
+	.Y(n_14998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847656 (
+	.A1(n_20259),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [842]),
+	.B1(n_11489),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [865]),
+	.Y(n_14997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847657 (
+	.A1(n_11400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [888]),
+	.B1(n_11490),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [911]),
+	.Y(n_14996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847658 (
+	.A1(n_20242),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1302]),
+	.B1(n_20189),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1325]),
+	.Y(n_14995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847659 (
+	.A1(n_17734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1228]),
+	.B1(n_17713),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1205]),
+	.Y(n_14994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847660 (
+	.A1(n_17694),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [375]),
+	.B1(n_11647),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [398]),
+	.Y(n_14993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847661 (
+	.A1(n_17647),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [557]),
+	.B1(n_17650),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [580]),
+	.Y(n_14992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847662 (
+	.A1(n_20180),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1072]),
+	.B1(n_20151),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1095]),
+	.Y(n_14991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847663 (
+	.A1(n_17622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [191]),
+	.B1(n_17624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [214]),
+	.Y(n_14990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847664 (
+	.A1(n_20237),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [235]),
+	.B1(n_20240),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [258]),
+	.Y(n_14989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847665 (
+	.A1(n_20210),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1417]),
+	.B1(n_20203),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1394]),
+	.Y(n_14988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847666 (
+	.A1(n_17627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [352]),
+	.B1(n_17626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [329]),
+	.Y(n_14987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847667 (
+	.A1(n_17643),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [603]),
+	.B1(n_17645),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [626]),
+	.Y(n_14986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847668 (
+	.A1(n_17640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [306]),
+	.B1(n_17629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [283]),
+	.Y(n_14985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847669 (
+	.A1(n_11640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1164]),
+	.B1(n_11483),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1187]),
+	.Y(n_14984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847670 (
+	.A1(n_11439),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1233]),
+	.B1(n_11432),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1210]),
+	.Y(n_14983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847671 (
+	.A1(n_11472),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2721]),
+	.B1(n_17617),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2744]),
+	.Y(n_14982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847672 (
+	.A1(n_20207),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [706]),
+	.B1(n_11556),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [729]),
+	.Y(n_14981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847673 (
+	.A1(n_17743),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1362]),
+	.B1(n_11600),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1339]),
+	.Y(n_14980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847674 (
+	.A1(n_20226),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1373]),
+	.B1(n_11398),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1350]),
+	.Y(n_14979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847675 (
+	.A1(n_17639),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [649]),
+	.B1(n_17649),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [672]),
+	.Y(n_14978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847676 (
+	.A1(n_20187),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2912]),
+	.B1(n_20250),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2935]),
+	.Y(n_14977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847677 (
+	.A1(n_17703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2652]),
+	.B1(n_17699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2629]),
+	.Y(n_14976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847678 (
+	.A1(n_20169),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2659]),
+	.B1(n_11632),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2636]),
+	.Y(n_14975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847679 (
+	.A1(n_17637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [697]),
+	.B1(n_17630),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [720]),
+	.Y(n_14974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847680 (
+	.A1(n_11453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2682]),
+	.B1(n_11488),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2705]),
+	.Y(n_14973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847681 (
+	.A1(n_17665),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [488]),
+	.B1(n_17662),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [465]),
+	.Y(n_14972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847682 (
+	.A1(n_17643),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [605]),
+	.B1(n_17645),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [628]),
+	.Y(n_14971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847683 (
+	.A1(n_20143),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [980]),
+	.B1(n_20144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1003]),
+	.Y(n_14970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847684 (
+	.A1(n_20246),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [200]),
+	.B1(n_20253),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [223]),
+	.Y(n_14969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847685 (
+	.A1(n_17622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [189]),
+	.B1(n_17624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [212]),
+	.Y(n_14968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847686 (
+	.A1(n_11609),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1877]),
+	.B1(n_20194),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1854]),
+	.Y(n_14967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847687 (
+	.A1(n_11445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1686]),
+	.B1(n_11470),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1663]),
+	.Y(n_14966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847688 (
+	.A1(n_17643),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [607]),
+	.B1(n_17645),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [630]),
+	.Y(n_14965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847689 (
+	.A1(n_11420),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1902]),
+	.B1(n_20211),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1925]),
+	.Y(n_14964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847690 (
+	.A1(n_17746),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1433]),
+	.B1(n_17724),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1456]),
+	.Y(n_14963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847691 (
+	.A1(n_20210),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1419]),
+	.B1(n_20203),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1396]),
+	.Y(n_14962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847692 (
+	.A1(n_11461),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2562]),
+	.B1(n_17700),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2539]),
+	.Y(n_14961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847693 (
+	.A1(n_17640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [304]),
+	.B1(n_17629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [281]),
+	.Y(n_14960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847694 (
+	.A1(n_17719),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1134]),
+	.B1(n_11605),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1111]),
+	.Y(n_14959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847695 (
+	.A1(n_11608),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1157]),
+	.B1(n_11451),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1180]),
+	.Y(n_14958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847696 (
+	.A1(n_350),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[548]),
+	.B1(n_505),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[580]),
+	.Y(n_14957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847697 (
+	.A1(n_17676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [235]),
+	.B1(n_17625),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [258]),
+	.Y(n_14956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847698 (
+	.A1(n_20191),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [566]),
+	.B1(n_20195),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [589]),
+	.Y(n_14955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847699 (
+	.A1(n_11609),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1868]),
+	.B1(n_20194),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1845]),
+	.Y(n_14954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847700 (
+	.A1(n_11459),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [612]),
+	.B1(n_11581),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [635]),
+	.Y(n_14953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847701 (
+	.A1(n_17631),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2123]),
+	.B1(n_17634),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2146]),
+	.Y(n_14952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847702 (
+	.A1(n_20207),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [704]),
+	.B1(n_11556),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [727]),
+	.Y(n_14951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847703 (
+	.A1(n_17675),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2169]),
+	.B1(n_11624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2192]),
+	.Y(n_14950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847704 (
+	.A1(n_17668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2054]),
+	.B1(n_11584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2031]),
+	.Y(n_14949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847705 (
+	.A1(n_20153),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [382]),
+	.B1(n_20202),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [405]),
+	.Y(n_14948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847706 (
+	.A1(n_20174),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [520]),
+	.B1(n_11567),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [543]),
+	.Y(n_14947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847707 (
+	.A1(n_20220),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [451]),
+	.B1(n_20177),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [428]),
+	.Y(n_14946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847708 (
+	.A1(n_17670),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1684]),
+	.B1(n_17667),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1661]),
+	.Y(n_14945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847709 (
+	.A1(n_17690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1939]),
+	.B1(n_17669),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1962]),
+	.Y(n_14944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847710 (
+	.A1(n_20256),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [106]),
+	.B1(n_20157),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [129]),
+	.Y(n_14943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847711 (
+	.A1(n_11428),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1893]),
+	.B1(n_11440),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1916]),
+	.Y(n_14942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847712 (
+	.A1(n_11403),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [37]),
+	.B1(n_11434),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [14]),
+	.Y(n_14941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847713 (
+	.A1(n_17702),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1870]),
+	.B1(n_17654),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1847]),
+	.Y(n_14940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847714 (
+	.A1(n_17688),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1730]),
+	.B1(n_17683),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1707]),
+	.Y(n_14939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847715 (
+	.A1(n_20199),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [83]),
+	.B1(n_20232),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [60]),
+	.Y(n_14938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847716 (
+	.A1(n_12498),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [175]),
+	.B1(n_20262),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [152]),
+	.Y(n_14937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847717 (
+	.A1(n_17744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [835]),
+	.B1(n_11430),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [858]),
+	.Y(n_14936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847718 (
+	.A1(n_20219),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [313]),
+	.B1(n_11436),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [290]),
+	.Y(n_14935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847719 (
+	.A1(n_17674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1822]),
+	.B1(n_17671),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1799]),
+	.Y(n_14934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847720 (
+	.A1(n_11552),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [766]),
+	.B1(n_11484),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [743]),
+	.Y(n_14933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847721 (
+	.A1(n_20246),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [198]),
+	.B1(n_20253),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [221]),
+	.Y(n_14932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847722 (
+	.A1(n_11420),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1891]),
+	.B1(n_20211),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1914]),
+	.Y(n_14931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847723 (
+	.A1(n_11598),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [359]),
+	.B1(n_20224),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [336]),
+	.Y(n_14930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847724 (
+	.A1(n_17732),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [812]),
+	.B1(n_17739),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [789]),
+	.Y(n_14929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847725 (
+	.A1(n_20237),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [244]),
+	.B1(n_20240),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [267]),
+	.Y(n_14928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847726 (
+	.A1(n_17722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [881]),
+	.B1(n_17723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [904]),
+	.Y(n_14927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847727 (
+	.A1(n_17726),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [950]),
+	.B1(n_17729),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [927]),
+	.Y(n_14926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847728 (
+	.A1(n_20245),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1937]),
+	.B1(n_20229),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1960]),
+	.Y(n_14925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847729 (
+	.A1(n_11445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1693]),
+	.B1(n_11470),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1670]),
+	.Y(n_14924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847730 (
+	.A1(n_17727),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1065]),
+	.B1(n_11399),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1088]),
+	.Y(n_14923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847731 (
+	.A1(n_11469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1739]),
+	.B1(n_20233),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1716]),
+	.Y(n_14922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847732 (
+	.A1(n_17621),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1500]),
+	.B1(n_17618),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1477]),
+	.Y(n_14921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847733 (
+	.A1(n_20223),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1831]),
+	.B1(n_20221),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1808]),
+	.Y(n_14920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847734 (
+	.A1(n_17733),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [973]),
+	.B1(n_17709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [996]),
+	.Y(n_14919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847735 (
+	.A1(n_17731),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1042]),
+	.B1(n_17730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1019]),
+	.Y(n_14918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847736 (
+	.A1(n_20209),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1509]),
+	.B1(n_20208),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1486]),
+	.Y(n_14917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847737 (
+	.A1(n_17628),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1546]),
+	.B1(n_17623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1523]),
+	.Y(n_14916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847738 (
+	.A1(n_20214),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1647]),
+	.B1(n_20212),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1624]),
+	.Y(n_14915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847739 (
+	.A1(n_20206),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1555]),
+	.B1(n_20205),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1532]),
+	.Y(n_14914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847740 (
+	.A1(n_17687),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2491]),
+	.B1(n_17660),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2514]),
+	.Y(n_14913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847741 (
+	.A1(n_17633),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1638]),
+	.B1(n_17648),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1615]),
+	.Y(n_14912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847742 (
+	.A1(n_20161),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2429]),
+	.B1(n_20196),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2406]),
+	.Y(n_14911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847743 (
+	.A1(n_17698),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2422]),
+	.B1(n_11565),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2399]),
+	.Y(n_14910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847744 (
+	.A1(n_11406),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2445]),
+	.B1(n_17666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2468]),
+	.Y(n_14909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847745 (
+	.A1(n_11448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2567]),
+	.B1(n_11622),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2544]),
+	.Y(n_14908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847746 (
+	.A1(n_352),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[644]),
+	.B1(n_494),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[612]),
+	.Y(n_14907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847747 (
+	.A1(n_20251),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2452]),
+	.B1(n_20258),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2475]),
+	.Y(n_14906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847748 (
+	.A1(n_17672),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2581]),
+	.B1(n_17612),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2604]),
+	.Y(n_14905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847749 (
+	.A1(n_17644),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2330]),
+	.B1(n_17684),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2307]),
+	.Y(n_14904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847750 (
+	.A1(n_20238),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2222]),
+	.B1(n_20239),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2245]),
+	.Y(n_14903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847751 (
+	.A1(n_20249),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2383]),
+	.B1(n_20255),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2360]),
+	.Y(n_14902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847752 (
+	.A1(n_17681),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2215]),
+	.B1(n_11582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2238]),
+	.Y(n_14901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847753 (
+	.A1(n_20247),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2268]),
+	.B1(n_20248),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2291]),
+	.Y(n_14900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847754 (
+	.A1(n_11471),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2673]),
+	.B1(n_11421),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2696]),
+	.Y(n_14899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847755 (
+	.A1(n_17692),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2261]),
+	.B1(n_17693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2284]),
+	.Y(n_14898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847756 (
+	.A1(n_17703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2650]),
+	.B1(n_17699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2627]),
+	.Y(n_14897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g847757 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[9]),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[11]),
+	.B1(n_6018),
+	.Y(n_32226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847758 (
+	.A1(n_498),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[920]),
+	.B1(n_506),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[984]),
+	.Y(n_14896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847759 (
+	.A1(n_20141),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2590]),
+	.B1(n_11554),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2613]),
+	.Y(n_14895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847760 (
+	.A1(n_17632),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1594]),
+	.B1(n_17673),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1571]),
+	.Y(n_14894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847761 (
+	.A1(n_20140),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2728]),
+	.B1(n_11644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2751]),
+	.Y(n_14893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847762 (
+	.A1(n_11453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2682]),
+	.B1(n_11488),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2705]),
+	.Y(n_14892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847763 (
+	.A1(n_17621),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1502]),
+	.B1(n_17618),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1479]),
+	.Y(n_14891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847764 (
+	.A1(n_17628),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1548]),
+	.B1(n_17623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1525]),
+	.Y(n_14890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847765 (
+	.A1(n_11469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1739]),
+	.B1(n_20233),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1716]),
+	.Y(n_14889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847766 (
+	.A1(n_17695),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2765]),
+	.B1(n_17613),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2788]),
+	.Y(n_14888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847767 (
+	.A1(n_11445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1693]),
+	.B1(n_11470),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1670]),
+	.Y(n_14887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847768 (
+	.A1(n_20223),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1831]),
+	.B1(n_20221),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1808]),
+	.Y(n_14886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847769 (
+	.A1(n_20158),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [492]),
+	.B1(n_11435),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [469]),
+	.Y(n_14885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847770 (
+	.A1(n_17680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1778]),
+	.B1(n_17677),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1755]),
+	.Y(n_14884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847771 (
+	.A1(n_20231),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1785]),
+	.B1(n_20225),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1762]),
+	.Y(n_14883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847772 (
+	.A1(n_11485),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1142]),
+	.B1(n_20184),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1119]),
+	.Y(n_14882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847773 (
+	.A1(n_17638),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2834]),
+	.B1(n_17611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2811]),
+	.Y(n_14881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847774 (
+	.A1(n_11420),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1900]),
+	.B1(n_20211),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1923]),
+	.Y(n_14880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847775 (
+	.A1(n_17688),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1732]),
+	.B1(n_17683),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1709]),
+	.Y(n_14879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847776 (
+	.A1(n_17670),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1686]),
+	.B1(n_17667),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1663]),
+	.Y(n_14878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847777 (
+	.A1(n_11609),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1877]),
+	.B1(n_20194),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1854]),
+	.Y(n_14877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847778 (
+	.A1(n_20260),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1992]),
+	.B1(n_20261),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2015]),
+	.Y(n_14876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847779 (
+	.A1(n_507),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[484]),
+	.B1(n_493),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[708]),
+	.Y(n_14875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847780 (
+	.A1(n_17620),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2880]),
+	.B1(n_17614),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2857]),
+	.Y(n_14874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847781 (
+	.A1(n_20168),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2052]),
+	.B1(n_11423),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2029]),
+	.Y(n_14873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847782 (
+	.A1(n_20245),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1946]),
+	.B1(n_20229),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1969]),
+	.Y(n_14872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847783 (
+	.A1(n_20183),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2843]),
+	.B1(n_20182),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2820]),
+	.Y(n_14871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847784 (
+	.A1(n_17639),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [651]),
+	.B1(n_17649),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [674]),
+	.Y(n_14870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847785 (
+	.A1(n_20163),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2774]),
+	.B1(n_20149),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2797]),
+	.Y(n_14869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847786 (
+	.A1(n_17637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [697]),
+	.B1(n_17630),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [720]),
+	.Y(n_14868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847787 (
+	.A1(n_20187),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2912]),
+	.B1(n_20250),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2935]),
+	.Y(n_14867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847788 (
+	.A1(n_17661),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1983]),
+	.B1(n_17664),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2006]),
+	.Y(n_14866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847789 (
+	.A1(n_20178),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2889]),
+	.B1(n_11637),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2866]),
+	.Y(n_14865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847790 (
+	.A1(n_17647),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [559]),
+	.B1(n_17650),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [582]),
+	.Y(n_14864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847791 (
+	.A1(n_17643),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [605]),
+	.B1(n_17645),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [628]),
+	.Y(n_14863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847792 (
+	.A1(n_17717),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [99]),
+	.B1(n_17718),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [122]),
+	.Y(n_14862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847793 (
+	.A1(n_20209),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1509]),
+	.B1(n_20208),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1486]),
+	.Y(n_14861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847794 (
+	.A1(n_20263),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1601]),
+	.B1(n_20198),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1578]),
+	.Y(n_14860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847795 (
+	.A1(n_17702),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1868]),
+	.B1(n_17654),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1845]),
+	.Y(n_14859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847796 (
+	.A1(n_17716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [168]),
+	.B1(n_17715),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [145]),
+	.Y(n_14858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847797 (
+	.A1(n_20214),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1647]),
+	.B1(n_20212),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1624]),
+	.Y(n_14857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847798 (
+	.A1(n_20170),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2075]),
+	.B1(n_20176),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2098]),
+	.Y(n_14856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847799 (
+	.A1(n_11428),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1891]),
+	.B1(n_11440),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1914]),
+	.Y(n_14855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847800 (
+	.A1(n_17714),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [76]),
+	.B1(n_11413),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [53]),
+	.Y(n_14854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847801 (
+	.A1(n_11452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [30]),
+	.B1(n_11594),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [7]),
+	.Y(n_14853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847802 (
+	.A1(n_20168),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2061]),
+	.B1(n_11423),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2038]),
+	.Y(n_14852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847803 (
+	.A1(n_17690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1937]),
+	.B1(n_17669),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1960]),
+	.Y(n_14851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847804 (
+	.A1(n_11479),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2176]),
+	.B1(n_20165),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2199]),
+	.Y(n_14850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847805 (
+	.A1(n_499),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[461]),
+	.B1(n_495),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[397]),
+	.Y(n_14849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847806 (
+	.A1(n_17665),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [490]),
+	.B1(n_17662),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [467]),
+	.Y(n_14848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847807 (
+	.A1(n_11422),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2130]),
+	.B1(n_11478),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2153]),
+	.Y(n_14847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847808 (
+	.A1(n_17675),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2167]),
+	.B1(n_11624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2190]),
+	.Y(n_14846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847809 (
+	.A1(n_17619),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [513]),
+	.B1(n_17679),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [536]),
+	.Y(n_14845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847810 (
+	.A1(n_17668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2052]),
+	.B1(n_11584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2029]),
+	.Y(n_14844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847811 (
+	.A1(n_17694),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [375]),
+	.B1(n_11647),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [398]),
+	.Y(n_14843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847812 (
+	.A1(n_11640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1164]),
+	.B1(n_11483),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1187]),
+	.Y(n_14842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847813 (
+	.A1(n_20254),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1279]),
+	.B1(n_11431),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1256]),
+	.Y(n_14841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847814 (
+	.A1(n_11559),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [444]),
+	.B1(n_17652),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [421]),
+	.Y(n_14840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847815 (
+	.A1(n_11439),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1233]),
+	.B1(n_11432),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1210]),
+	.Y(n_14839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847816 (
+	.A1(n_17680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1778]),
+	.B1(n_17677),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1755]),
+	.Y(n_14838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847817 (
+	.A1(n_17674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1824]),
+	.B1(n_17671),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1801]),
+	.Y(n_14837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847818 (
+	.A1(n_20226),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1371]),
+	.B1(n_11398),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1348]),
+	.Y(n_14836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847819 (
+	.A1(n_11437),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2075]),
+	.B1(n_17615),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2098]),
+	.Y(n_14835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847820 (
+	.A1(n_20236),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1440]),
+	.B1(n_20197),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1463]),
+	.Y(n_14834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847821 (
+	.A1(n_17688),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1732]),
+	.B1(n_17683),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1709]),
+	.Y(n_14833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847822 (
+	.A1(n_17670),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1686]),
+	.B1(n_17667),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1663]),
+	.Y(n_14832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847823 (
+	.A1(n_20210),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1417]),
+	.B1(n_20203),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1394]),
+	.Y(n_14831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847824 (
+	.A1(n_20206),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1557]),
+	.B1(n_20205),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1534]),
+	.Y(n_14830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847825 (
+	.A1(n_17631),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2121]),
+	.B1(n_17634),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2144]),
+	.Y(n_14829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847826 (
+	.A1(n_20220),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [451]),
+	.B1(n_20177),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [428]),
+	.Y(n_14828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847827 (
+	.A1(n_20158),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [497]),
+	.B1(n_11435),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [474]),
+	.Y(n_14827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847828 (
+	.A1(n_17633),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1640]),
+	.B1(n_17648),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1617]),
+	.Y(n_14826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847829 (
+	.A1(n_20153),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [382]),
+	.B1(n_20202),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [405]),
+	.Y(n_14825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847830 (
+	.A1(n_11422),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2121]),
+	.B1(n_11478),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2144]),
+	.Y(n_14824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847831 (
+	.A1(n_17632),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1594]),
+	.B1(n_17673),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1571]),
+	.Y(n_14823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847832 (
+	.A1(n_17621),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1502]),
+	.B1(n_17618),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1479]),
+	.Y(n_14822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847833 (
+	.A1(n_11459),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [612]),
+	.B1(n_11581),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [635]),
+	.Y(n_14821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847834 (
+	.A1(n_20207),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [704]),
+	.B1(n_11556),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [727]),
+	.Y(n_14820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847835 (
+	.A1(n_11404),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [658]),
+	.B1(n_20244),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [681]),
+	.Y(n_14819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847836 (
+	.A1(n_17722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [879]),
+	.B1(n_17723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [902]),
+	.Y(n_14818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847837 (
+	.A1(n_17627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [352]),
+	.B1(n_17626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [329]),
+	.Y(n_14817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847838 (
+	.A1(n_12498),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [175]),
+	.B1(n_20262),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [152]),
+	.Y(n_14816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847839 (
+	.A1(n_350),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[568]),
+	.B1(n_505),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[600]),
+	.Y(n_14815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847840 (
+	.A1(n_17744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [833]),
+	.B1(n_11430),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [856]),
+	.Y(n_14814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847841 (
+	.A1(n_17622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [191]),
+	.B1(n_17624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [214]),
+	.Y(n_14813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847842 (
+	.A1(n_20199),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [83]),
+	.B1(n_20232),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [60]),
+	.Y(n_14812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847843 (
+	.A1(n_17676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [237]),
+	.B1(n_17625),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [260]),
+	.Y(n_14811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847844 (
+	.A1(n_20256),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [106]),
+	.B1(n_20157),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [129]),
+	.Y(n_14810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847845 (
+	.A1(n_11403),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [37]),
+	.B1(n_11434),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [14]),
+	.Y(n_14809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847846 (
+	.A1(n_17732),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [810]),
+	.B1(n_17739),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [787]),
+	.Y(n_14808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847847 (
+	.A1(n_11598),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [359]),
+	.B1(n_20224),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [336]),
+	.Y(n_14807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847848 (
+	.A1(n_20237),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [244]),
+	.B1(n_20240),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [267]),
+	.Y(n_14806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847849 (
+	.A1(n_17727),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1065]),
+	.B1(n_11399),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1088]),
+	.Y(n_14805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847850 (
+	.A1(n_20219),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [313]),
+	.B1(n_11436),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [290]),
+	.Y(n_14804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847851 (
+	.A1(n_17726),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [948]),
+	.B1(n_17729),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [925]),
+	.Y(n_14803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847852 (
+	.A1(n_20246),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [198]),
+	.B1(n_20253),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [221]),
+	.Y(n_14802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847853 (
+	.A1(n_17726),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [950]),
+	.B1(n_17729),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [927]),
+	.Y(n_14801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847854 (
+	.A1(n_17733),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [973]),
+	.B1(n_17709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [996]),
+	.Y(n_14800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847855 (
+	.A1(n_20251),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2452]),
+	.B1(n_20258),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2475]),
+	.Y(n_14799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847856 (
+	.A1(n_20161),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2429]),
+	.B1(n_20196),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2406]),
+	.Y(n_14798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847857 (
+	.A1(n_17733),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [971]),
+	.B1(n_17709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [994]),
+	.Y(n_14797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847858 (
+	.A1(n_17722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [881]),
+	.B1(n_17723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [904]),
+	.Y(n_14796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847859 (
+	.A1(n_20204),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2498]),
+	.B1(n_11547),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2521]),
+	.Y(n_14795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847860 (
+	.A1(n_17732),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [812]),
+	.B1(n_17739),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [789]),
+	.Y(n_14794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847861 (
+	.A1(n_17727),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1063]),
+	.B1(n_11399),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1086]),
+	.Y(n_14793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847862 (
+	.A1(n_11552),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [766]),
+	.B1(n_11484),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [743]),
+	.Y(n_14792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847863 (
+	.A1(n_20247),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2268]),
+	.B1(n_20248),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2291]),
+	.Y(n_14791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847864 (
+	.A1(n_20140),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2719]),
+	.B1(n_11644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2742]),
+	.Y(n_14790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847865 (
+	.A1(n_20243),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2337]),
+	.B1(n_20241),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2314]),
+	.Y(n_14789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847866 (
+	.A1(n_20238),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2222]),
+	.B1(n_20239),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2245]),
+	.Y(n_14788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847867 (
+	.A1(n_17695),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2767]),
+	.B1(n_17613),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2790]),
+	.Y(n_14787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847868 (
+	.A1(n_17635),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2905]),
+	.B1(n_17686),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2928]),
+	.Y(n_14786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847869 (
+	.A1(n_20162),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [819]),
+	.B1(n_20145),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [796]),
+	.Y(n_14785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847870 (
+	.A1(n_17687),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2489]),
+	.B1(n_17660),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2512]),
+	.Y(n_14784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847871 (
+	.A1(n_20259),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [842]),
+	.B1(n_11489),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [865]),
+	.Y(n_14783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847872 (
+	.A1(n_11400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [888]),
+	.B1(n_11490),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [911]),
+	.Y(n_14782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847873 (
+	.A1(n_17638),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2836]),
+	.B1(n_17611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2813]),
+	.Y(n_14781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847874 (
+	.A1(n_20143),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [980]),
+	.B1(n_20144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1003]),
+	.Y(n_14780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847875 (
+	.A1(n_17672),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2583]),
+	.B1(n_17612),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2606]),
+	.Y(n_14779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847876 (
+	.A1(n_17698),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2420]),
+	.B1(n_11565),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2397]),
+	.Y(n_14778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847877 (
+	.A1(n_20180),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1072]),
+	.B1(n_20151),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1095]),
+	.Y(n_14777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847878 (
+	.A1(n_20142),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1049]),
+	.B1(n_20154),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1026]),
+	.Y(n_14776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847879 (
+	.A1(n_11471),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2675]),
+	.B1(n_11421),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2698]),
+	.Y(n_14775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847880 (
+	.A1(n_17703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2652]),
+	.B1(n_17699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2629]),
+	.Y(n_14774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847881 (
+	.A1(n_20141),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2581]),
+	.B1(n_11554),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2604]),
+	.Y(n_14773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847882 (
+	.A1(n_17672),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2590]),
+	.B1(n_17612),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2613]),
+	.Y(n_14772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847883 (
+	.A1(n_11406),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2443]),
+	.B1(n_17666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2466]),
+	.Y(n_14771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847884 (
+	.A1(n_17687),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2491]),
+	.B1(n_17660),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2514]),
+	.Y(n_14770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847885 (
+	.A1(n_17703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2659]),
+	.B1(n_17699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2636]),
+	.Y(n_14769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847886 (
+	.A1(n_11472),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2728]),
+	.B1(n_17617),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2751]),
+	.Y(n_14768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847887 (
+	.A1(n_11471),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2682]),
+	.B1(n_11421),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2705]),
+	.Y(n_14767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847888 (
+	.A1(n_11461),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2560]),
+	.B1(n_17700),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2537]),
+	.Y(n_14766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847889 (
+	.A1(n_17670),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1693]),
+	.B1(n_17667),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1670]),
+	.Y(n_14765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847890 (
+	.A1(n_17688),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1739]),
+	.B1(n_17683),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1716]),
+	.Y(n_14764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847891 (
+	.A1(n_17698),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2422]),
+	.B1(n_11565),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2399]),
+	.Y(n_14763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847892 (
+	.A1(n_17674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1831]),
+	.B1(n_17671),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1808]),
+	.Y(n_14762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847893 (
+	.A1(n_17680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1785]),
+	.B1(n_17677),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1762]),
+	.Y(n_14761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847894 (
+	.A1(n_11406),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2445]),
+	.B1(n_17666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2468]),
+	.Y(n_14760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847895 (
+	.A1(n_17681),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2222]),
+	.B1(n_11582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2245]),
+	.Y(n_14759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847896 (
+	.A1(n_17689),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2374]),
+	.B1(n_17641),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2351]),
+	.Y(n_14758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847897 (
+	.A1(n_17692),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2268]),
+	.B1(n_17693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2291]),
+	.Y(n_14757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847898 (
+	.A1(n_17644),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2330]),
+	.B1(n_17684),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2307]),
+	.Y(n_14756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847899 (
+	.A1(n_17689),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2383]),
+	.B1(n_17641),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2360]),
+	.Y(n_14755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847900 (
+	.A1(n_17644),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2337]),
+	.B1(n_17684),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2314]),
+	.Y(n_14754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847901 (
+	.A1(n_17689),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2376]),
+	.B1(n_17641),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2353]),
+	.Y(n_14753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847902 (
+	.A1(n_17695),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2774]),
+	.B1(n_17613),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2797]),
+	.Y(n_14752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847903 (
+	.A1(n_17627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [354]),
+	.B1(n_17626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [331]),
+	.Y(n_14751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847904 (
+	.A1(n_17681),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2213]),
+	.B1(n_11582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2236]),
+	.Y(n_14750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847905 (
+	.A1(n_17638),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2843]),
+	.B1(n_17611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2820]),
+	.Y(n_14749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847906 (
+	.A1(n_17681),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2215]),
+	.B1(n_11582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2238]),
+	.Y(n_14748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847907 (
+	.A1(n_17635),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2912]),
+	.B1(n_17686),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2935]),
+	.Y(n_14747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847908 (
+	.A1(n_17692),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2261]),
+	.B1(n_17693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2284]),
+	.Y(n_14746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847909 (
+	.A1(n_17620),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2889]),
+	.B1(n_17614),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2866]),
+	.Y(n_14745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847910 (
+	.A1(n_11454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1295]),
+	.B1(n_17741),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1318]),
+	.Y(n_14744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847911 (
+	.A1(n_17692),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2259]),
+	.B1(n_17693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2282]),
+	.Y(n_14743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847912 (
+	.A1(n_17628),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1555]),
+	.B1(n_17623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1532]),
+	.Y(n_14742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847913 (
+	.A1(n_17632),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1601]),
+	.B1(n_17673),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1578]),
+	.Y(n_14741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847914 (
+	.A1(n_17633),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1647]),
+	.B1(n_17648),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1624]),
+	.Y(n_14740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847915 (
+	.A1(n_17746),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1433]),
+	.B1(n_17724),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1456]),
+	.Y(n_14739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847916 (
+	.A1(n_20169),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2650]),
+	.B1(n_11632),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2627]),
+	.Y(n_14738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847917 (
+	.A1(n_11406),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2452]),
+	.B1(n_17666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2475]),
+	.Y(n_14737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847918 (
+	.A1(n_509),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[145]),
+	.B1(n_498),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[913]),
+	.Y(n_14736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847919 (
+	.A1(n_11454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1295]),
+	.B1(n_17741),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1318]),
+	.Y(n_14735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847920 (
+	.A1(n_11461),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2567]),
+	.B1(n_17700),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2544]),
+	.Y(n_14734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847921 (
+	.A1(n_17687),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2498]),
+	.B1(n_17660),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2521]),
+	.Y(n_14733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847922 (
+	.A1(n_17743),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1364]),
+	.B1(n_11600),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1341]),
+	.Y(n_14732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847923 (
+	.A1(n_20237),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [236]),
+	.B1(n_20240),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [259]),
+	.Y(n_14731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847924 (
+	.A1(n_17732),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [819]),
+	.B1(n_17739),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [796]),
+	.Y(n_14730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847925 (
+	.A1(n_17744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [842]),
+	.B1(n_11430),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [865]),
+	.Y(n_14729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847926 (
+	.A1(n_17722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [888]),
+	.B1(n_17723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [911]),
+	.Y(n_14728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847927 (
+	.A1(n_17736),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1272]),
+	.B1(n_17735),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1249]),
+	.Y(n_14727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g847928 (
+	.A1(n_354),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[749]),
+	.B1(n_510),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[781]),
+	.X(n_14726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847929 (
+	.A1(n_17733),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [980]),
+	.B1(n_17709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1003]),
+	.Y(n_14725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847930 (
+	.A1(n_17734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1226]),
+	.B1(n_17713),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1203]),
+	.Y(n_14724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847931 (
+	.A1(n_17727),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1072]),
+	.B1(n_11399),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1095]),
+	.Y(n_14723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847932 (
+	.A1(n_11608),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1157]),
+	.B1(n_11451),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1180]),
+	.Y(n_14722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847933 (
+	.A1(n_17731),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1049]),
+	.B1(n_17730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1026]),
+	.Y(n_14721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847934 (
+	.A1(n_20219),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [305]),
+	.B1(n_11436),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [282]),
+	.Y(n_14720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847935 (
+	.A1(n_11559),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [451]),
+	.B1(n_17652),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [428]),
+	.Y(n_14719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847936 (
+	.A1(n_17619),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [520]),
+	.B1(n_17679),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [543]),
+	.Y(n_14718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847937 (
+	.A1(n_17665),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [497]),
+	.B1(n_17662),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [474]),
+	.Y(n_14717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847938 (
+	.A1(n_17675),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2169]),
+	.B1(n_11624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2192]),
+	.Y(n_14716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847939 (
+	.A1(n_17643),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [612]),
+	.B1(n_17645),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [635]),
+	.Y(n_14715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847940 (
+	.A1(n_507),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[497]),
+	.B1(n_508),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[529]),
+	.Y(n_14714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847941 (
+	.A1(n_11437),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2077]),
+	.B1(n_17615),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2100]),
+	.Y(n_14713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847942 (
+	.A1(n_17637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [704]),
+	.B1(n_17630),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [727]),
+	.Y(n_14712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847943 (
+	.A1(n_17668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2054]),
+	.B1(n_11584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2031]),
+	.Y(n_14711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847944 (
+	.A1(n_17639),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [658]),
+	.B1(n_17649),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [681]),
+	.Y(n_14710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847945 (
+	.A1(n_17639),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [651]),
+	.B1(n_17649),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [674]),
+	.Y(n_14709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847946 (
+	.A1(n_11598),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [351]),
+	.B1(n_20224),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [328]),
+	.Y(n_14708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847947 (
+	.A1(n_11452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [37]),
+	.B1(n_11594),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [14]),
+	.Y(n_14707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847948 (
+	.A1(n_20246),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [190]),
+	.B1(n_20253),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [213]),
+	.Y(n_14706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847949 (
+	.A1(n_17716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [175]),
+	.B1(n_17715),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [152]),
+	.Y(n_14705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847950 (
+	.A1(n_17661),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1985]),
+	.B1(n_17664),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2008]),
+	.Y(n_14704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847951 (
+	.A1(n_17717),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [106]),
+	.B1(n_17718),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [129]),
+	.Y(n_14703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847952 (
+	.A1(n_17714),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [83]),
+	.B1(n_11413),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [60]),
+	.Y(n_14702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847953 (
+	.A1(n_17622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [198]),
+	.B1(n_17624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [221]),
+	.Y(n_14701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847954 (
+	.A1(n_11428),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1893]),
+	.B1(n_11440),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1916]),
+	.Y(n_14700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847955 (
+	.A1(n_17676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [244]),
+	.B1(n_17625),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [267]),
+	.Y(n_14699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847956 (
+	.A1(n_17702),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1870]),
+	.B1(n_17654),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1847]),
+	.Y(n_14698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847957 (
+	.A1(n_17640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [313]),
+	.B1(n_17629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [290]),
+	.Y(n_14697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847958 (
+	.A1(n_17627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [359]),
+	.B1(n_17626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [336]),
+	.Y(n_14696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847959 (
+	.A1(n_20178),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2889]),
+	.B1(n_11637),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2866]),
+	.Y(n_14695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847960 (
+	.A1(n_20220),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [443]),
+	.B1(n_20177),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [420]),
+	.Y(n_14694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847961 (
+	.A1(n_20187),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2903]),
+	.B1(n_20250),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2926]),
+	.Y(n_14693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847962 (
+	.A1(n_17716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [171]),
+	.B1(n_17715),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [148]),
+	.Y(n_14692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847963 (
+	.A1(n_11608),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1164]),
+	.B1(n_11451),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1187]),
+	.Y(n_14691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847964 (
+	.A1(n_17736),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1279]),
+	.B1(n_17735),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1256]),
+	.Y(n_14690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847965 (
+	.A1(n_17734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1233]),
+	.B1(n_17713),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1210]),
+	.Y(n_14689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847966 (
+	.A1(n_20220),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [445]),
+	.B1(n_20177),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [422]),
+	.Y(n_14688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847967 (
+	.A1(n_17743),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1371]),
+	.B1(n_11600),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1348]),
+	.Y(n_14687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847968 (
+	.A1(n_17675),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2177]),
+	.B1(n_11624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2200]),
+	.Y(n_14686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847969 (
+	.A1(n_493),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[728]),
+	.B1(n_494),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[632]),
+	.Y(n_14685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847970 (
+	.A1(n_20174),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [512]),
+	.B1(n_11567),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [535]),
+	.Y(n_14684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847971 (
+	.A1(n_17746),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1440]),
+	.B1(n_17724),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1463]),
+	.Y(n_14683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847972 (
+	.A1(n_20158),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [491]),
+	.B1(n_11435),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [468]),
+	.Y(n_14682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847973 (
+	.A1(n_17745),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1417]),
+	.B1(n_17728),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1394]),
+	.Y(n_14681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847974 (
+	.A1(n_11428),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1900]),
+	.B1(n_11440),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1923]),
+	.Y(n_14680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847975 (
+	.A1(n_20174),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [514]),
+	.B1(n_11567),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [537]),
+	.Y(n_14679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847976 (
+	.A1(n_17661),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1992]),
+	.B1(n_17664),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2015]),
+	.Y(n_14678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847977 (
+	.A1(n_17690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1946]),
+	.B1(n_17669),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1969]),
+	.Y(n_14677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847978 (
+	.A1(n_349),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[109]),
+	.B1(n_497),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[173]),
+	.Y(n_14676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847979 (
+	.A1(n_20153),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [376]),
+	.B1(n_20202),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [399]),
+	.Y(n_14675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847980 (
+	.A1(n_20158),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [489]),
+	.B1(n_11435),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [466]),
+	.Y(n_14674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847981 (
+	.A1(n_11437),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2084]),
+	.B1(n_17615),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2107]),
+	.Y(n_14673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847982 (
+	.A1(n_20163),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2765]),
+	.B1(n_20149),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2788]),
+	.Y(n_14672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847983 (
+	.A1(n_11448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2561]),
+	.B1(n_11622),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2538]),
+	.Y(n_14671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847984 (
+	.A1(n_17675),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2176]),
+	.B1(n_11624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2199]),
+	.Y(n_14670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847985 (
+	.A1(n_17631),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2130]),
+	.B1(n_17634),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2153]),
+	.Y(n_14669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847986 (
+	.A1(n_11552),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [773]),
+	.B1(n_11484),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [750]),
+	.Y(n_14668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847987 (
+	.A1(n_20153),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [374]),
+	.B1(n_20202),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [397]),
+	.Y(n_14667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847988 (
+	.A1(n_20161),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2423]),
+	.B1(n_20196),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2400]),
+	.Y(n_14666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847989 (
+	.A1(n_17732),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [819]),
+	.B1(n_17739),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [796]),
+	.Y(n_14665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847990 (
+	.A1(n_17744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [842]),
+	.B1(n_11430),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [865]),
+	.Y(n_14664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847991 (
+	.A1(n_17722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [888]),
+	.B1(n_17723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [911]),
+	.Y(n_14663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847992 (
+	.A1(n_11454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1302]),
+	.B1(n_17741),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1325]),
+	.Y(n_14662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847993 (
+	.A1(n_20251),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2446]),
+	.B1(n_20258),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2469]),
+	.Y(n_14661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847994 (
+	.A1(n_17640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [309]),
+	.B1(n_17629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [286]),
+	.Y(n_14660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847995 (
+	.A1(n_17743),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1371]),
+	.B1(n_11600),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1348]),
+	.Y(n_14659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847996 (
+	.A1(n_17746),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1440]),
+	.B1(n_17724),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1463]),
+	.Y(n_14658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847997 (
+	.A1(n_17745),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1417]),
+	.B1(n_17728),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1394]),
+	.Y(n_14657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847998 (
+	.A1(n_20178),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2880]),
+	.B1(n_11637),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2857]),
+	.Y(n_14656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g847999 (
+	.A1(n_20204),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2492]),
+	.B1(n_11547),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2515]),
+	.Y(n_14655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848000 (
+	.A1(n_17719),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1141]),
+	.B1(n_11605),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1118]),
+	.Y(n_14654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848001 (
+	.A1(n_20199),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [75]),
+	.B1(n_20232),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [52]),
+	.Y(n_14653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848002 (
+	.A1(n_11608),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1164]),
+	.B1(n_11451),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1187]),
+	.Y(n_14652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848003 (
+	.A1(n_20247),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2262]),
+	.B1(n_20248),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2285]),
+	.Y(n_14651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848004 (
+	.A1(n_17736),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1279]),
+	.B1(n_17735),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1256]),
+	.Y(n_14650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848005 (
+	.A1(n_17734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1233]),
+	.B1(n_17713),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1210]),
+	.Y(n_14649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848006 (
+	.A1(n_17695),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2774]),
+	.B1(n_17613),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2797]),
+	.Y(n_14648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848007 (
+	.A1(n_17638),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2843]),
+	.B1(n_17611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2820]),
+	.Y(n_14647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848008 (
+	.A1(n_20256),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [98]),
+	.B1(n_20157),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [121]),
+	.Y(n_14646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848009 (
+	.A1(n_20238),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2216]),
+	.B1(n_20239),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2239]),
+	.Y(n_14645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848010 (
+	.A1(n_17635),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2912]),
+	.B1(n_17686),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2935]),
+	.Y(n_14644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848011 (
+	.A1(n_17620),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2889]),
+	.B1(n_17614),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2866]),
+	.Y(n_14643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848012 (
+	.A1(n_20249),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2377]),
+	.B1(n_20255),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2354]),
+	.Y(n_14642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848013 (
+	.A1(n_17703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2659]),
+	.B1(n_17699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2636]),
+	.Y(n_14641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848014 (
+	.A1(n_11472),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2728]),
+	.B1(n_17617),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2751]),
+	.Y(n_14640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848015 (
+	.A1(n_11471),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2682]),
+	.B1(n_11421),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2705]),
+	.Y(n_14639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848016 (
+	.A1(n_20243),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2331]),
+	.B1(n_20241),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2308]),
+	.Y(n_14638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848017 (
+	.A1(n_11403),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [29]),
+	.B1(n_11434),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [6]),
+	.Y(n_14637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848018 (
+	.A1(n_17733),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [980]),
+	.B1(n_17709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1003]),
+	.Y(n_14636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848019 (
+	.A1(n_20246),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [192]),
+	.B1(n_20253),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [215]),
+	.Y(n_14635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848020 (
+	.A1(n_17727),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1072]),
+	.B1(n_11399),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1095]),
+	.Y(n_14634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848021 (
+	.A1(n_17731),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1049]),
+	.B1(n_17730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1026]),
+	.Y(n_14633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848022 (
+	.A1(n_12498),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [167]),
+	.B1(n_20262),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [144]),
+	.Y(n_14632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848023 (
+	.A1(n_20219),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [307]),
+	.B1(n_11436),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [284]),
+	.Y(n_14631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848024 (
+	.A1(n_17628),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1555]),
+	.B1(n_17623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1532]),
+	.Y(n_14630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848025 (
+	.A1(n_17632),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1601]),
+	.B1(n_17673),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1578]),
+	.Y(n_14629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848026 (
+	.A1(n_17633),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1647]),
+	.B1(n_17648),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1624]),
+	.Y(n_14628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848027 (
+	.A1(n_11598),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [353]),
+	.B1(n_20224),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [330]),
+	.Y(n_14627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848028 (
+	.A1(n_20251),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2443]),
+	.B1(n_20258),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2466]),
+	.Y(n_14626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848029 (
+	.A1(n_17688),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1739]),
+	.B1(n_17683),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1716]),
+	.Y(n_14625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848030 (
+	.A1(n_20237),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [238]),
+	.B1(n_20240),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [261]),
+	.Y(n_14624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848031 (
+	.A1(n_17674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1831]),
+	.B1(n_17671),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1808]),
+	.Y(n_14623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848032 (
+	.A1(n_17680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1785]),
+	.B1(n_17677),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1762]),
+	.Y(n_14622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848033 (
+	.A1(n_20170),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2076]),
+	.B1(n_20176),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2099]),
+	.Y(n_14621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848034 (
+	.A1(n_11559),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [451]),
+	.B1(n_17652),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [428]),
+	.Y(n_14620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848035 (
+	.A1(n_17619),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [520]),
+	.B1(n_17679),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [543]),
+	.Y(n_14619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848036 (
+	.A1(n_17665),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [497]),
+	.B1(n_17662),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [474]),
+	.Y(n_14618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848037 (
+	.A1(n_17643),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [612]),
+	.B1(n_17645),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [635]),
+	.Y(n_14617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848038 (
+	.A1(n_17637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [704]),
+	.B1(n_17630),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [727]),
+	.Y(n_14616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848039 (
+	.A1(n_17639),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [658]),
+	.B1(n_17649),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [681]),
+	.Y(n_14615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848040 (
+	.A1(n_12498),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [169]),
+	.B1(n_20262),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [146]),
+	.Y(n_14614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848041 (
+	.A1(n_11452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [37]),
+	.B1(n_11594),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [14]),
+	.Y(n_14613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848042 (
+	.A1(n_20199),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [77]),
+	.B1(n_20232),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [54]),
+	.Y(n_14612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848043 (
+	.A1(n_11422),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2122]),
+	.B1(n_11478),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2145]),
+	.Y(n_14611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848044 (
+	.A1(n_11403),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [31]),
+	.B1(n_11434),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [8]),
+	.Y(n_14610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848045 (
+	.A1(n_17714),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [83]),
+	.B1(n_11413),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [60]),
+	.Y(n_14609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848046 (
+	.A1(n_17717),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [106]),
+	.B1(n_17718),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [129]),
+	.Y(n_14608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848047 (
+	.A1(n_17716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [175]),
+	.B1(n_17715),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [152]),
+	.Y(n_14607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848048 (
+	.A1(n_11479),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2168]),
+	.B1(n_20165),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2191]),
+	.Y(n_14606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848049 (
+	.A1(n_17622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [198]),
+	.B1(n_17624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [221]),
+	.Y(n_14605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848050 (
+	.A1(n_17676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [244]),
+	.B1(n_17625),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [267]),
+	.Y(n_14604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848051 (
+	.A1(n_11448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2558]),
+	.B1(n_11622),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2535]),
+	.Y(n_14603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848052 (
+	.A1(n_17640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [313]),
+	.B1(n_17629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [290]),
+	.Y(n_14602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848053 (
+	.A1(n_17627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [359]),
+	.B1(n_17626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [336]),
+	.Y(n_14601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848054 (
+	.A1(n_20168),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2053]),
+	.B1(n_11423),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2030]),
+	.Y(n_14600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848055 (
+	.A1(n_11428),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1900]),
+	.B1(n_11440),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1923]),
+	.Y(n_14599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848056 (
+	.A1(n_17661),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1992]),
+	.B1(n_17664),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2015]),
+	.Y(n_14598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848057 (
+	.A1(n_17690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1946]),
+	.B1(n_17669),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1969]),
+	.Y(n_14597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848058 (
+	.A1(n_11404),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [652]),
+	.B1(n_20244),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [675]),
+	.Y(n_14596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848059 (
+	.A1(n_20191),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [560]),
+	.B1(n_20195),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [583]),
+	.Y(n_14595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848060 (
+	.A1(n_11437),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2084]),
+	.B1(n_17615),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2107]),
+	.Y(n_14594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848061 (
+	.A1(n_17675),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2176]),
+	.B1(n_11624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2199]),
+	.Y(n_14593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848062 (
+	.A1(n_20207),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [698]),
+	.B1(n_11556),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [721]),
+	.Y(n_14592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848063 (
+	.A1(n_17631),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2130]),
+	.B1(n_17634),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2153]),
+	.Y(n_14591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848064 (
+	.A1(n_17692),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2268]),
+	.B1(n_17693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2291]),
+	.Y(n_14590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848065 (
+	.A1(n_17689),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2383]),
+	.B1(n_17641),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2360]),
+	.Y(n_14589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848066 (
+	.A1(n_17644),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2337]),
+	.B1(n_17684),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2314]),
+	.Y(n_14588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848067 (
+	.A1(n_11406),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2452]),
+	.B1(n_17666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2475]),
+	.Y(n_14587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848068 (
+	.A1(n_507),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[493]),
+	.B1(n_508),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[525]),
+	.Y(n_14586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848069 (
+	.A1(n_11420),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1892]),
+	.B1(n_20211),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1915]),
+	.Y(n_14585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848070 (
+	.A1(n_11461),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2567]),
+	.B1(n_17700),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2544]),
+	.Y(n_14584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848071 (
+	.A1(n_20138),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [951]),
+	.B1(n_20215),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [928]),
+	.Y(n_14583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848072 (
+	.A1(n_17687),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2498]),
+	.B1(n_17660),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2521]),
+	.Y(n_14582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848073 (
+	.A1(n_20174),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [522]),
+	.B1(n_11567),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [545]),
+	.Y(n_14581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848074 (
+	.A1(n_20161),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2420]),
+	.B1(n_20196),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2397]),
+	.Y(n_14580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848075 (
+	.A1(n_20207),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [703]),
+	.B1(n_11556),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [726]),
+	.Y(n_14579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848076 (
+	.A1(n_20143),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [974]),
+	.B1(n_20144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [997]),
+	.Y(n_14578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848077 (
+	.A1(n_11404),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [657]),
+	.B1(n_20244),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [680]),
+	.Y(n_14577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848078 (
+	.A1(n_20245),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1938]),
+	.B1(n_20229),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1961]),
+	.Y(n_14576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848079 (
+	.A1(n_20180),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1066]),
+	.B1(n_20151),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1089]),
+	.Y(n_14575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848080 (
+	.A1(n_20191),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [565]),
+	.B1(n_20195),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [588]),
+	.Y(n_14574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848081 (
+	.A1(n_11609),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1869]),
+	.B1(n_20194),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1846]),
+	.Y(n_14573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848082 (
+	.A1(n_11459),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [611]),
+	.B1(n_11581),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [634]),
+	.Y(n_14572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848083 (
+	.A1(n_20254),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1278]),
+	.B1(n_11431),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1255]),
+	.Y(n_14571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848084 (
+	.A1(n_11439),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1232]),
+	.B1(n_11432),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1209]),
+	.Y(n_14570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848085 (
+	.A1(n_20259),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [836]),
+	.B1(n_11489),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [859]),
+	.Y(n_14569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848086 (
+	.A1(n_11485),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1140]),
+	.B1(n_20184),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1117]),
+	.Y(n_14568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848087 (
+	.A1(n_11640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1163]),
+	.B1(n_11483),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1186]),
+	.Y(n_14567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848088 (
+	.A1(n_20148),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [767]),
+	.B1(n_20147),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [744]),
+	.Y(n_14566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848089 (
+	.A1(n_20180),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1071]),
+	.B1(n_20151),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1094]),
+	.Y(n_14565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848090 (
+	.A1(n_20204),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2489]),
+	.B1(n_11547),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2512]),
+	.Y(n_14564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848091 (
+	.A1(n_20142),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1048]),
+	.B1(n_20154),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1025]),
+	.Y(n_14563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848092 (
+	.A1(n_11400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [882]),
+	.B1(n_11490),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [905]),
+	.Y(n_14562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848093 (
+	.A1(n_20138),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [956]),
+	.B1(n_20215),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [933]),
+	.Y(n_14561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848094 (
+	.A1(n_20143),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [979]),
+	.B1(n_20144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1002]),
+	.Y(n_14560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848095 (
+	.A1(n_20174),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [519]),
+	.B1(n_11567),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [542]),
+	.Y(n_14559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848096 (
+	.A1(n_20158),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [496]),
+	.B1(n_11435),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [473]),
+	.Y(n_14558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848097 (
+	.A1(n_11404),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [650]),
+	.B1(n_20244),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [673]),
+	.Y(n_14557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848098 (
+	.A1(n_20209),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1503]),
+	.B1(n_20208),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1480]),
+	.Y(n_14556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848099 (
+	.A1(n_20153),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [381]),
+	.B1(n_20202),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [404]),
+	.Y(n_14555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848100 (
+	.A1(n_20220),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [450]),
+	.B1(n_20177),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [427]),
+	.Y(n_14554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848101 (
+	.A1(n_20263),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1595]),
+	.B1(n_20198),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1572]),
+	.Y(n_14553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848102 (
+	.A1(n_493),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[717]),
+	.B1(n_494),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[621]),
+	.Y(n_14552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848103 (
+	.A1(n_20259),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [841]),
+	.B1(n_11489),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [864]),
+	.Y(n_14551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848104 (
+	.A1(n_20191),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [558]),
+	.B1(n_20195),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [581]),
+	.Y(n_14550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848105 (
+	.A1(n_20206),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1549]),
+	.B1(n_20205),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1526]),
+	.Y(n_14549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848106 (
+	.A1(n_20162),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [818]),
+	.B1(n_20145),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [795]),
+	.Y(n_14548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848107 (
+	.A1(n_20148),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [772]),
+	.B1(n_20147),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [749]),
+	.Y(n_14547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848108 (
+	.A1(n_20207),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [696]),
+	.B1(n_11556),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [719]),
+	.Y(n_14546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848109 (
+	.A1(n_20243),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2328]),
+	.B1(n_20241),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2305]),
+	.Y(n_14545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848110 (
+	.A1(n_20210),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1416]),
+	.B1(n_20203),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1393]),
+	.Y(n_14544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848111 (
+	.A1(n_20242),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1301]),
+	.B1(n_20189),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1324]),
+	.Y(n_14543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848112 (
+	.A1(n_20226),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1370]),
+	.B1(n_11398),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1347]),
+	.Y(n_14542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848113 (
+	.A1(n_11445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1687]),
+	.B1(n_11470),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1664]),
+	.Y(n_14541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848114 (
+	.A1(n_11469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1733]),
+	.B1(n_20233),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1710]),
+	.Y(n_14540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848115 (
+	.A1(n_11640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1166]),
+	.B1(n_11483),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1189]),
+	.Y(n_14539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848116 (
+	.A1(n_359),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[696]),
+	.B1(n_352),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[664]),
+	.Y(n_14538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848117 (
+	.A1(n_20231),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1779]),
+	.B1(n_20225),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1756]),
+	.Y(n_14537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848118 (
+	.A1(n_20214),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1646]),
+	.B1(n_20212),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1623]),
+	.Y(n_14536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848119 (
+	.A1(n_20263),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1600]),
+	.B1(n_20198),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1577]),
+	.Y(n_14535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848120 (
+	.A1(n_20206),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1554]),
+	.B1(n_20205),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1531]),
+	.Y(n_14534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848121 (
+	.A1(n_17637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [695]),
+	.B1(n_17630),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [718]),
+	.Y(n_14533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848122 (
+	.A1(n_20226),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1365]),
+	.B1(n_11398),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1342]),
+	.Y(n_14532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848123 (
+	.A1(n_20223),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1830]),
+	.B1(n_20221),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1807]),
+	.Y(n_14531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848124 (
+	.A1(n_350),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[557]),
+	.B1(n_505),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[589]),
+	.Y(n_14530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848125 (
+	.A1(n_20249),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2374]),
+	.B1(n_20255),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2351]),
+	.Y(n_14529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848126 (
+	.A1(n_20210),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1411]),
+	.B1(n_20203),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1388]),
+	.Y(n_14528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848127 (
+	.A1(n_11469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1738]),
+	.B1(n_20233),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1715]),
+	.Y(n_14527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848128 (
+	.A1(n_20231),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1784]),
+	.B1(n_20225),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1761]),
+	.Y(n_14526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848129 (
+	.A1(n_20138),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [949]),
+	.B1(n_20215),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [926]),
+	.Y(n_14525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848130 (
+	.A1(n_20242),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1296]),
+	.B1(n_20189),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1319]),
+	.Y(n_14524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848131 (
+	.A1(n_11448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2566]),
+	.B1(n_11622),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2543]),
+	.Y(n_14523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848132 (
+	.A1(n_20236),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1434]),
+	.B1(n_20197),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1457]),
+	.Y(n_14522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848133 (
+	.A1(n_20251),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2451]),
+	.B1(n_20258),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2474]),
+	.Y(n_14521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848134 (
+	.A1(n_20204),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2497]),
+	.B1(n_11547),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2520]),
+	.Y(n_14520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848135 (
+	.A1(n_20143),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [972]),
+	.B1(n_20144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [995]),
+	.Y(n_14519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848136 (
+	.A1(n_11485),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1135]),
+	.B1(n_20184),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1112]),
+	.Y(n_14518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848137 (
+	.A1(n_359),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[685]),
+	.B1(n_352),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[653]),
+	.Y(n_14517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848138 (
+	.A1(n_20249),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2382]),
+	.B1(n_20255),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2359]),
+	.Y(n_14516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848139 (
+	.A1(n_20254),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1273]),
+	.B1(n_11431),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1250]),
+	.Y(n_14515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848140 (
+	.A1(n_20243),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2336]),
+	.B1(n_20241),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2313]),
+	.Y(n_14514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848141 (
+	.A1(n_20247),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2267]),
+	.B1(n_20248),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2290]),
+	.Y(n_14513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848142 (
+	.A1(n_20180),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1064]),
+	.B1(n_20151),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1087]),
+	.Y(n_14512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848143 (
+	.A1(n_11439),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1227]),
+	.B1(n_11432),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1204]),
+	.Y(n_14511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848144 (
+	.A1(n_11609),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1876]),
+	.B1(n_20194),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1853]),
+	.Y(n_14510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848145 (
+	.A1(n_20238),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2213]),
+	.B1(n_20239),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2236]),
+	.Y(n_14509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848146 (
+	.A1(n_11640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1158]),
+	.B1(n_11483),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1181]),
+	.Y(n_14508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848147 (
+	.A1(n_20260),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1991]),
+	.B1(n_20261),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2014]),
+	.Y(n_14507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848148 (
+	.A1(n_11420),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1899]),
+	.B1(n_20211),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1922]),
+	.Y(n_14506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848149 (
+	.A1(n_20245),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1945]),
+	.B1(n_20229),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1968]),
+	.Y(n_14505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848150 (
+	.A1(n_20168),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2060]),
+	.B1(n_11423),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2037]),
+	.Y(n_14504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848151 (
+	.A1(n_11479),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2175]),
+	.B1(n_20165),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2198]),
+	.Y(n_14503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848152 (
+	.A1(n_20247),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2259]),
+	.B1(n_20248),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2282]),
+	.Y(n_14502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848153 (
+	.A1(n_11400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [880]),
+	.B1(n_11490),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [903]),
+	.Y(n_14501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848154 (
+	.A1(n_20170),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2083]),
+	.B1(n_20176),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2106]),
+	.Y(n_14500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848155 (
+	.A1(n_20183),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2837]),
+	.B1(n_20182),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2814]),
+	.Y(n_14499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848156 (
+	.A1(n_11422),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2129]),
+	.B1(n_11478),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2152]),
+	.Y(n_14498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848157 (
+	.A1(n_20187),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2906]),
+	.B1(n_20250),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2929]),
+	.Y(n_14497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848158 (
+	.A1(n_350),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[559]),
+	.B1(n_505),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[591]),
+	.Y(n_14496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848159 (
+	.A1(n_20178),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2888]),
+	.B1(n_11637),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2865]),
+	.Y(n_14495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848160 (
+	.A1(n_20259),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [834]),
+	.B1(n_11489),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [857]),
+	.Y(n_14494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848161 (
+	.A1(n_20163),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2768]),
+	.B1(n_20149),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2791]),
+	.Y(n_14493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848162 (
+	.A1(n_20183),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2842]),
+	.B1(n_20182),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2819]),
+	.Y(n_14492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848163 (
+	.A1(n_20163),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2773]),
+	.B1(n_20149),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2796]),
+	.Y(n_14491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848164 (
+	.A1(n_20148),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [765]),
+	.B1(n_20147),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [742]),
+	.Y(n_14490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848165 (
+	.A1(n_11453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2681]),
+	.B1(n_11488),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2704]),
+	.Y(n_14489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848166 (
+	.A1(n_20141),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2589]),
+	.B1(n_11554),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2612]),
+	.Y(n_14488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848167 (
+	.A1(n_20169),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2658]),
+	.B1(n_11632),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2635]),
+	.Y(n_14487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848168 (
+	.A1(n_20140),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2722]),
+	.B1(n_11644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2745]),
+	.Y(n_14486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848169 (
+	.A1(n_20141),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2584]),
+	.B1(n_11554),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2607]),
+	.Y(n_14485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848170 (
+	.A1(n_20169),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2653]),
+	.B1(n_11632),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2630]),
+	.Y(n_14484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848171 (
+	.A1(n_11403),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [36]),
+	.B1(n_11434),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [13]),
+	.Y(n_14483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848172 (
+	.A1(n_20199),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [82]),
+	.B1(n_20232),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [59]),
+	.Y(n_14482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848173 (
+	.A1(n_12498),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [174]),
+	.B1(n_20262),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [151]),
+	.Y(n_14481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848174 (
+	.A1(n_20214),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1649]),
+	.B1(n_20212),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1626]),
+	.Y(n_14480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848175 (
+	.A1(n_20246),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [197]),
+	.B1(n_20253),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [220]),
+	.Y(n_14479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848176 (
+	.A1(n_507),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[504]),
+	.B1(n_508),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[536]),
+	.Y(n_14478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848177 (
+	.A1(n_11479),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2170]),
+	.B1(n_20165),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2193]),
+	.Y(n_14477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848178 (
+	.A1(n_20219),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [312]),
+	.B1(n_11436),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [289]),
+	.Y(n_14476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848179 (
+	.A1(n_20238),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2214]),
+	.B1(n_20239),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2237]),
+	.Y(n_14475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848180 (
+	.A1(n_11598),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [358]),
+	.B1(n_20224),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [335]),
+	.Y(n_14474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848181 (
+	.A1(n_20170),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2078]),
+	.B1(n_20176),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2101]),
+	.Y(n_14473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848182 (
+	.A1(n_11485),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1140]),
+	.B1(n_20184),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1117]),
+	.Y(n_14472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848183 (
+	.A1(n_11422),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2124]),
+	.B1(n_11478),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2147]),
+	.Y(n_14471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848184 (
+	.A1(n_20254),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1278]),
+	.B1(n_11431),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1255]),
+	.Y(n_14470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848185 (
+	.A1(n_20249),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2375]),
+	.B1(n_20255),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2352]),
+	.Y(n_14469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848186 (
+	.A1(n_11439),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1232]),
+	.B1(n_11432),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1209]),
+	.Y(n_14468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848187 (
+	.A1(n_11640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1163]),
+	.B1(n_11483),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1186]),
+	.Y(n_14467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848188 (
+	.A1(n_20138),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [956]),
+	.B1(n_20215),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [933]),
+	.Y(n_14466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848189 (
+	.A1(n_20243),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2329]),
+	.B1(n_20241),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2306]),
+	.Y(n_14465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848190 (
+	.A1(n_11420),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1894]),
+	.B1(n_20211),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1917]),
+	.Y(n_14464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848191 (
+	.A1(n_20180),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1071]),
+	.B1(n_20151),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1094]),
+	.Y(n_14463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848192 (
+	.A1(n_20143),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [979]),
+	.B1(n_20144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1002]),
+	.Y(n_14462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848193 (
+	.A1(n_20142),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1048]),
+	.B1(n_20154),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1025]),
+	.Y(n_14461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848194 (
+	.A1(n_20245),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1940]),
+	.B1(n_20229),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1963]),
+	.Y(n_14460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848195 (
+	.A1(n_20148),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [772]),
+	.B1(n_20147),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [749]),
+	.Y(n_14459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848196 (
+	.A1(n_11609),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1871]),
+	.B1(n_20194),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1848]),
+	.Y(n_14458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848197 (
+	.A1(n_11400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [887]),
+	.B1(n_11490),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [910]),
+	.Y(n_14457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848198 (
+	.A1(n_20259),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [841]),
+	.B1(n_11489),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [864]),
+	.Y(n_14456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848199 (
+	.A1(n_11400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [879]),
+	.B1(n_11490),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [902]),
+	.Y(n_14455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848200 (
+	.A1(n_20162),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [818]),
+	.B1(n_20145),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [795]),
+	.Y(n_14454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848201 (
+	.A1(n_20191),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [565]),
+	.B1(n_20195),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [588]),
+	.Y(n_14453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848202 (
+	.A1(n_11609),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1871]),
+	.B1(n_20194),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1848]),
+	.Y(n_14452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848203 (
+	.A1(n_20207),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [703]),
+	.B1(n_11556),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [726]),
+	.Y(n_14451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848204 (
+	.A1(n_20260),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1986]),
+	.B1(n_20261),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2009]),
+	.Y(n_14450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848205 (
+	.A1(n_11459),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [611]),
+	.B1(n_11581),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [634]),
+	.Y(n_14449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848206 (
+	.A1(n_11404),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [657]),
+	.B1(n_20244),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [680]),
+	.Y(n_14448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848207 (
+	.A1(n_20251),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2444]),
+	.B1(n_20258),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2467]),
+	.Y(n_14447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848208 (
+	.A1(n_11420),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1894]),
+	.B1(n_20211),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1917]),
+	.Y(n_14446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848209 (
+	.A1(n_20174),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [519]),
+	.B1(n_11567),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [542]),
+	.Y(n_14445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848210 (
+	.A1(n_20161),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2421]),
+	.B1(n_20196),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2398]),
+	.Y(n_14444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848211 (
+	.A1(n_20245),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1940]),
+	.B1(n_20229),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1963]),
+	.Y(n_14443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848212 (
+	.A1(n_20158),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [496]),
+	.B1(n_11435),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [473]),
+	.Y(n_14442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848213 (
+	.A1(n_20220),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [450]),
+	.B1(n_20177),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [427]),
+	.Y(n_14441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848214 (
+	.A1(n_20162),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [810]),
+	.B1(n_20145),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [787]),
+	.Y(n_14440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848215 (
+	.A1(n_507),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[495]),
+	.B1(n_508),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[527]),
+	.Y(n_14439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848216 (
+	.A1(n_20204),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2492]),
+	.B1(n_11547),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2515]),
+	.Y(n_14438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848217 (
+	.A1(n_20204),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2490]),
+	.B1(n_11547),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2513]),
+	.Y(n_14437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848218 (
+	.A1(n_20236),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1439]),
+	.B1(n_20197),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1462]),
+	.Y(n_14436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848219 (
+	.A1(n_11448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2561]),
+	.B1(n_11622),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2538]),
+	.Y(n_14435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848220 (
+	.A1(n_20226),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1370]),
+	.B1(n_11398),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1347]),
+	.Y(n_14434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848221 (
+	.A1(n_20210),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1416]),
+	.B1(n_20203),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1393]),
+	.Y(n_14433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848222 (
+	.A1(n_20161),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2423]),
+	.B1(n_20196),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2400]),
+	.Y(n_14432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848223 (
+	.A1(n_11559),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [442]),
+	.B1(n_17652),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [419]),
+	.Y(n_14431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848224 (
+	.A1(n_20260),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1991]),
+	.B1(n_20261),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2014]),
+	.Y(n_14430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848225 (
+	.A1(n_20251),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2446]),
+	.B1(n_20258),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2469]),
+	.Y(n_14429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848226 (
+	.A1(n_11420),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1899]),
+	.B1(n_20211),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1922]),
+	.Y(n_14428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848227 (
+	.A1(n_20254),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1271]),
+	.B1(n_11431),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1248]),
+	.Y(n_14427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848228 (
+	.A1(n_20245),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1945]),
+	.B1(n_20229),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1968]),
+	.Y(n_14426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848229 (
+	.A1(n_20243),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2331]),
+	.B1(n_20241),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2308]),
+	.Y(n_14425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848230 (
+	.A1(n_11479),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2175]),
+	.B1(n_20165),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2198]),
+	.Y(n_14424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848231 (
+	.A1(n_20148),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [764]),
+	.B1(n_20147),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [741]),
+	.Y(n_14423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848232 (
+	.A1(n_11640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1156]),
+	.B1(n_11483),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1179]),
+	.Y(n_14422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848233 (
+	.A1(n_20249),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2377]),
+	.B1(n_20255),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2354]),
+	.Y(n_14421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848234 (
+	.A1(n_20170),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2083]),
+	.B1(n_20176),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2106]),
+	.Y(n_14420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848235 (
+	.A1(n_11422),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2129]),
+	.B1(n_11478),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2152]),
+	.Y(n_14419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848236 (
+	.A1(n_20238),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2216]),
+	.B1(n_20239),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2239]),
+	.Y(n_14418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848237 (
+	.A1(n_20140),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2727]),
+	.B1(n_11644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2750]),
+	.Y(n_14417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848238 (
+	.A1(n_11485),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1133]),
+	.B1(n_20184),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1110]),
+	.Y(n_14416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848239 (
+	.A1(n_20247),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2262]),
+	.B1(n_20248),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2285]),
+	.Y(n_14415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848240 (
+	.A1(n_11453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2681]),
+	.B1(n_11488),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2704]),
+	.Y(n_14414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848241 (
+	.A1(n_20169),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2658]),
+	.B1(n_11632),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2635]),
+	.Y(n_14413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848242 (
+	.A1(n_11469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1733]),
+	.B1(n_20233),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1710]),
+	.Y(n_14412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848243 (
+	.A1(n_20187),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2911]),
+	.B1(n_20250),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2934]),
+	.Y(n_14411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848244 (
+	.A1(n_20223),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1825]),
+	.B1(n_20221),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1802]),
+	.Y(n_14410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848245 (
+	.A1(n_20183),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2842]),
+	.B1(n_20182),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2819]),
+	.Y(n_14409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848246 (
+	.A1(n_20178),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2888]),
+	.B1(n_11637),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2865]),
+	.Y(n_14408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848247 (
+	.A1(n_11439),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1225]),
+	.B1(n_11432),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1202]),
+	.Y(n_14407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848248 (
+	.A1(n_20231),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1779]),
+	.B1(n_20225),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1756]),
+	.Y(n_14406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848249 (
+	.A1(n_20161),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2428]),
+	.B1(n_20196),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2405]),
+	.Y(n_14405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848250 (
+	.A1(n_11445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1687]),
+	.B1(n_11470),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1664]),
+	.Y(n_14404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848251 (
+	.A1(n_11448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2566]),
+	.B1(n_11622),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2543]),
+	.Y(n_14403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848252 (
+	.A1(n_20236),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1432]),
+	.B1(n_20197),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1455]),
+	.Y(n_14402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848253 (
+	.A1(n_20251),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2451]),
+	.B1(n_20258),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2474]),
+	.Y(n_14401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848254 (
+	.A1(n_20204),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2497]),
+	.B1(n_11547),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2520]),
+	.Y(n_14400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848255 (
+	.A1(n_20238),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2221]),
+	.B1(n_20239),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2244]),
+	.Y(n_14399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848256 (
+	.A1(n_20249),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2382]),
+	.B1(n_20255),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2359]),
+	.Y(n_14398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848257 (
+	.A1(n_17714),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [76]),
+	.B1(n_11413),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [53]),
+	.Y(n_14397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848258 (
+	.A1(n_20214),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1641]),
+	.B1(n_20212),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1618]),
+	.Y(n_14396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848259 (
+	.A1(n_20243),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2336]),
+	.B1(n_20241),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2313]),
+	.Y(n_14395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848260 (
+	.A1(n_20247),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2267]),
+	.B1(n_20248),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2290]),
+	.Y(n_14394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848261 (
+	.A1(n_20226),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1363]),
+	.B1(n_11398),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1340]),
+	.Y(n_14393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848262 (
+	.A1(n_20263),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1595]),
+	.B1(n_20198),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1572]),
+	.Y(n_14392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848263 (
+	.A1(n_359),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[687]),
+	.B1(n_352),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[655]),
+	.Y(n_14391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848264 (
+	.A1(n_20209),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1503]),
+	.B1(n_20208),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1480]),
+	.Y(n_14390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848265 (
+	.A1(n_20214),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1646]),
+	.B1(n_20212),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1623]),
+	.Y(n_14389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848266 (
+	.A1(n_20242),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1294]),
+	.B1(n_20189),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1317]),
+	.Y(n_14388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848267 (
+	.A1(n_20263),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1600]),
+	.B1(n_20198),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1577]),
+	.Y(n_14387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848268 (
+	.A1(n_20206),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1554]),
+	.B1(n_20205),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1531]),
+	.Y(n_14386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848269 (
+	.A1(n_20210),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1409]),
+	.B1(n_20203),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1386]),
+	.Y(n_14385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848270 (
+	.A1(n_20180),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1063]),
+	.B1(n_20151),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1086]),
+	.Y(n_14384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848271 (
+	.A1(n_20223),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1830]),
+	.B1(n_20221),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1807]),
+	.Y(n_14383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848272 (
+	.A1(n_11469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1738]),
+	.B1(n_20233),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1715]),
+	.Y(n_14382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848273 (
+	.A1(n_20231),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1784]),
+	.B1(n_20225),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1761]),
+	.Y(n_14381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848274 (
+	.A1(n_11422),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2124]),
+	.B1(n_11478),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2147]),
+	.Y(n_14380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848275 (
+	.A1(n_20170),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2078]),
+	.B1(n_20176),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2101]),
+	.Y(n_14379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848276 (
+	.A1(n_12498),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [174]),
+	.B1(n_20262),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [151]),
+	.Y(n_14378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848277 (
+	.A1(n_20168),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2055]),
+	.B1(n_11423),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2032]),
+	.Y(n_14377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848278 (
+	.A1(n_20256),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [105]),
+	.B1(n_20157),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [128]),
+	.Y(n_14376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848279 (
+	.A1(n_20199),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [82]),
+	.B1(n_20232),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [59]),
+	.Y(n_14375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848280 (
+	.A1(n_11598),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [358]),
+	.B1(n_20224),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [335]),
+	.Y(n_14374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848281 (
+	.A1(n_20138),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [948]),
+	.B1(n_20215),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [925]),
+	.Y(n_14373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848282 (
+	.A1(n_20169),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2651]),
+	.B1(n_11632),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2628]),
+	.Y(n_14372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848283 (
+	.A1(n_20219),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [312]),
+	.B1(n_11436),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [289]),
+	.Y(n_14371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848284 (
+	.A1(n_20236),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1434]),
+	.B1(n_20197),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1457]),
+	.Y(n_14370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848285 (
+	.A1(n_20237),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [243]),
+	.B1(n_20240),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [266]),
+	.Y(n_14369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848286 (
+	.A1(n_20242),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1296]),
+	.B1(n_20189),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1319]),
+	.Y(n_14368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848287 (
+	.A1(n_17670),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1692]),
+	.B1(n_17667),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1669]),
+	.Y(n_14367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848288 (
+	.A1(n_17674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1830]),
+	.B1(n_17671),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1807]),
+	.Y(n_14366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848289 (
+	.A1(n_20226),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1365]),
+	.B1(n_11398),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1342]),
+	.Y(n_14365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848290 (
+	.A1(n_17688),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1738]),
+	.B1(n_17683),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1715]),
+	.Y(n_14364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848291 (
+	.A1(n_17680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1784]),
+	.B1(n_17677),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1761]),
+	.Y(n_14363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848292 (
+	.A1(n_20141),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2582]),
+	.B1(n_11554),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2605]),
+	.Y(n_14362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848293 (
+	.A1(n_17694),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [381]),
+	.B1(n_11647),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [404]),
+	.Y(n_14361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848294 (
+	.A1(n_17619),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [519]),
+	.B1(n_17679),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [542]),
+	.Y(n_14360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848295 (
+	.A1(n_20142),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1040]),
+	.B1(n_20154),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1017]),
+	.Y(n_14359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848296 (
+	.A1(n_17665),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [496]),
+	.B1(n_17662),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [473]),
+	.Y(n_14358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848297 (
+	.A1(n_11559),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [450]),
+	.B1(n_17652),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [427]),
+	.Y(n_14357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848298 (
+	.A1(n_17736),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1278]),
+	.B1(n_17735),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1255]),
+	.Y(n_14356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848299 (
+	.A1(n_11453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2674]),
+	.B1(n_11488),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2697]),
+	.Y(n_14355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848300 (
+	.A1(n_20254),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1273]),
+	.B1(n_11431),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1250]),
+	.Y(n_14354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848301 (
+	.A1(n_11608),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1163]),
+	.B1(n_11451),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1186]),
+	.Y(n_14353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848302 (
+	.A1(n_17694),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [377]),
+	.B1(n_11647),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [400]),
+	.Y(n_14352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848303 (
+	.A1(n_17719),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1140]),
+	.B1(n_11605),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1117]),
+	.Y(n_14351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848304 (
+	.A1(n_17734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1232]),
+	.B1(n_17713),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1209]),
+	.Y(n_14350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848305 (
+	.A1(n_11439),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1227]),
+	.B1(n_11432),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1204]),
+	.Y(n_14349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848306 (
+	.A1(n_17647),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [565]),
+	.B1(n_17650),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [588]),
+	.Y(n_14348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848307 (
+	.A1(n_17637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [703]),
+	.B1(n_17630),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [726]),
+	.Y(n_14347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848308 (
+	.A1(n_17643),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [611]),
+	.B1(n_17645),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [634]),
+	.Y(n_14346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848309 (
+	.A1(n_17639),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [657]),
+	.B1(n_17649),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [680]),
+	.Y(n_14345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848310 (
+	.A1(n_11640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1158]),
+	.B1(n_11483),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1181]),
+	.Y(n_14344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848311 (
+	.A1(n_17633),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1646]),
+	.B1(n_17648),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1623]),
+	.Y(n_14343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848312 (
+	.A1(n_507),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[490]),
+	.B1(n_494),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[618]),
+	.Y(n_14342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848313 (
+	.A1(n_17632),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1600]),
+	.B1(n_17673),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1577]),
+	.Y(n_14341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848314 (
+	.A1(n_11471),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2675]),
+	.B1(n_11421),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2698]),
+	.Y(n_14340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848315 (
+	.A1(n_17628),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1554]),
+	.B1(n_17623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1531]),
+	.Y(n_14339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848316 (
+	.A1(n_20187),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2904]),
+	.B1(n_20250),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2927]),
+	.Y(n_14338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848317 (
+	.A1(n_11403),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [31]),
+	.B1(n_11434),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [8]),
+	.Y(n_14337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848318 (
+	.A1(n_17746),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1439]),
+	.B1(n_17724),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1462]),
+	.Y(n_14336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848319 (
+	.A1(n_17743),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1370]),
+	.B1(n_11600),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1347]),
+	.Y(n_14335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848320 (
+	.A1(n_17745),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1416]),
+	.B1(n_17728),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1393]),
+	.Y(n_14334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848321 (
+	.A1(n_20256),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [100]),
+	.B1(n_20157),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [123]),
+	.Y(n_14333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848322 (
+	.A1(n_11472),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2727]),
+	.B1(n_17617),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2750]),
+	.Y(n_14332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848323 (
+	.A1(n_20199),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [77]),
+	.B1(n_20232),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [54]),
+	.Y(n_14331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848324 (
+	.A1(n_11471),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2681]),
+	.B1(n_11421),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2704]),
+	.Y(n_14330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848325 (
+	.A1(n_17703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2658]),
+	.B1(n_17699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2635]),
+	.Y(n_14329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848326 (
+	.A1(n_20183),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2835]),
+	.B1(n_20182),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2812]),
+	.Y(n_14328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848327 (
+	.A1(n_17635),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2911]),
+	.B1(n_17686),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2934]),
+	.Y(n_14327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848328 (
+	.A1(n_17638),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2842]),
+	.B1(n_17611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2819]),
+	.Y(n_14326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848329 (
+	.A1(n_17620),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2888]),
+	.B1(n_17614),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2865]),
+	.Y(n_14325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848330 (
+	.A1(n_20163),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2766]),
+	.B1(n_20149),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2789]),
+	.Y(n_14324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848331 (
+	.A1(n_352),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[650]),
+	.B1(n_508),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[522]),
+	.Y(n_14323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848332 (
+	.A1(n_17716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [174]),
+	.B1(n_17715),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [151]),
+	.Y(n_14322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848333 (
+	.A1(n_17717),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [105]),
+	.B1(n_17718),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [128]),
+	.Y(n_14321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848334 (
+	.A1(n_17714),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [82]),
+	.B1(n_11413),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [59]),
+	.Y(n_14320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848335 (
+	.A1(n_20246),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [192]),
+	.B1(n_20253),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [215]),
+	.Y(n_14319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848336 (
+	.A1(n_20219),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [307]),
+	.B1(n_11436),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [284]),
+	.Y(n_14318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848337 (
+	.A1(n_20237),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [238]),
+	.B1(n_20240),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [261]),
+	.Y(n_14317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848338 (
+	.A1(n_17627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [358]),
+	.B1(n_17626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [335]),
+	.Y(n_14316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848339 (
+	.A1(n_17640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [312]),
+	.B1(n_17629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [289]),
+	.Y(n_14315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848340 (
+	.A1(n_17676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [243]),
+	.B1(n_17625),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [266]),
+	.Y(n_14314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848341 (
+	.A1(n_17698),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2428]),
+	.B1(n_11565),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2405]),
+	.Y(n_14313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848342 (
+	.A1(n_11461),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2566]),
+	.B1(n_17700),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2543]),
+	.Y(n_14312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848343 (
+	.A1(n_20174),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [514]),
+	.B1(n_11567),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [537]),
+	.Y(n_14311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848344 (
+	.A1(n_11406),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2451]),
+	.B1(n_17666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2474]),
+	.Y(n_14310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848345 (
+	.A1(n_17687),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2497]),
+	.B1(n_17660),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2520]),
+	.Y(n_14309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848346 (
+	.A1(n_17681),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2221]),
+	.B1(n_11582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2244]),
+	.Y(n_14308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848347 (
+	.A1(n_20206),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1547]),
+	.B1(n_20205),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1524]),
+	.Y(n_14307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848348 (
+	.A1(n_20153),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [376]),
+	.B1(n_20202),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [399]),
+	.Y(n_14306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848349 (
+	.A1(n_17689),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2382]),
+	.B1(n_17641),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2359]),
+	.Y(n_14305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848350 (
+	.A1(n_17644),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2336]),
+	.B1(n_17684),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2313]),
+	.Y(n_14304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848351 (
+	.A1(n_17692),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2267]),
+	.B1(n_17693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2290]),
+	.Y(n_14303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848352 (
+	.A1(n_17732),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [821]),
+	.B1(n_17739),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [798]),
+	.Y(n_14302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848353 (
+	.A1(n_20158),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [491]),
+	.B1(n_11435),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [468]),
+	.Y(n_14301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848354 (
+	.A1(n_17620),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2882]),
+	.B1(n_17614),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2859]),
+	.Y(n_14300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848355 (
+	.A1(n_17661),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1991]),
+	.B1(n_17664),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2014]),
+	.Y(n_14299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848356 (
+	.A1(n_20206),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1546]),
+	.B1(n_20205),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1523]),
+	.Y(n_14298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848357 (
+	.A1(n_11428),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1899]),
+	.B1(n_11440),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1922]),
+	.Y(n_14297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848358 (
+	.A1(n_20220),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [445]),
+	.B1(n_20177),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [422]),
+	.Y(n_14296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848359 (
+	.A1(n_17690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1945]),
+	.B1(n_17669),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1968]),
+	.Y(n_14295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848360 (
+	.A1(n_20263),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1593]),
+	.B1(n_20198),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1570]),
+	.Y(n_14294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848361 (
+	.A1(n_20209),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1501]),
+	.B1(n_20208),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1478]),
+	.Y(n_14293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848362 (
+	.A1(n_17675),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2175]),
+	.B1(n_11624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2198]),
+	.Y(n_14292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848363 (
+	.A1(n_11559),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [453]),
+	.B1(n_17652),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [430]),
+	.Y(n_14291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848364 (
+	.A1(n_20207),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [698]),
+	.B1(n_11556),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [721]),
+	.Y(n_14290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848365 (
+	.A1(n_11437),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2083]),
+	.B1(n_17615),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2106]),
+	.Y(n_14289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848366 (
+	.A1(n_17631),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2129]),
+	.B1(n_17634),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2152]),
+	.Y(n_14288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848367 (
+	.A1(n_493),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[719]),
+	.B1(n_494),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[623]),
+	.Y(n_14287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848368 (
+	.A1(n_20191),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [560]),
+	.B1(n_20195),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [583]),
+	.Y(n_14286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848369 (
+	.A1(n_17722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [887]),
+	.B1(n_17723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [910]),
+	.Y(n_14285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848370 (
+	.A1(n_17744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [841]),
+	.B1(n_11430),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [864]),
+	.Y(n_14284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848371 (
+	.A1(n_17732),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [818]),
+	.B1(n_17739),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [795]),
+	.Y(n_14283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848372 (
+	.A1(n_350),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[554]),
+	.B1(n_505),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[586]),
+	.Y(n_14282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848373 (
+	.A1(n_11459),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [606]),
+	.B1(n_11581),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [629]),
+	.Y(n_14281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848374 (
+	.A1(n_17727),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1071]),
+	.B1(n_11399),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1094]),
+	.Y(n_14280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848375 (
+	.A1(n_20263),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1592]),
+	.B1(n_20198),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1569]),
+	.Y(n_14279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848376 (
+	.A1(n_11404),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [652]),
+	.B1(n_20244),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [675]),
+	.Y(n_14278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848377 (
+	.A1(n_17733),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [979]),
+	.B1(n_17709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1002]),
+	.Y(n_14277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848378 (
+	.A1(n_17731),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1048]),
+	.B1(n_17730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1025]),
+	.Y(n_14276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848379 (
+	.A1(n_17672),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2589]),
+	.B1(n_17612),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2612]),
+	.Y(n_14275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848380 (
+	.A1(n_11472),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2727]),
+	.B1(n_17617),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2750]),
+	.Y(n_14274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848381 (
+	.A1(n_11471),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2681]),
+	.B1(n_11421),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2704]),
+	.Y(n_14273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848382 (
+	.A1(n_17703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2658]),
+	.B1(n_17699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2635]),
+	.Y(n_14272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848383 (
+	.A1(n_11445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1685]),
+	.B1(n_11470),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1662]),
+	.Y(n_14271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848384 (
+	.A1(n_17676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [243]),
+	.B1(n_17625),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [266]),
+	.Y(n_14270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848385 (
+	.A1(n_20187),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2906]),
+	.B1(n_20250),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2929]),
+	.Y(n_14269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848386 (
+	.A1(n_17627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [358]),
+	.B1(n_17626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [335]),
+	.Y(n_14268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848387 (
+	.A1(n_17640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [312]),
+	.B1(n_17629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [289]),
+	.Y(n_14267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848388 (
+	.A1(n_17622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [197]),
+	.B1(n_17624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [220]),
+	.Y(n_14266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848389 (
+	.A1(n_20183),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2837]),
+	.B1(n_20182),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2814]),
+	.Y(n_14265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848390 (
+	.A1(n_493),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[714]),
+	.B1(n_359),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[682]),
+	.Y(n_14264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848391 (
+	.A1(n_17620),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2888]),
+	.B1(n_17614),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2865]),
+	.Y(n_14263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848392 (
+	.A1(n_20223),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1823]),
+	.B1(n_20221),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1800]),
+	.Y(n_14262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848393 (
+	.A1(n_17638),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2842]),
+	.B1(n_17611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2819]),
+	.Y(n_14261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848394 (
+	.A1(n_20209),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1500]),
+	.B1(n_20208),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1477]),
+	.Y(n_14260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848395 (
+	.A1(n_17635),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2911]),
+	.B1(n_17686),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2934]),
+	.Y(n_14259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848396 (
+	.A1(n_17695),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2773]),
+	.B1(n_17613),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2796]),
+	.Y(n_14258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848397 (
+	.A1(n_20163),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2768]),
+	.B1(n_20149),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2791]),
+	.Y(n_14257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848398 (
+	.A1(n_17694),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [381]),
+	.B1(n_11647),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [404]),
+	.Y(n_14256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848399 (
+	.A1(n_17619),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [519]),
+	.B1(n_17679),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [542]),
+	.Y(n_14255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848400 (
+	.A1(n_17665),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [496]),
+	.B1(n_17662),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [473]),
+	.Y(n_14254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848401 (
+	.A1(n_11559),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [450]),
+	.B1(n_17652),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [427]),
+	.Y(n_14253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848402 (
+	.A1(n_20140),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2722]),
+	.B1(n_11644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2745]),
+	.Y(n_14252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848403 (
+	.A1(n_17716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [174]),
+	.B1(n_17715),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [151]),
+	.Y(n_14251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848404 (
+	.A1(n_17717),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [105]),
+	.B1(n_17718),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [128]),
+	.Y(n_14250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848405 (
+	.A1(n_17714),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [82]),
+	.B1(n_11413),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [59]),
+	.Y(n_14249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848406 (
+	.A1(n_20231),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1777]),
+	.B1(n_20225),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1754]),
+	.Y(n_14248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848407 (
+	.A1(n_20141),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2584]),
+	.B1(n_11554),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2607]),
+	.Y(n_14247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848408 (
+	.A1(n_509),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[143]),
+	.B1(n_510),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[783]),
+	.Y(n_14246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848409 (
+	.A1(n_17637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [703]),
+	.B1(n_17630),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [726]),
+	.Y(n_14245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848410 (
+	.A1(n_20169),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2653]),
+	.B1(n_11632),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2630]),
+	.Y(n_14244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848411 (
+	.A1(n_17643),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [611]),
+	.B1(n_17645),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [634]),
+	.Y(n_14243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848412 (
+	.A1(n_17639),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [657]),
+	.B1(n_17649),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [680]),
+	.Y(n_14242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848413 (
+	.A1(n_20214),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1639]),
+	.B1(n_20212),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1616]),
+	.Y(n_14241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848414 (
+	.A1(n_17746),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1439]),
+	.B1(n_17724),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1462]),
+	.Y(n_14240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848415 (
+	.A1(n_17743),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1370]),
+	.B1(n_11600),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1347]),
+	.Y(n_14239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848416 (
+	.A1(n_17745),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1416]),
+	.B1(n_17728),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1393]),
+	.Y(n_14238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848417 (
+	.A1(n_11400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [882]),
+	.B1(n_11490),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [905]),
+	.Y(n_14237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848418 (
+	.A1(n_17736),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1278]),
+	.B1(n_17735),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1255]),
+	.Y(n_14236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848419 (
+	.A1(n_17734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1232]),
+	.B1(n_17713),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1209]),
+	.Y(n_14235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848420 (
+	.A1(n_11608),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1163]),
+	.B1(n_11451),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1186]),
+	.Y(n_14234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848421 (
+	.A1(n_20259),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [836]),
+	.B1(n_11489),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [859]),
+	.Y(n_14233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848422 (
+	.A1(n_20206),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1547]),
+	.B1(n_20205),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1524]),
+	.Y(n_14232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848423 (
+	.A1(n_20162),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [813]),
+	.B1(n_20145),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [790]),
+	.Y(n_14231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848424 (
+	.A1(n_17633),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1646]),
+	.B1(n_17648),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1623]),
+	.Y(n_14230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848425 (
+	.A1(n_17632),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1600]),
+	.B1(n_17673),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1577]),
+	.Y(n_14229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848426 (
+	.A1(n_17628),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1554]),
+	.B1(n_17623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1531]),
+	.Y(n_14228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848427 (
+	.A1(n_20263),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1593]),
+	.B1(n_20198),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1570]),
+	.Y(n_14227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848428 (
+	.A1(n_17674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1830]),
+	.B1(n_17671),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1807]),
+	.Y(n_14226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848429 (
+	.A1(n_17688),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1738]),
+	.B1(n_17683),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1715]),
+	.Y(n_14225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848430 (
+	.A1(n_17680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1784]),
+	.B1(n_17677),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1761]),
+	.Y(n_14224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848431 (
+	.A1(n_20138),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [951]),
+	.B1(n_20215),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [928]),
+	.Y(n_14223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848432 (
+	.A1(n_17702),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1876]),
+	.B1(n_17654),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1853]),
+	.Y(n_14222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848433 (
+	.A1(n_20209),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1501]),
+	.B1(n_20208),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1478]),
+	.Y(n_14221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848434 (
+	.A1(n_17661),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1991]),
+	.B1(n_17664),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2014]),
+	.Y(n_14220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848435 (
+	.A1(n_11428),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1899]),
+	.B1(n_11440),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1922]),
+	.Y(n_14219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848436 (
+	.A1(n_20231),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1776]),
+	.B1(n_20225),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1753]),
+	.Y(n_14218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848437 (
+	.A1(n_20143),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [974]),
+	.B1(n_20144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [997]),
+	.Y(n_14217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848438 (
+	.A1(n_17690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1945]),
+	.B1(n_17669),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1968]),
+	.Y(n_14216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848439 (
+	.A1(n_498),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[906]),
+	.B1(n_492),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[42]),
+	.Y(n_14215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848440 (
+	.A1(n_17668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2060]),
+	.B1(n_11584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2037]),
+	.Y(n_14214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848441 (
+	.A1(n_20142),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1043]),
+	.B1(n_20154),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1020]),
+	.Y(n_14213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848442 (
+	.A1(n_17675),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2175]),
+	.B1(n_11624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2198]),
+	.Y(n_14212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848443 (
+	.A1(n_11437),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2083]),
+	.B1(n_17615),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2106]),
+	.Y(n_14211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848444 (
+	.A1(n_17631),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2129]),
+	.B1(n_17634),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2152]),
+	.Y(n_14210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848445 (
+	.A1(n_11422),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2122]),
+	.B1(n_11478),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2145]),
+	.Y(n_14209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848446 (
+	.A1(n_11461),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2566]),
+	.B1(n_17700),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2543]),
+	.Y(n_14208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848447 (
+	.A1(n_17719),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1132]),
+	.B1(n_11605),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1109]),
+	.Y(n_14207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848448 (
+	.A1(n_11406),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2451]),
+	.B1(n_17666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2474]),
+	.Y(n_14206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848449 (
+	.A1(n_17743),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1365]),
+	.B1(n_11600),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1342]),
+	.Y(n_14205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848450 (
+	.A1(n_17687),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2497]),
+	.B1(n_17660),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2520]),
+	.Y(n_14204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848451 (
+	.A1(n_510),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[792]),
+	.B1(n_497),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[184]),
+	.Y(n_14203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848452 (
+	.A1(n_11479),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2168]),
+	.B1(n_20165),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2191]),
+	.Y(n_14202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848453 (
+	.A1(n_17746),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1434]),
+	.B1(n_17724),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1457]),
+	.Y(n_14201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848454 (
+	.A1(n_17689),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2382]),
+	.B1(n_17641),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2359]),
+	.Y(n_14200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848455 (
+	.A1(n_17644),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2336]),
+	.B1(n_17684),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2313]),
+	.Y(n_14199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848456 (
+	.A1(n_11454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1296]),
+	.B1(n_17741),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1319]),
+	.Y(n_14198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848457 (
+	.A1(n_17692),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2267]),
+	.B1(n_17693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2290]),
+	.Y(n_14197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848458 (
+	.A1(n_17722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [887]),
+	.B1(n_17723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [910]),
+	.Y(n_14196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848459 (
+	.A1(n_17745),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1411]),
+	.B1(n_17728),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1388]),
+	.Y(n_14195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848460 (
+	.A1(n_17744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [841]),
+	.B1(n_11430),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [864]),
+	.Y(n_14194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848461 (
+	.A1(n_11469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1730]),
+	.B1(n_20233),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1707]),
+	.Y(n_14193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848462 (
+	.A1(n_17694),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [376]),
+	.B1(n_11647),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [399]),
+	.Y(n_14192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848463 (
+	.A1(n_17732),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [818]),
+	.B1(n_17739),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [795]),
+	.Y(n_14191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848464 (
+	.A1(n_349),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[111]),
+	.B1(n_497),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[175]),
+	.Y(n_14190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848465 (
+	.A1(n_20170),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2076]),
+	.B1(n_20176),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2099]),
+	.Y(n_14189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848466 (
+	.A1(n_17619),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [514]),
+	.B1(n_17679),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [537]),
+	.Y(n_14188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848467 (
+	.A1(n_17727),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1071]),
+	.B1(n_11399),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1094]),
+	.Y(n_14187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848468 (
+	.A1(n_509),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[138]),
+	.B1(n_511),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[1002]),
+	.Y(n_14186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848469 (
+	.A1(n_17733),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [979]),
+	.B1(n_17709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1002]),
+	.Y(n_14185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848470 (
+	.A1(n_17665),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [491]),
+	.B1(n_17662),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [468]),
+	.Y(n_14184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848471 (
+	.A1(n_17731),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1048]),
+	.B1(n_17730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1025]),
+	.Y(n_14183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848472 (
+	.A1(n_20142),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1049]),
+	.B1(n_20154),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1026]),
+	.Y(n_14182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848473 (
+	.A1(n_11559),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [445]),
+	.B1(n_17652),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [422]),
+	.Y(n_14181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848474 (
+	.A1(n_20245),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1944]),
+	.B1(n_20229),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1967]),
+	.Y(n_14180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848475 (
+	.A1(n_20168),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2053]),
+	.B1(n_11423),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2030]),
+	.Y(n_14179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848476 (
+	.A1(n_11609),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1875]),
+	.B1(n_20194),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1852]),
+	.Y(n_14178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848477 (
+	.A1(n_17714),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [77]),
+	.B1(n_11413),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [54]),
+	.Y(n_14177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848478 (
+	.A1(n_11420),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1898]),
+	.B1(n_20211),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1921]),
+	.Y(n_14176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848479 (
+	.A1(n_17692),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2269]),
+	.B1(n_17693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2292]),
+	.Y(n_14175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848480 (
+	.A1(n_20260),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1990]),
+	.B1(n_20261),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2013]),
+	.Y(n_14174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848481 (
+	.A1(n_11452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [31]),
+	.B1(n_11594),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [8]),
+	.Y(n_14173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848482 (
+	.A1(n_11445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1684]),
+	.B1(n_11470),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1661]),
+	.Y(n_14172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848483 (
+	.A1(n_17717),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [100]),
+	.B1(n_17718),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [123]),
+	.Y(n_14171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848484 (
+	.A1(n_20204),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2496]),
+	.B1(n_11547),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2519]),
+	.Y(n_14170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848485 (
+	.A1(n_20219),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [305]),
+	.B1(n_11436),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [282]),
+	.Y(n_14169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848486 (
+	.A1(n_17716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [169]),
+	.B1(n_17715),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [146]),
+	.Y(n_14168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848487 (
+	.A1(n_20161),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2427]),
+	.B1(n_20196),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2404]),
+	.Y(n_14167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g848488 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [17]),
+	.B(n_32361),
+	.X(n_32206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g848489 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [10]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [11]),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [9]),
+	.X(n_32362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g848490 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [22]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [23]),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [21]),
+	.X(n_32354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g848491 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [26]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [27]),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [25]),
+	.X(n_32357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g848492 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [1]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [3]),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [2]),
+	.X(n_32363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g848493 (
+	.A(n_14165),
+	.Y(n_14166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g848495 (
+	.A(n_14162),
+	.Y(n_14163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g848496 (
+	.A(n_14160),
+	.Y(n_14161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g848497 (
+	.A(n_14159),
+	.Y(n_14158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g848498 (
+	.A(n_14157),
+	.Y(n_14156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g848499 (
+	.A(n_14155),
+	.Y(n_14154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848500 (
+	.A1(n_20141),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2587]),
+	.B1(n_11554),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2610]),
+	.Y(n_14153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848501 (
+	.A1(n_11448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2565]),
+	.B1(n_11622),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2542]),
+	.Y(n_14152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848502 (
+	.A1(n_20251),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2450]),
+	.B1(n_20258),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2473]),
+	.Y(n_14151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848503 (
+	.A1(n_20183),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2845]),
+	.B1(n_20182),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2822]),
+	.Y(n_14150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848504 (
+	.A1(n_17637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [698]),
+	.B1(n_17630),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [721]),
+	.Y(n_14149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848505 (
+	.A1(n_20246),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [190]),
+	.B1(n_20253),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [213]),
+	.Y(n_14148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848506 (
+	.A1(n_20206),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1553]),
+	.B1(n_20205),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1530]),
+	.Y(n_14147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848507 (
+	.A1(n_17643),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [606]),
+	.B1(n_17645),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [629]),
+	.Y(n_14146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848508 (
+	.A1(n_20263),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1599]),
+	.B1(n_20198),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1576]),
+	.Y(n_14145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848509 (
+	.A1(n_17639),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [652]),
+	.B1(n_17649),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [675]),
+	.Y(n_14144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848510 (
+	.A1(n_20214),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1645]),
+	.B1(n_20212),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1622]),
+	.Y(n_14143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848511 (
+	.A1(n_20209),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1507]),
+	.B1(n_20208),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1484]),
+	.Y(n_14142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848512 (
+	.A1(n_20170),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2082]),
+	.B1(n_20176),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2105]),
+	.Y(n_14141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848513 (
+	.A1(n_11598),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [351]),
+	.B1(n_20224),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [328]),
+	.Y(n_14140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848514 (
+	.A1(n_17736),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1273]),
+	.B1(n_17735),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1250]),
+	.Y(n_14139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848515 (
+	.A1(n_20168),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2059]),
+	.B1(n_11423),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2036]),
+	.Y(n_14138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848516 (
+	.A1(n_17719),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1135]),
+	.B1(n_11605),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1112]),
+	.Y(n_14137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848517 (
+	.A1(n_11479),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2174]),
+	.B1(n_20165),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2197]),
+	.Y(n_14136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848518 (
+	.A1(n_11422),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2128]),
+	.B1(n_11478),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2151]),
+	.Y(n_14135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848519 (
+	.A1(n_11608),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1158]),
+	.B1(n_11451),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1181]),
+	.Y(n_14134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848520 (
+	.A1(n_20237),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [236]),
+	.B1(n_20240),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [259]),
+	.Y(n_14133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848521 (
+	.A1(n_20238),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2220]),
+	.B1(n_20239),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2243]),
+	.Y(n_14132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848522 (
+	.A1(n_17627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [353]),
+	.B1(n_17626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [330]),
+	.Y(n_14131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848523 (
+	.A1(n_20249),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2381]),
+	.B1(n_20255),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2358]),
+	.Y(n_14130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848524 (
+	.A1(n_20243),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2335]),
+	.B1(n_20241),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2312]),
+	.Y(n_14129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848525 (
+	.A1(n_17640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [307]),
+	.B1(n_17629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [284]),
+	.Y(n_14128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848526 (
+	.A1(n_11420),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1892]),
+	.B1(n_20211),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1915]),
+	.Y(n_14127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848527 (
+	.A1(n_17676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [238]),
+	.B1(n_17625),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [261]),
+	.Y(n_14126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848528 (
+	.A1(n_11445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1691]),
+	.B1(n_11470),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1668]),
+	.Y(n_14125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848529 (
+	.A1(n_11469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1737]),
+	.B1(n_20233),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1714]),
+	.Y(n_14124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848530 (
+	.A1(n_20223),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1829]),
+	.B1(n_20221),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1806]),
+	.Y(n_14123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848531 (
+	.A1(n_12498),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [166]),
+	.B1(n_20262),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [143]),
+	.Y(n_14122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848532 (
+	.A1(n_20245),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1938]),
+	.B1(n_20229),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1961]),
+	.Y(n_14121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848533 (
+	.A1(n_17661),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1986]),
+	.B1(n_17664),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2009]),
+	.Y(n_14120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848534 (
+	.A1(n_11428),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1894]),
+	.B1(n_11440),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1917]),
+	.Y(n_14119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848535 (
+	.A1(n_20142),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1047]),
+	.B1(n_20154),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1024]),
+	.Y(n_14118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848536 (
+	.A1(n_20138),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [955]),
+	.B1(n_20215),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [932]),
+	.Y(n_14117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848537 (
+	.A1(n_20180),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1070]),
+	.B1(n_20151),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1093]),
+	.Y(n_14116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848538 (
+	.A1(n_17690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1940]),
+	.B1(n_17669),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1963]),
+	.Y(n_14115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848539 (
+	.A1(n_20260),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1984]),
+	.B1(n_20261),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2007]),
+	.Y(n_14114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848540 (
+	.A1(n_20259),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [840]),
+	.B1(n_11489),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [863]),
+	.Y(n_14113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848541 (
+	.A1(n_493),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[721]),
+	.B1(n_505),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[593]),
+	.Y(n_14112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848542 (
+	.A1(n_17668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2055]),
+	.B1(n_11584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2032]),
+	.Y(n_14111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848543 (
+	.A1(n_20148),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [771]),
+	.B1(n_20147),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [748]),
+	.Y(n_14110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848544 (
+	.A1(n_17675),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2170]),
+	.B1(n_11624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2193]),
+	.Y(n_14109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848545 (
+	.A1(n_11400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [886]),
+	.B1(n_11490),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [909]),
+	.Y(n_14108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848546 (
+	.A1(n_20256),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [97]),
+	.B1(n_20157),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [120]),
+	.Y(n_14107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848547 (
+	.A1(n_11609),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1869]),
+	.B1(n_20194),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1846]),
+	.Y(n_14106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848548 (
+	.A1(n_17631),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2124]),
+	.B1(n_17634),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2147]),
+	.Y(n_14105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848549 (
+	.A1(n_12498),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [173]),
+	.B1(n_20262),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [150]),
+	.Y(n_14104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848550 (
+	.A1(n_20199),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [81]),
+	.B1(n_20232),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [58]),
+	.Y(n_14103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848551 (
+	.A1(n_11461),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2561]),
+	.B1(n_17700),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2538]),
+	.Y(n_14102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848552 (
+	.A1(n_11403),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [35]),
+	.B1(n_11434),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [12]),
+	.Y(n_14101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848553 (
+	.A1(n_11406),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2446]),
+	.B1(n_17666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2469]),
+	.Y(n_14100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848554 (
+	.A1(n_17687),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2492]),
+	.B1(n_17660),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2515]),
+	.Y(n_14099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848555 (
+	.A1(n_11598),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [357]),
+	.B1(n_20224),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [334]),
+	.Y(n_14098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848556 (
+	.A1(n_20219),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [311]),
+	.B1(n_11436),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [288]),
+	.Y(n_14097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848557 (
+	.A1(n_20246),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [196]),
+	.B1(n_20253),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [219]),
+	.Y(n_14096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848558 (
+	.A1(n_11453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2677]),
+	.B1(n_11488),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2700]),
+	.Y(n_14095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848559 (
+	.A1(n_17689),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2377]),
+	.B1(n_17641),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2354]),
+	.Y(n_14094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848560 (
+	.A1(n_20199),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [74]),
+	.B1(n_20232),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [51]),
+	.Y(n_14093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848561 (
+	.A1(n_17644),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2331]),
+	.B1(n_17684),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2308]),
+	.Y(n_14092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848562 (
+	.A1(n_11459),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [610]),
+	.B1(n_11581),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [633]),
+	.Y(n_14091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848563 (
+	.A1(n_11404),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [656]),
+	.B1(n_20244),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [679]),
+	.Y(n_14090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848564 (
+	.A1(n_17692),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2262]),
+	.B1(n_17693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2285]),
+	.Y(n_14089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848565 (
+	.A1(n_20191),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [564]),
+	.B1(n_20195),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [587]),
+	.Y(n_14088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848566 (
+	.A1(n_20207),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [702]),
+	.B1(n_11556),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [725]),
+	.Y(n_14087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848567 (
+	.A1(n_20256),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [98]),
+	.B1(n_20157),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [121]),
+	.Y(n_14086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848568 (
+	.A1(n_17635),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2906]),
+	.B1(n_17686),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2929]),
+	.Y(n_14085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848569 (
+	.A1(n_20220),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [449]),
+	.B1(n_20177),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [426]),
+	.Y(n_14084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848570 (
+	.A1(n_11403),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [29]),
+	.B1(n_11434),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [6]),
+	.Y(n_14083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848571 (
+	.A1(n_20158),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [495]),
+	.B1(n_11435),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [472]),
+	.Y(n_14082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848572 (
+	.A1(n_11403),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [28]),
+	.B1(n_11434),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [5]),
+	.Y(n_14081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848573 (
+	.A1(n_17620),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2883]),
+	.B1(n_17614),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2860]),
+	.Y(n_14080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848574 (
+	.A1(n_20174),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [518]),
+	.B1(n_11567),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [541]),
+	.Y(n_14079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848575 (
+	.A1(n_17638),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2837]),
+	.B1(n_17611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2814]),
+	.Y(n_14078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848576 (
+	.A1(n_20153),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [380]),
+	.B1(n_20202),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [403]),
+	.Y(n_14077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848577 (
+	.A1(n_12498),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [167]),
+	.B1(n_20262),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [144]),
+	.Y(n_14076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848578 (
+	.A1(n_11406),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2450]),
+	.B1(n_17666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2473]),
+	.Y(n_14075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848579 (
+	.A1(n_17695),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2768]),
+	.B1(n_17613),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2791]),
+	.Y(n_14074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848580 (
+	.A1(n_17672),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2584]),
+	.B1(n_17612),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2607]),
+	.Y(n_14073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848581 (
+	.A1(n_11439),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1231]),
+	.B1(n_11432),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1208]),
+	.Y(n_14072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848582 (
+	.A1(n_11472),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2722]),
+	.B1(n_17617),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2745]),
+	.Y(n_14071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848583 (
+	.A1(n_20254),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1277]),
+	.B1(n_11431),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1254]),
+	.Y(n_14070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848584 (
+	.A1(n_11485),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1139]),
+	.B1(n_20184),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1116]),
+	.Y(n_14069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848585 (
+	.A1(n_11471),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2676]),
+	.B1(n_11421),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2699]),
+	.Y(n_14068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848586 (
+	.A1(n_17703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2653]),
+	.B1(n_17699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2630]),
+	.Y(n_14067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848587 (
+	.A1(n_20210),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1415]),
+	.B1(n_20203),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1392]),
+	.Y(n_14066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848588 (
+	.A1(n_20242),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1300]),
+	.B1(n_20189),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1323]),
+	.Y(n_14065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848589 (
+	.A1(n_17680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1786]),
+	.B1(n_17677),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1763]),
+	.Y(n_14064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848590 (
+	.A1(n_20236),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1438]),
+	.B1(n_20197),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1461]),
+	.Y(n_14063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848591 (
+	.A1(n_11422),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2121]),
+	.B1(n_11478),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2144]),
+	.Y(n_14062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848592 (
+	.A1(n_20223),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1823]),
+	.B1(n_20221),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1800]),
+	.Y(n_14061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848593 (
+	.A1(n_17621),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1503]),
+	.B1(n_17618),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1480]),
+	.Y(n_14060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848594 (
+	.A1(n_20163),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2772]),
+	.B1(n_20149),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2795]),
+	.Y(n_14059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848595 (
+	.A1(n_17632),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1595]),
+	.B1(n_17673),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1572]),
+	.Y(n_14058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848596 (
+	.A1(n_17633),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1641]),
+	.B1(n_17648),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1618]),
+	.Y(n_14057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848597 (
+	.A1(n_20187),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2910]),
+	.B1(n_20250),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2933]),
+	.Y(n_14056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848598 (
+	.A1(n_20183),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2841]),
+	.B1(n_20182),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2818]),
+	.Y(n_14055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848599 (
+	.A1(n_20231),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1777]),
+	.B1(n_20225),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1754]),
+	.Y(n_14054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848600 (
+	.A1(n_20141),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2588]),
+	.B1(n_11554),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2611]),
+	.Y(n_14053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848601 (
+	.A1(n_17670),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1687]),
+	.B1(n_17667),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1664]),
+	.Y(n_14052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848602 (
+	.A1(n_20170),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2075]),
+	.B1(n_20176),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2098]),
+	.Y(n_14051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848603 (
+	.A1(n_20140),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2726]),
+	.B1(n_11644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2749]),
+	.Y(n_14050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848604 (
+	.A1(n_17688),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1733]),
+	.B1(n_17683),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1710]),
+	.Y(n_14049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848605 (
+	.A1(n_20169),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2657]),
+	.B1(n_11632),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2634]),
+	.Y(n_14048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848606 (
+	.A1(n_17674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1825]),
+	.B1(n_17671),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1802]),
+	.Y(n_14047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848607 (
+	.A1(n_11445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1685]),
+	.B1(n_11470),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1662]),
+	.Y(n_14046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848608 (
+	.A1(n_20206),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1553]),
+	.B1(n_20205),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1530]),
+	.Y(n_14045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848609 (
+	.A1(n_20214),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1645]),
+	.B1(n_20212),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1622]),
+	.Y(n_14044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848610 (
+	.A1(n_17722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [882]),
+	.B1(n_17723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [905]),
+	.Y(n_14043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848611 (
+	.A1(n_20263),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1599]),
+	.B1(n_20198),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1576]),
+	.Y(n_14042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848612 (
+	.A1(n_20209),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1507]),
+	.B1(n_20208),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1484]),
+	.Y(n_14041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g848613 (
+	.A1(n_355),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[234]),
+	.B1(n_501),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[330]),
+	.X(n_14040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848614 (
+	.A1(n_17744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [836]),
+	.B1(n_11430),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [859]),
+	.Y(n_14039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848615 (
+	.A1(n_20187),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2910]),
+	.B1(n_20250),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2933]),
+	.Y(n_14038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848616 (
+	.A1(n_11552),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [767]),
+	.B1(n_11484),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [744]),
+	.Y(n_14037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848617 (
+	.A1(n_20183),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2841]),
+	.B1(n_20182),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2818]),
+	.Y(n_14036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848618 (
+	.A1(n_11479),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2167]),
+	.B1(n_20165),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2190]),
+	.Y(n_14035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848619 (
+	.A1(n_20163),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2772]),
+	.B1(n_20149),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2795]),
+	.Y(n_14034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848620 (
+	.A1(n_20178),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2887]),
+	.B1(n_11637),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2864]),
+	.Y(n_14033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848621 (
+	.A1(n_17727),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1066]),
+	.B1(n_11399),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1089]),
+	.Y(n_14032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848622 (
+	.A1(n_20168),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2052]),
+	.B1(n_11423),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2029]),
+	.Y(n_14031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848623 (
+	.A1(n_11420),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1898]),
+	.B1(n_20211),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1921]),
+	.Y(n_14030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848624 (
+	.A1(n_17733),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [974]),
+	.B1(n_17709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [997]),
+	.Y(n_14029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848625 (
+	.A1(n_20260),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1990]),
+	.B1(n_20261),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2013]),
+	.Y(n_14028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848626 (
+	.A1(n_17731),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1043]),
+	.B1(n_17730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1020]),
+	.Y(n_14027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848627 (
+	.A1(n_20140),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2720]),
+	.B1(n_11644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2743]),
+	.Y(n_14026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848628 (
+	.A1(n_20245),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1944]),
+	.B1(n_20229),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1967]),
+	.Y(n_14025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848629 (
+	.A1(n_11609),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1875]),
+	.B1(n_20194),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1852]),
+	.Y(n_14024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848630 (
+	.A1(n_20236),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1440]),
+	.B1(n_20197),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1463]),
+	.Y(n_14023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848631 (
+	.A1(n_20231),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1783]),
+	.B1(n_20225),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1760]),
+	.Y(n_14022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848632 (
+	.A1(n_17703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2653]),
+	.B1(n_17699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2630]),
+	.Y(n_14021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848633 (
+	.A1(n_20223),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1829]),
+	.B1(n_20221),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1806]),
+	.Y(n_14020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848634 (
+	.A1(n_11472),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2722]),
+	.B1(n_17617),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2745]),
+	.Y(n_14019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848635 (
+	.A1(n_11469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1737]),
+	.B1(n_20233),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1714]),
+	.Y(n_14018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848636 (
+	.A1(n_11445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1691]),
+	.B1(n_11470),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1668]),
+	.Y(n_14017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848637 (
+	.A1(n_20141),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2582]),
+	.B1(n_11554),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2605]),
+	.Y(n_14016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848638 (
+	.A1(n_17672),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2584]),
+	.B1(n_17612),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2607]),
+	.Y(n_14015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848639 (
+	.A1(n_11471),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2676]),
+	.B1(n_11421),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2699]),
+	.Y(n_14014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848640 (
+	.A1(n_20169),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2657]),
+	.B1(n_11632),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2634]),
+	.Y(n_14013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848641 (
+	.A1(n_20169),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2651]),
+	.B1(n_11632),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2628]),
+	.Y(n_14012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848642 (
+	.A1(n_17745),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1411]),
+	.B1(n_17728),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1388]),
+	.Y(n_14011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848643 (
+	.A1(n_20141),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2588]),
+	.B1(n_11554),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2611]),
+	.Y(n_14010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848644 (
+	.A1(n_11454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1296]),
+	.B1(n_17741),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1319]),
+	.Y(n_14009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848645 (
+	.A1(n_11453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2680]),
+	.B1(n_11488),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2703]),
+	.Y(n_14008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848646 (
+	.A1(n_17746),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1434]),
+	.B1(n_17724),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1457]),
+	.Y(n_14007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848647 (
+	.A1(n_11422),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2128]),
+	.B1(n_11478),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2151]),
+	.Y(n_14006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848648 (
+	.A1(n_11459),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [603]),
+	.B1(n_11581),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [626]),
+	.Y(n_14005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848649 (
+	.A1(n_17743),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1365]),
+	.B1(n_11600),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1342]),
+	.Y(n_14004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848650 (
+	.A1(n_20170),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2082]),
+	.B1(n_20176),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2105]),
+	.Y(n_14003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848651 (
+	.A1(n_17719),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1135]),
+	.B1(n_11605),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1112]),
+	.Y(n_14002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848652 (
+	.A1(n_20168),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2059]),
+	.B1(n_11423),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2036]),
+	.Y(n_14001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848653 (
+	.A1(n_17743),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1369]),
+	.B1(n_11600),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1346]),
+	.Y(n_14000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848654 (
+	.A1(n_20187),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2904]),
+	.B1(n_20250),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2927]),
+	.Y(n_13999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848655 (
+	.A1(n_17736),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1273]),
+	.B1(n_17735),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1250]),
+	.Y(n_13998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848656 (
+	.A1(n_17734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1227]),
+	.B1(n_17713),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1204]),
+	.Y(n_13997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848657 (
+	.A1(n_20236),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1438]),
+	.B1(n_20197),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1461]),
+	.Y(n_13996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848658 (
+	.A1(n_20242),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1300]),
+	.B1(n_20189),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1323]),
+	.Y(n_13995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848659 (
+	.A1(n_20226),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1369]),
+	.B1(n_11398),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1346]),
+	.Y(n_13994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848660 (
+	.A1(n_11608),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1158]),
+	.B1(n_11451),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1181]),
+	.Y(n_13993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848661 (
+	.A1(n_17703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2654]),
+	.B1(n_17699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2631]),
+	.Y(n_13992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848662 (
+	.A1(n_11406),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2446]),
+	.B1(n_17666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2469]),
+	.Y(n_13991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848663 (
+	.A1(n_20163),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2766]),
+	.B1(n_20149),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2789]),
+	.Y(n_13990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848664 (
+	.A1(n_20254),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1277]),
+	.B1(n_11431),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1254]),
+	.Y(n_13989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848665 (
+	.A1(n_11404),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [649]),
+	.B1(n_20244),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [672]),
+	.Y(n_13988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848666 (
+	.A1(n_17698),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2423]),
+	.B1(n_11565),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2400]),
+	.Y(n_13987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848667 (
+	.A1(n_11485),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1139]),
+	.B1(n_20184),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1116]),
+	.Y(n_13986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848668 (
+	.A1(n_11439),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1231]),
+	.B1(n_11432),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1208]),
+	.Y(n_13985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848669 (
+	.A1(n_11461),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2561]),
+	.B1(n_17700),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2538]),
+	.Y(n_13984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848670 (
+	.A1(n_17687),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2492]),
+	.B1(n_17660),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2515]),
+	.Y(n_13983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848671 (
+	.A1(n_20178),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2881]),
+	.B1(n_11637),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2858]),
+	.Y(n_13982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848672 (
+	.A1(n_20207),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [702]),
+	.B1(n_11556),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [725]),
+	.Y(n_13981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848673 (
+	.A1(n_20191),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [564]),
+	.B1(n_20195),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [587]),
+	.Y(n_13980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848674 (
+	.A1(n_11459),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [610]),
+	.B1(n_11581),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [633]),
+	.Y(n_13979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848675 (
+	.A1(n_20247),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2270]),
+	.B1(n_20248),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2293]),
+	.Y(n_13978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848676 (
+	.A1(n_17681),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2216]),
+	.B1(n_11582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2239]),
+	.Y(n_13977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848677 (
+	.A1(n_17689),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2377]),
+	.B1(n_17641),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2354]),
+	.Y(n_13976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848678 (
+	.A1(n_17644),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2331]),
+	.B1(n_17684),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2308]),
+	.Y(n_13975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848679 (
+	.A1(n_20174),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [518]),
+	.B1(n_11567),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [541]),
+	.Y(n_13974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848680 (
+	.A1(n_20191),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [557]),
+	.B1(n_20195),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [580]),
+	.Y(n_13973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848681 (
+	.A1(n_20158),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [495]),
+	.B1(n_11435),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [472]),
+	.Y(n_13972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848682 (
+	.A1(n_20153),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [380]),
+	.B1(n_20202),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [403]),
+	.Y(n_13971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848683 (
+	.A1(n_17638),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2837]),
+	.B1(n_17611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2814]),
+	.Y(n_13970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848684 (
+	.A1(n_20142),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1047]),
+	.B1(n_20154),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1024]),
+	.Y(n_13969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848685 (
+	.A1(n_17732),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [814]),
+	.B1(n_17739),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [791]),
+	.Y(n_13968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848686 (
+	.A1(n_20259),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [834]),
+	.B1(n_11489),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [857]),
+	.Y(n_13967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848687 (
+	.A1(n_20180),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1070]),
+	.B1(n_20151),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1093]),
+	.Y(n_13966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848688 (
+	.A1(n_17695),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2768]),
+	.B1(n_17613),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2791]),
+	.Y(n_13965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848689 (
+	.A1(n_17620),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2883]),
+	.B1(n_17614),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2860]),
+	.Y(n_13964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848690 (
+	.A1(n_20138),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [955]),
+	.B1(n_20215),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [932]),
+	.Y(n_13963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848691 (
+	.A1(n_20143),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [978]),
+	.B1(n_20144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1001]),
+	.Y(n_13962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848692 (
+	.A1(n_20259),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [840]),
+	.B1(n_11489),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [863]),
+	.Y(n_13961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848693 (
+	.A1(n_20207),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [695]),
+	.B1(n_11556),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [718]),
+	.Y(n_13960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848694 (
+	.A1(n_20162),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [811]),
+	.B1(n_20145),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [788]),
+	.Y(n_13959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848695 (
+	.A1(n_11400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [886]),
+	.B1(n_11490),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [909]),
+	.Y(n_13958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848696 (
+	.A1(n_17637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [698]),
+	.B1(n_17630),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [721]),
+	.Y(n_13957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848697 (
+	.A1(n_20162),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [817]),
+	.B1(n_20145),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [794]),
+	.Y(n_13956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848698 (
+	.A1(n_20148),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [771]),
+	.B1(n_20147),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [748]),
+	.Y(n_13955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848699 (
+	.A1(n_20148),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [765]),
+	.B1(n_20147),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [742]),
+	.Y(n_13954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848700 (
+	.A1(n_17647),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [560]),
+	.B1(n_17650),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [583]),
+	.Y(n_13953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848701 (
+	.A1(n_20251),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2450]),
+	.B1(n_20258),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2473]),
+	.Y(n_13952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848702 (
+	.A1(n_17643),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [606]),
+	.B1(n_17645),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [629]),
+	.Y(n_13951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848703 (
+	.A1(n_20161),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2427]),
+	.B1(n_20196),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2404]),
+	.Y(n_13950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848704 (
+	.A1(n_17619),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [514]),
+	.B1(n_17679),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [537]),
+	.Y(n_13949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848705 (
+	.A1(n_20204),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2496]),
+	.B1(n_11547),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2519]),
+	.Y(n_13948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848706 (
+	.A1(n_11420),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1891]),
+	.B1(n_20211),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1914]),
+	.Y(n_13947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848707 (
+	.A1(n_17665),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [491]),
+	.B1(n_17662),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [468]),
+	.Y(n_13946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848708 (
+	.A1(n_20247),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2266]),
+	.B1(n_20248),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2289]),
+	.Y(n_13945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848709 (
+	.A1(n_17694),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [376]),
+	.B1(n_11647),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [399]),
+	.Y(n_13944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848710 (
+	.A1(n_20243),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2335]),
+	.B1(n_20241),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2312]),
+	.Y(n_13943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848711 (
+	.A1(n_20238),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2220]),
+	.B1(n_20239),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2243]),
+	.Y(n_13942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848712 (
+	.A1(n_17668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2055]),
+	.B1(n_11584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2032]),
+	.Y(n_13941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848713 (
+	.A1(n_20180),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1064]),
+	.B1(n_20151),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1087]),
+	.Y(n_13940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848714 (
+	.A1(n_11403),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [35]),
+	.B1(n_11434),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [12]),
+	.Y(n_13939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848715 (
+	.A1(n_17675),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2170]),
+	.B1(n_11624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2193]),
+	.Y(n_13938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848716 (
+	.A1(n_20256),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [104]),
+	.B1(n_20157),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [127]),
+	.Y(n_13937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848717 (
+	.A1(n_11437),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2078]),
+	.B1(n_17615),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2101]),
+	.Y(n_13936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848718 (
+	.A1(n_12498),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [173]),
+	.B1(n_20262),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [150]),
+	.Y(n_13935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g848719 (
+	.A1(n_503),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[431]),
+	.B1(n_495),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[399]),
+	.X(n_13934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848720 (
+	.A1(n_20138),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [949]),
+	.B1(n_20215),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [926]),
+	.Y(n_13933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848721 (
+	.A1(n_20245),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1937]),
+	.B1(n_20229),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1960]),
+	.Y(n_13932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848722 (
+	.A1(n_20246),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [196]),
+	.B1(n_20253),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [219]),
+	.Y(n_13931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848723 (
+	.A1(n_20142),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1041]),
+	.B1(n_20154),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1018]),
+	.Y(n_13930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848724 (
+	.A1(n_17690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1940]),
+	.B1(n_17669),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1963]),
+	.Y(n_13929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848725 (
+	.A1(n_11598),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [357]),
+	.B1(n_20224),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [334]),
+	.Y(n_13928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848726 (
+	.A1(n_17694),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [373]),
+	.B1(n_11647),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [396]),
+	.Y(n_13927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848727 (
+	.A1(n_20237),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [242]),
+	.B1(n_20240),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [265]),
+	.Y(n_13926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848728 (
+	.A1(n_17702),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1871]),
+	.B1(n_17654),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1848]),
+	.Y(n_13925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848729 (
+	.A1(n_17661),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1986]),
+	.B1(n_17664),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2009]),
+	.Y(n_13924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848730 (
+	.A1(n_11552),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [771]),
+	.B1(n_11484),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [748]),
+	.Y(n_13923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848731 (
+	.A1(n_17722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [886]),
+	.B1(n_17723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [909]),
+	.Y(n_13922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848732 (
+	.A1(n_17628),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1549]),
+	.B1(n_17623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1526]),
+	.Y(n_13921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848733 (
+	.A1(n_17744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [840]),
+	.B1(n_11430),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [863]),
+	.Y(n_13920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848734 (
+	.A1(n_17732),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [817]),
+	.B1(n_17739),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [794]),
+	.Y(n_13919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848735 (
+	.A1(n_17633),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1641]),
+	.B1(n_17648),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1618]),
+	.Y(n_13918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848736 (
+	.A1(n_17695),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2772]),
+	.B1(n_17613),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2795]),
+	.Y(n_13917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848737 (
+	.A1(n_20260),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1983]),
+	.B1(n_20261),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2006]),
+	.Y(n_13916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848738 (
+	.A1(n_17635),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2910]),
+	.B1(n_17686),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2933]),
+	.Y(n_13915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848739 (
+	.A1(n_20260),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1992]),
+	.B1(n_20261),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2015]),
+	.Y(n_13914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848740 (
+	.A1(n_17632),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1595]),
+	.B1(n_17673),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1572]),
+	.Y(n_13913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848741 (
+	.A1(n_17638),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2841]),
+	.B1(n_17611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2818]),
+	.Y(n_13912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848742 (
+	.A1(n_20210),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1409]),
+	.B1(n_20203),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1386]),
+	.Y(n_13911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848743 (
+	.A1(n_17620),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2887]),
+	.B1(n_17614),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2864]),
+	.Y(n_13910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848744 (
+	.A1(n_17621),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1503]),
+	.B1(n_17618),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1480]),
+	.Y(n_13909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848745 (
+	.A1(n_17672),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2588]),
+	.B1(n_17612),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2611]),
+	.Y(n_13908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848746 (
+	.A1(n_11472),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2726]),
+	.B1(n_17617),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2749]),
+	.Y(n_13907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848747 (
+	.A1(n_11471),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2680]),
+	.B1(n_11421),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2703]),
+	.Y(n_13906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848748 (
+	.A1(n_17674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1825]),
+	.B1(n_17671),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1802]),
+	.Y(n_13905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848749 (
+	.A1(n_17703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2657]),
+	.B1(n_17699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2634]),
+	.Y(n_13904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848750 (
+	.A1(n_17688),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1733]),
+	.B1(n_17683),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1710]),
+	.Y(n_13903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848751 (
+	.A1(n_17622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [196]),
+	.B1(n_17624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [219]),
+	.Y(n_13902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848752 (
+	.A1(n_20236),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1432]),
+	.B1(n_20197),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1455]),
+	.Y(n_13901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848753 (
+	.A1(n_17627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [357]),
+	.B1(n_17626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [334]),
+	.Y(n_13900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848754 (
+	.A1(n_17680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1779]),
+	.B1(n_17677),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1756]),
+	.Y(n_13899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848755 (
+	.A1(n_17640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [311]),
+	.B1(n_17629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [288]),
+	.Y(n_13898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848756 (
+	.A1(n_17676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [242]),
+	.B1(n_17625),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [265]),
+	.Y(n_13897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848757 (
+	.A1(n_17670),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1687]),
+	.B1(n_17667),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1664]),
+	.Y(n_13896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848758 (
+	.A1(n_17716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [173]),
+	.B1(n_17715),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [150]),
+	.Y(n_13895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848759 (
+	.A1(n_11609),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1868]),
+	.B1(n_20194),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1845]),
+	.Y(n_13894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848760 (
+	.A1(n_17717),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [104]),
+	.B1(n_17718),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [127]),
+	.Y(n_13893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848761 (
+	.A1(n_17714),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [81]),
+	.B1(n_11413),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [58]),
+	.Y(n_13892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848762 (
+	.A1(n_20242),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1294]),
+	.B1(n_20189),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1317]),
+	.Y(n_13891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848763 (
+	.A1(n_17716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [169]),
+	.B1(n_17715),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [146]),
+	.Y(n_13890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848764 (
+	.A1(n_17727),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1070]),
+	.B1(n_11399),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1093]),
+	.Y(n_13889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848765 (
+	.A1(n_17717),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [100]),
+	.B1(n_17718),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [123]),
+	.Y(n_13888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848766 (
+	.A1(n_17733),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [978]),
+	.B1(n_17709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1001]),
+	.Y(n_13887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848767 (
+	.A1(n_11609),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1874]),
+	.B1(n_20194),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1851]),
+	.Y(n_13886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848768 (
+	.A1(n_17731),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1047]),
+	.B1(n_17730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1024]),
+	.Y(n_13885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848769 (
+	.A1(n_20226),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1363]),
+	.B1(n_11398),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1340]),
+	.Y(n_13884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848770 (
+	.A1(n_11452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [31]),
+	.B1(n_11594),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [8]),
+	.Y(n_13883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848771 (
+	.A1(n_17632),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1599]),
+	.B1(n_17673),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1576]),
+	.Y(n_13882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848772 (
+	.A1(n_17633),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1645]),
+	.B1(n_17648),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1622]),
+	.Y(n_13881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848773 (
+	.A1(n_17628),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1553]),
+	.B1(n_17623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1530]),
+	.Y(n_13880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848774 (
+	.A1(n_17627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [353]),
+	.B1(n_17626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [330]),
+	.Y(n_13879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848775 (
+	.A1(n_17674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1829]),
+	.B1(n_17671),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1806]),
+	.Y(n_13878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848776 (
+	.A1(n_11640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1156]),
+	.B1(n_11483),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1179]),
+	.Y(n_13877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848777 (
+	.A1(n_17622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [192]),
+	.B1(n_17624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [215]),
+	.Y(n_13876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848778 (
+	.A1(n_17688),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1737]),
+	.B1(n_17683),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1714]),
+	.Y(n_13875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848779 (
+	.A1(n_17676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [238]),
+	.B1(n_17625),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [261]),
+	.Y(n_13874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848780 (
+	.A1(n_17680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1783]),
+	.B1(n_17677),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1760]),
+	.Y(n_13873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848781 (
+	.A1(n_11479),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2171]),
+	.B1(n_20165),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2194]),
+	.Y(n_13872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848782 (
+	.A1(n_17694),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [380]),
+	.B1(n_11647),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [403]),
+	.Y(n_13871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848783 (
+	.A1(n_17619),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [518]),
+	.B1(n_17679),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [541]),
+	.Y(n_13870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848784 (
+	.A1(n_11559),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [449]),
+	.B1(n_17652),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [426]),
+	.Y(n_13869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848785 (
+	.A1(n_20254),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1271]),
+	.B1(n_11431),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1248]),
+	.Y(n_13868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848786 (
+	.A1(n_17722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [882]),
+	.B1(n_17723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [905]),
+	.Y(n_13867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848787 (
+	.A1(n_17647),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [564]),
+	.B1(n_17650),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [587]),
+	.Y(n_13866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848788 (
+	.A1(n_17744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [836]),
+	.B1(n_11430),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [859]),
+	.Y(n_13865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848789 (
+	.A1(n_17637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [702]),
+	.B1(n_17630),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [725]),
+	.Y(n_13864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848790 (
+	.A1(n_17639),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [656]),
+	.B1(n_17649),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [679]),
+	.Y(n_13863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848791 (
+	.A1(n_11552),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [767]),
+	.B1(n_11484),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [744]),
+	.Y(n_13862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848792 (
+	.A1(n_20158),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [488]),
+	.B1(n_11435),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [465]),
+	.Y(n_13861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848793 (
+	.A1(n_17745),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1415]),
+	.B1(n_17728),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1392]),
+	.Y(n_13860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848794 (
+	.A1(n_11454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1300]),
+	.B1(n_17741),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1323]),
+	.Y(n_13859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848795 (
+	.A1(n_17746),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1438]),
+	.B1(n_17724),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1461]),
+	.Y(n_13858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848796 (
+	.A1(n_11485),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1133]),
+	.B1(n_20184),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1110]),
+	.Y(n_13857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848797 (
+	.A1(n_17743),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1369]),
+	.B1(n_11600),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1346]),
+	.Y(n_13856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848798 (
+	.A1(n_17727),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1066]),
+	.B1(n_11399),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1089]),
+	.Y(n_13855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848799 (
+	.A1(n_17726),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [951]),
+	.B1(n_17729),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [928]),
+	.Y(n_13854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848800 (
+	.A1(n_17734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1231]),
+	.B1(n_17713),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1208]),
+	.Y(n_13853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848801 (
+	.A1(n_11439),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1225]),
+	.B1(n_11432),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1202]),
+	.Y(n_13852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848802 (
+	.A1(n_17719),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1139]),
+	.B1(n_11605),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1116]),
+	.Y(n_13851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848803 (
+	.A1(n_17731),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1043]),
+	.B1(n_17730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1020]),
+	.Y(n_13850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848804 (
+	.A1(n_17736),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1277]),
+	.B1(n_17735),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1254]),
+	.Y(n_13849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848805 (
+	.A1(n_11608),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1162]),
+	.B1(n_11451),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1185]),
+	.Y(n_13848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848806 (
+	.A1(n_17702),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1875]),
+	.B1(n_17654),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1852]),
+	.Y(n_13847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848807 (
+	.A1(n_17661),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1990]),
+	.B1(n_17664),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2013]),
+	.Y(n_13846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848808 (
+	.A1(n_17690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1944]),
+	.B1(n_17669),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1967]),
+	.Y(n_13845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848809 (
+	.A1(n_20245),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1943]),
+	.B1(n_20229),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1966]),
+	.Y(n_13844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848810 (
+	.A1(n_17668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2059]),
+	.B1(n_11584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2036]),
+	.Y(n_13843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848811 (
+	.A1(n_20153),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [373]),
+	.B1(n_20202),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [396]),
+	.Y(n_13842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848812 (
+	.A1(n_17675),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2174]),
+	.B1(n_11624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2197]),
+	.Y(n_13841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848813 (
+	.A1(n_17631),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2128]),
+	.B1(n_17634),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2151]),
+	.Y(n_13840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848814 (
+	.A1(n_11420),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1895]),
+	.B1(n_20211),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1918]),
+	.Y(n_13839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848815 (
+	.A1(n_17698),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2427]),
+	.B1(n_11565),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2404]),
+	.Y(n_13838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848816 (
+	.A1(n_11420),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1897]),
+	.B1(n_20211),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1920]),
+	.Y(n_13837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848817 (
+	.A1(n_11461),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2565]),
+	.B1(n_17700),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2542]),
+	.Y(n_13836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848818 (
+	.A1(n_11406),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2450]),
+	.B1(n_17666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2473]),
+	.Y(n_13835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848819 (
+	.A1(n_11448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2559]),
+	.B1(n_11622),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2536]),
+	.Y(n_13834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848820 (
+	.A1(n_17681),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2220]),
+	.B1(n_11582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2243]),
+	.Y(n_13833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848821 (
+	.A1(n_20260),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1989]),
+	.B1(n_20261),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2012]),
+	.Y(n_13832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848822 (
+	.A1(n_17689),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2381]),
+	.B1(n_17641),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2358]),
+	.Y(n_13831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848823 (
+	.A1(n_17692),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2266]),
+	.B1(n_17693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2289]),
+	.Y(n_13830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848824 (
+	.A1(n_20220),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [442]),
+	.B1(n_20177),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [419]),
+	.Y(n_13829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848825 (
+	.A1(n_20219),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [310]),
+	.B1(n_11436),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [287]),
+	.Y(n_13828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848826 (
+	.A1(n_17628),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1553]),
+	.B1(n_17623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1530]),
+	.Y(n_13827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848827 (
+	.A1(n_17621),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1507]),
+	.B1(n_17618),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1484]),
+	.Y(n_13826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848828 (
+	.A1(n_20161),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2421]),
+	.B1(n_20196),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2398]),
+	.Y(n_13825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848829 (
+	.A1(n_17632),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1599]),
+	.B1(n_17673),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1576]),
+	.Y(n_13824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848830 (
+	.A1(n_20246),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [195]),
+	.B1(n_20253),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [218]),
+	.Y(n_13823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848831 (
+	.A1(n_17633),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1645]),
+	.B1(n_17648),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1622]),
+	.Y(n_13822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848832 (
+	.A1(n_17620),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2887]),
+	.B1(n_17614),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2864]),
+	.Y(n_13821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848833 (
+	.A1(n_17695),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2772]),
+	.B1(n_17613),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2795]),
+	.Y(n_13820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848834 (
+	.A1(n_11598),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [356]),
+	.B1(n_20224),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [333]),
+	.Y(n_13819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848835 (
+	.A1(n_17635),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2910]),
+	.B1(n_17686),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2933]),
+	.Y(n_13818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848836 (
+	.A1(n_17638),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2841]),
+	.B1(n_17611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2818]),
+	.Y(n_13817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848837 (
+	.A1(n_20219),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [315]),
+	.B1(n_11436),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [292]),
+	.Y(n_13816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848838 (
+	.A1(n_20237),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [241]),
+	.B1(n_20240),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [264]),
+	.Y(n_13815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848839 (
+	.A1(n_17702),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1875]),
+	.B1(n_17654),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1852]),
+	.Y(n_13814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848840 (
+	.A1(n_17690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1944]),
+	.B1(n_17669),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1967]),
+	.Y(n_13813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848841 (
+	.A1(n_11428),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1898]),
+	.B1(n_11440),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1921]),
+	.Y(n_13812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848842 (
+	.A1(n_20251),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2444]),
+	.B1(n_20258),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2467]),
+	.Y(n_13811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848843 (
+	.A1(n_17661),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1990]),
+	.B1(n_17664),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2013]),
+	.Y(n_13810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848844 (
+	.A1(n_20220),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [448]),
+	.B1(n_20177),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [425]),
+	.Y(n_13809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848845 (
+	.A1(n_17680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1783]),
+	.B1(n_17677),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1760]),
+	.Y(n_13808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848846 (
+	.A1(n_17670),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1691]),
+	.B1(n_17667),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1668]),
+	.Y(n_13807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848847 (
+	.A1(n_17688),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1737]),
+	.B1(n_17683),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1714]),
+	.Y(n_13806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848848 (
+	.A1(n_17674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1829]),
+	.B1(n_17671),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1806]),
+	.Y(n_13805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848849 (
+	.A1(n_20158),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [494]),
+	.B1(n_11435),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [471]),
+	.Y(n_13804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848850 (
+	.A1(n_17672),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2588]),
+	.B1(n_17612),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2611]),
+	.Y(n_13803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848851 (
+	.A1(n_20174),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [517]),
+	.B1(n_11567),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [540]),
+	.Y(n_13802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848852 (
+	.A1(n_11472),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2726]),
+	.B1(n_17617),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2749]),
+	.Y(n_13801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848853 (
+	.A1(n_11471),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2680]),
+	.B1(n_11421),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2703]),
+	.Y(n_13800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848854 (
+	.A1(n_20153),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [379]),
+	.B1(n_20202),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [402]),
+	.Y(n_13799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848855 (
+	.A1(n_17675),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2174]),
+	.B1(n_11624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2197]),
+	.Y(n_13798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848856 (
+	.A1(n_20249),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2375]),
+	.B1(n_20255),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2352]),
+	.Y(n_13797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848857 (
+	.A1(n_11437),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2082]),
+	.B1(n_17615),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2105]),
+	.Y(n_13796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848858 (
+	.A1(n_17631),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2128]),
+	.B1(n_17634),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2151]),
+	.Y(n_13795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848859 (
+	.A1(n_20170),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2081]),
+	.B1(n_20176),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2104]),
+	.Y(n_13794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848860 (
+	.A1(n_20183),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2843]),
+	.B1(n_20182),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2820]),
+	.Y(n_13793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848861 (
+	.A1(n_20219),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [304]),
+	.B1(n_11436),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [281]),
+	.Y(n_13792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848862 (
+	.A1(n_17746),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1438]),
+	.B1(n_17724),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1461]),
+	.Y(n_13791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848863 (
+	.A1(n_20243),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2329]),
+	.B1(n_20241),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2306]),
+	.Y(n_13790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848864 (
+	.A1(n_11479),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2173]),
+	.B1(n_20165),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2196]),
+	.Y(n_13789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848865 (
+	.A1(n_17745),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1415]),
+	.B1(n_17728),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1392]),
+	.Y(n_13788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848866 (
+	.A1(n_11422),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2127]),
+	.B1(n_11478),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2150]),
+	.Y(n_13787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848867 (
+	.A1(n_20238),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2214]),
+	.B1(n_20239),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2237]),
+	.Y(n_13786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848868 (
+	.A1(n_17736),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1277]),
+	.B1(n_17735),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1254]),
+	.Y(n_13785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848869 (
+	.A1(n_17734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1231]),
+	.B1(n_17713),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1208]),
+	.Y(n_13784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848870 (
+	.A1(n_11608),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1162]),
+	.B1(n_11451),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1185]),
+	.Y(n_13783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848871 (
+	.A1(n_11452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [35]),
+	.B1(n_11594),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [12]),
+	.Y(n_13782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848872 (
+	.A1(n_17717),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [104]),
+	.B1(n_17718),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [127]),
+	.Y(n_13781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848873 (
+	.A1(n_20168),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2058]),
+	.B1(n_11423),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2035]),
+	.Y(n_13780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848874 (
+	.A1(n_17716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [173]),
+	.B1(n_17715),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [150]),
+	.Y(n_13779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g848875 (
+	.A1(n_357),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[939]),
+	.B1(n_506),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[971]),
+	.X(n_13778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848876 (
+	.A1(n_17622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [196]),
+	.B1(n_17624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [219]),
+	.Y(n_13777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848877 (
+	.A1(n_20246),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [189]),
+	.B1(n_20253),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [212]),
+	.Y(n_13776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848878 (
+	.A1(n_17640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [311]),
+	.B1(n_17629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [288]),
+	.Y(n_13775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848879 (
+	.A1(n_11403),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [34]),
+	.B1(n_11434),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [11]),
+	.Y(n_13774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848880 (
+	.A1(n_17627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [357]),
+	.B1(n_17626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [334]),
+	.Y(n_13773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848881 (
+	.A1(n_17694),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [380]),
+	.B1(n_11647),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [403]),
+	.Y(n_13772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848882 (
+	.A1(n_20199),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [80]),
+	.B1(n_20232),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [57]),
+	.Y(n_13771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848883 (
+	.A1(n_17619),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [518]),
+	.B1(n_17679),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [541]),
+	.Y(n_13770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848884 (
+	.A1(n_17665),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [495]),
+	.B1(n_17662),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [472]),
+	.Y(n_13769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848885 (
+	.A1(n_11559),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [449]),
+	.B1(n_17652),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [426]),
+	.Y(n_13768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848886 (
+	.A1(n_12498),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [172]),
+	.B1(n_20262),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [149]),
+	.Y(n_13767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848887 (
+	.A1(n_17647),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [564]),
+	.B1(n_17650),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [587]),
+	.Y(n_13766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848888 (
+	.A1(n_20148),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [773]),
+	.B1(n_20147),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [750]),
+	.Y(n_13765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848889 (
+	.A1(n_17637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [702]),
+	.B1(n_17630),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [725]),
+	.Y(n_13764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848890 (
+	.A1(n_17643),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [610]),
+	.B1(n_17645),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [633]),
+	.Y(n_13763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848891 (
+	.A1(n_17639),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [656]),
+	.B1(n_17649),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [679]),
+	.Y(n_13762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848892 (
+	.A1(n_20174),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [512]),
+	.B1(n_11567),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [535]),
+	.Y(n_13761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848893 (
+	.A1(n_11461),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2565]),
+	.B1(n_17700),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2542]),
+	.Y(n_13760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848894 (
+	.A1(n_20237),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [235]),
+	.B1(n_20240),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [258]),
+	.Y(n_13759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848895 (
+	.A1(n_20158),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [489]),
+	.B1(n_11435),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [466]),
+	.Y(n_13758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848896 (
+	.A1(n_11404),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [655]),
+	.B1(n_20244),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [678]),
+	.Y(n_13757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848897 (
+	.A1(n_17687),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2496]),
+	.B1(n_17660),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2519]),
+	.Y(n_13756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848898 (
+	.A1(n_20153),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [374]),
+	.B1(n_20202),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [397]),
+	.Y(n_13755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848899 (
+	.A1(n_20191),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [563]),
+	.B1(n_20195),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [586]),
+	.Y(n_13754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848900 (
+	.A1(n_17689),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2381]),
+	.B1(n_17641),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2358]),
+	.Y(n_13753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848901 (
+	.A1(n_17644),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2335]),
+	.B1(n_17684),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2312]),
+	.Y(n_13752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848902 (
+	.A1(n_17692),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2266]),
+	.B1(n_17693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2289]),
+	.Y(n_13751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848903 (
+	.A1(n_17722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [886]),
+	.B1(n_17723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [909]),
+	.Y(n_13750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848904 (
+	.A1(n_17744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [840]),
+	.B1(n_11430),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [863]),
+	.Y(n_13749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848905 (
+	.A1(n_17732),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [817]),
+	.B1(n_17739),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [794]),
+	.Y(n_13748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848906 (
+	.A1(n_20207),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [701]),
+	.B1(n_11556),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [724]),
+	.Y(n_13747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848907 (
+	.A1(n_17727),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1070]),
+	.B1(n_11399),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1093]),
+	.Y(n_13746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848908 (
+	.A1(n_17733),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [978]),
+	.B1(n_17709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1001]),
+	.Y(n_13745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848909 (
+	.A1(n_17731),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1047]),
+	.B1(n_17730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1024]),
+	.Y(n_13744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848910 (
+	.A1(n_17622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [199]),
+	.B1(n_17624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [222]),
+	.Y(n_13743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848911 (
+	.A1(n_20142),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1046]),
+	.B1(n_20154),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1023]),
+	.Y(n_13742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848912 (
+	.A1(n_20138),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [954]),
+	.B1(n_20215),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [931]),
+	.Y(n_13741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848913 (
+	.A1(n_17702),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1879]),
+	.B1(n_17654),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1856]),
+	.Y(n_13740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848914 (
+	.A1(n_20245),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1947]),
+	.B1(n_20229),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1970]),
+	.Y(n_13739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848915 (
+	.A1(n_20207),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [696]),
+	.B1(n_11556),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [719]),
+	.Y(n_13738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848916 (
+	.A1(n_11428),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1902]),
+	.B1(n_11440),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1925]),
+	.Y(n_13737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848917 (
+	.A1(n_17690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1948]),
+	.B1(n_17669),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1971]),
+	.Y(n_13736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848918 (
+	.A1(n_20180),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1069]),
+	.B1(n_20151),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1092]),
+	.Y(n_13735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848919 (
+	.A1(n_17668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2063]),
+	.B1(n_11584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2040]),
+	.Y(n_13734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848920 (
+	.A1(n_11437),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2086]),
+	.B1(n_17615),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2109]),
+	.Y(n_13733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848921 (
+	.A1(n_20191),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [558]),
+	.B1(n_20195),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [581]),
+	.Y(n_13732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848922 (
+	.A1(n_20259),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [839]),
+	.B1(n_11489),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [862]),
+	.Y(n_13731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848923 (
+	.A1(n_17631),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2132]),
+	.B1(n_17634),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2155]),
+	.Y(n_13730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848924 (
+	.A1(n_11404),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [650]),
+	.B1(n_20244),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [673]),
+	.Y(n_13729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848925 (
+	.A1(n_20148),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [770]),
+	.B1(n_20147),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [747]),
+	.Y(n_13728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848926 (
+	.A1(n_11472),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2730]),
+	.B1(n_17617),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2753]),
+	.Y(n_13727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848927 (
+	.A1(n_11471),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2684]),
+	.B1(n_11421),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2707]),
+	.Y(n_13726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848928 (
+	.A1(n_11400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [885]),
+	.B1(n_11490),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [908]),
+	.Y(n_13725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848929 (
+	.A1(n_17703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2661]),
+	.B1(n_17699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2638]),
+	.Y(n_13724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848930 (
+	.A1(n_17674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1832]),
+	.B1(n_17671),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1809]),
+	.Y(n_13723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848931 (
+	.A1(n_20236),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1431]),
+	.B1(n_20197),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1454]),
+	.Y(n_13722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848932 (
+	.A1(n_17635),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2914]),
+	.B1(n_17686),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2937]),
+	.Y(n_13721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848933 (
+	.A1(n_495),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[395]),
+	.B1(n_492),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[43]),
+	.Y(n_13720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848934 (
+	.A1(n_17638),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2845]),
+	.B1(n_17611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2822]),
+	.Y(n_13719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848935 (
+	.A1(n_17620),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2891]),
+	.B1(n_17614),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2868]),
+	.Y(n_13718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848936 (
+	.A1(n_20141),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2587]),
+	.B1(n_11554),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2610]),
+	.Y(n_13717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848937 (
+	.A1(n_17692),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2270]),
+	.B1(n_17693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2293]),
+	.Y(n_13716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848938 (
+	.A1(n_20242),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1293]),
+	.B1(n_20189),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1316]),
+	.Y(n_13715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848939 (
+	.A1(n_17746),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1432]),
+	.B1(n_17724),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1455]),
+	.Y(n_13714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848940 (
+	.A1(n_17681),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2224]),
+	.B1(n_11582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2247]),
+	.Y(n_13713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848941 (
+	.A1(n_20140),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2725]),
+	.B1(n_11644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2748]),
+	.Y(n_13712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848942 (
+	.A1(n_17689),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2385]),
+	.B1(n_17641),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2362]),
+	.Y(n_13711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848943 (
+	.A1(n_20169),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2656]),
+	.B1(n_11632),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2633]),
+	.Y(n_13710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848944 (
+	.A1(n_17644),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2339]),
+	.B1(n_17684),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2316]),
+	.Y(n_13709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848945 (
+	.A1(n_11454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1294]),
+	.B1(n_17741),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1317]),
+	.Y(n_13708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848946 (
+	.A1(n_11406),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2454]),
+	.B1(n_17666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2477]),
+	.Y(n_13707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848947 (
+	.A1(n_17698),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2431]),
+	.B1(n_11565),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2408]),
+	.Y(n_13706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848948 (
+	.A1(n_17743),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1363]),
+	.B1(n_11600),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1340]),
+	.Y(n_13705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848949 (
+	.A1(n_11461),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2569]),
+	.B1(n_17700),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2546]),
+	.Y(n_13704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848950 (
+	.A1(n_20183),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2840]),
+	.B1(n_20182),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2817]),
+	.Y(n_13703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848951 (
+	.A1(n_17687),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2500]),
+	.B1(n_17660),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2523]),
+	.Y(n_13702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g848952 (
+	.A1(n_501),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[344]),
+	.B1(n_356),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[312]),
+	.X(n_13701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848953 (
+	.A1(n_17745),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1409]),
+	.B1(n_17728),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1386]),
+	.Y(n_13700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848954 (
+	.A1(n_17639),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [660]),
+	.B1(n_17649),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [683]),
+	.Y(n_13699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848955 (
+	.A1(n_20163),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2771]),
+	.B1(n_20149),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2794]),
+	.Y(n_13698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848956 (
+	.A1(n_20226),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1362]),
+	.B1(n_11398),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1339]),
+	.Y(n_13697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848957 (
+	.A1(n_17647),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [568]),
+	.B1(n_17650),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [591]),
+	.Y(n_13696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848958 (
+	.A1(n_20178),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2886]),
+	.B1(n_11637),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2863]),
+	.Y(n_13695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848959 (
+	.A1(n_17643),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [614]),
+	.B1(n_17645),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [637]),
+	.Y(n_13694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848960 (
+	.A1(n_17637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [706]),
+	.B1(n_17630),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [729]),
+	.Y(n_13693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848961 (
+	.A1(n_11559),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [443]),
+	.B1(n_17652),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [420]),
+	.Y(n_13692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848962 (
+	.A1(n_17694),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [384]),
+	.B1(n_11647),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [407]),
+	.Y(n_13691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848963 (
+	.A1(n_17619),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [512]),
+	.B1(n_17679),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [535]),
+	.Y(n_13690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848964 (
+	.A1(n_11448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2564]),
+	.B1(n_11622),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2541]),
+	.Y(n_13689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848965 (
+	.A1(n_17619),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [522]),
+	.B1(n_17679),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [545]),
+	.Y(n_13688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848966 (
+	.A1(n_17665),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [499]),
+	.B1(n_17662),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [476]),
+	.Y(n_13687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848967 (
+	.A1(n_509),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[139]),
+	.B1(n_511),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[1003]),
+	.Y(n_13686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848968 (
+	.A1(n_20251),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2449]),
+	.B1(n_20258),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2472]),
+	.Y(n_13685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848969 (
+	.A1(n_17722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [890]),
+	.B1(n_17723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [913]),
+	.Y(n_13684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848970 (
+	.A1(n_17665),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [489]),
+	.B1(n_17662),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [466]),
+	.Y(n_13683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848971 (
+	.A1(n_20236),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1436]),
+	.B1(n_20197),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1459]),
+	.Y(n_13682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848972 (
+	.A1(n_17694),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [374]),
+	.B1(n_11647),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [397]),
+	.Y(n_13681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848973 (
+	.A1(n_20161),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2426]),
+	.B1(n_20196),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2403]),
+	.Y(n_13680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848974 (
+	.A1(n_17744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [844]),
+	.B1(n_11430),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [867]),
+	.Y(n_13679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848975 (
+	.A1(n_20204),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2495]),
+	.B1(n_11547),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2518]),
+	.Y(n_13678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848976 (
+	.A1(n_11552),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [775]),
+	.B1(n_11484),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [752]),
+	.Y(n_13677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848977 (
+	.A1(n_17733),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [982]),
+	.B1(n_17709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1005]),
+	.Y(n_13676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848978 (
+	.A1(n_20249),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2380]),
+	.B1(n_20255),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2357]),
+	.Y(n_13675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848979 (
+	.A1(n_17726),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [959]),
+	.B1(n_17729),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [936]),
+	.Y(n_13674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848980 (
+	.A1(n_17727),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1074]),
+	.B1(n_11399),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1097]),
+	.Y(n_13673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848981 (
+	.A1(n_17734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1225]),
+	.B1(n_17713),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1202]),
+	.Y(n_13672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848982 (
+	.A1(n_20247),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2265]),
+	.B1(n_20248),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2288]),
+	.Y(n_13671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848983 (
+	.A1(n_17731),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1051]),
+	.B1(n_17730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1028]),
+	.Y(n_13670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848984 (
+	.A1(n_20254),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1270]),
+	.B1(n_11431),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1247]),
+	.Y(n_13669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848985 (
+	.A1(n_20243),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2334]),
+	.B1(n_20241),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2311]),
+	.Y(n_13668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848986 (
+	.A1(n_17736),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1271]),
+	.B1(n_17735),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1248]),
+	.Y(n_13667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848987 (
+	.A1(n_11454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1304]),
+	.B1(n_17741),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1327]),
+	.Y(n_13666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848988 (
+	.A1(n_17746),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1442]),
+	.B1(n_17724),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1465]),
+	.Y(n_13665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848989 (
+	.A1(n_20238),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2219]),
+	.B1(n_20239),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2242]),
+	.Y(n_13664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848990 (
+	.A1(n_17745),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1419]),
+	.B1(n_17728),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1396]),
+	.Y(n_13663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848991 (
+	.A1(n_11608),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1156]),
+	.B1(n_11451),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1179]),
+	.Y(n_13662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848992 (
+	.A1(n_17719),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1133]),
+	.B1(n_11605),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1110]),
+	.Y(n_13661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848993 (
+	.A1(n_17719),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1143]),
+	.B1(n_11605),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1120]),
+	.Y(n_13660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848994 (
+	.A1(n_17734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1235]),
+	.B1(n_17713),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1212]),
+	.Y(n_13659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848995 (
+	.A1(n_17640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [305]),
+	.B1(n_17629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [282]),
+	.Y(n_13658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848996 (
+	.A1(n_11608),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1166]),
+	.B1(n_11451),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1189]),
+	.Y(n_13657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848997 (
+	.A1(n_20210),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1414]),
+	.B1(n_20203),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1391]),
+	.Y(n_13656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848998 (
+	.A1(n_17621),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1511]),
+	.B1(n_17618),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1488]),
+	.Y(n_13655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g848999 (
+	.A1(n_11485),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1132]),
+	.B1(n_20184),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1109]),
+	.Y(n_13654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849000 (
+	.A1(n_20242),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1299]),
+	.B1(n_20189),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1322]),
+	.Y(n_13653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849001 (
+	.A1(n_17628),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1557]),
+	.B1(n_17623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1534]),
+	.Y(n_13652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849002 (
+	.A1(n_17627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [351]),
+	.B1(n_17626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [328]),
+	.Y(n_13651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849003 (
+	.A1(n_17632),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1603]),
+	.B1(n_17673),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1580]),
+	.Y(n_13650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849004 (
+	.A1(n_20236),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1437]),
+	.B1(n_20197),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1460]),
+	.Y(n_13649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849005 (
+	.A1(n_17633),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1649]),
+	.B1(n_17648),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1626]),
+	.Y(n_13648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849006 (
+	.A1(n_11640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1155]),
+	.B1(n_11483),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1178]),
+	.Y(n_13647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849007 (
+	.A1(n_17670),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1695]),
+	.B1(n_17667),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1672]),
+	.Y(n_13646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849008 (
+	.A1(n_17622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [190]),
+	.B1(n_17624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [213]),
+	.Y(n_13645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849009 (
+	.A1(n_17688),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1741]),
+	.B1(n_17683),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1718]),
+	.Y(n_13644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849010 (
+	.A1(n_17674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1833]),
+	.B1(n_17671),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1810]),
+	.Y(n_13643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849011 (
+	.A1(n_17676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [236]),
+	.B1(n_17625),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [259]),
+	.Y(n_13642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849012 (
+	.A1(n_20254),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1276]),
+	.B1(n_11431),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1253]),
+	.Y(n_13641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849013 (
+	.A1(n_17680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1787]),
+	.B1(n_17677),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1764]),
+	.Y(n_13640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849014 (
+	.A1(n_17717),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [108]),
+	.B1(n_17718),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [131]),
+	.Y(n_13639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849015 (
+	.A1(n_11485),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1138]),
+	.B1(n_20184),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1115]),
+	.Y(n_13638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849016 (
+	.A1(n_11452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [39]),
+	.B1(n_11594),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [16]),
+	.Y(n_13637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849017 (
+	.A1(n_17714),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [85]),
+	.B1(n_11413),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [62]),
+	.Y(n_13636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849018 (
+	.A1(n_11439),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1230]),
+	.B1(n_11432),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1207]),
+	.Y(n_13635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849019 (
+	.A1(n_17716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [177]),
+	.B1(n_17715),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [154]),
+	.Y(n_13634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849020 (
+	.A1(n_17716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [167]),
+	.B1(n_17715),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [144]),
+	.Y(n_13633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849021 (
+	.A1(n_17676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [246]),
+	.B1(n_17625),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [269]),
+	.Y(n_13632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849022 (
+	.A1(n_17622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [200]),
+	.B1(n_17624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [223]),
+	.Y(n_13631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849023 (
+	.A1(n_17640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [315]),
+	.B1(n_17629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [292]),
+	.Y(n_13630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849024 (
+	.A1(n_507),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[491]),
+	.B1(n_505),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[587]),
+	.Y(n_13629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849025 (
+	.A1(n_20263),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1598]),
+	.B1(n_20198),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1575]),
+	.Y(n_13628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849026 (
+	.A1(n_17627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [361]),
+	.B1(n_17626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [338]),
+	.Y(n_13627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849027 (
+	.A1(n_17717),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [98]),
+	.B1(n_17718),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [121]),
+	.Y(n_13626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849028 (
+	.A1(n_20214),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1644]),
+	.B1(n_20212),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1621]),
+	.Y(n_13625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849029 (
+	.A1(n_11452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [29]),
+	.B1(n_11594),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [6]),
+	.Y(n_13624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849030 (
+	.A1(n_17722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [890]),
+	.B1(n_17723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [913]),
+	.Y(n_13623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849031 (
+	.A1(n_20209),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1506]),
+	.B1(n_20208),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1483]),
+	.Y(n_13622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849032 (
+	.A1(n_17744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [844]),
+	.B1(n_11430),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [867]),
+	.Y(n_13621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849033 (
+	.A1(n_11552),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [775]),
+	.B1(n_11484),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [752]),
+	.Y(n_13620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849034 (
+	.A1(n_498),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[910]),
+	.B1(n_506),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[974]),
+	.Y(n_13619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849035 (
+	.A1(n_17746),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1442]),
+	.B1(n_17724),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1465]),
+	.Y(n_13618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849036 (
+	.A1(n_11454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1304]),
+	.B1(n_17741),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1327]),
+	.Y(n_13617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849037 (
+	.A1(n_17639),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [650]),
+	.B1(n_17649),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [673]),
+	.Y(n_13616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849038 (
+	.A1(n_20231),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1782]),
+	.B1(n_20225),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1759]),
+	.Y(n_13615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849039 (
+	.A1(n_17743),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1373]),
+	.B1(n_11600),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1350]),
+	.Y(n_13614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849040 (
+	.A1(n_20251),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2443]),
+	.B1(n_20258),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2466]),
+	.Y(n_13613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849041 (
+	.A1(n_20223),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1828]),
+	.B1(n_20221),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1805]),
+	.Y(n_13612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849042 (
+	.A1(n_17647),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [558]),
+	.B1(n_17650),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [581]),
+	.Y(n_13611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849043 (
+	.A1(n_17736),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1281]),
+	.B1(n_17735),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1258]),
+	.Y(n_13610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849044 (
+	.A1(n_11445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1690]),
+	.B1(n_11470),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1667]),
+	.Y(n_13609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849045 (
+	.A1(n_17719),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1143]),
+	.B1(n_11605),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1120]),
+	.Y(n_13608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849046 (
+	.A1(n_11608),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1166]),
+	.B1(n_11451),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1189]),
+	.Y(n_13607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849047 (
+	.A1(n_17643),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [604]),
+	.B1(n_17645),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [627]),
+	.Y(n_13606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849048 (
+	.A1(n_20226),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1371]),
+	.B1(n_11398),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1348]),
+	.Y(n_13605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849049 (
+	.A1(n_20162),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [816]),
+	.B1(n_20145),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [793]),
+	.Y(n_13604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849050 (
+	.A1(n_17670),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1695]),
+	.B1(n_17667),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1672]),
+	.Y(n_13603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849051 (
+	.A1(n_17688),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1741]),
+	.B1(n_17683),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1718]),
+	.Y(n_13602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849052 (
+	.A1(n_17680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1787]),
+	.B1(n_17677),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1764]),
+	.Y(n_13601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849053 (
+	.A1(n_508),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[523]),
+	.B1(n_350),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[555]),
+	.Y(n_13600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849054 (
+	.A1(n_20148),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [770]),
+	.B1(n_20147),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [747]),
+	.Y(n_13599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849055 (
+	.A1(n_20161),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2420]),
+	.B1(n_20196),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2397]),
+	.Y(n_13598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849056 (
+	.A1(n_17633),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1649]),
+	.B1(n_17648),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1626]),
+	.Y(n_13597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849057 (
+	.A1(n_20259),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [839]),
+	.B1(n_11489),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [862]),
+	.Y(n_13596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849058 (
+	.A1(n_17621),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1511]),
+	.B1(n_17618),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1488]),
+	.Y(n_13595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849059 (
+	.A1(n_17632),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1603]),
+	.B1(n_17673),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1580]),
+	.Y(n_13594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849060 (
+	.A1(n_17692),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2260]),
+	.B1(n_17693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2283]),
+	.Y(n_13593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849061 (
+	.A1(n_17628),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1557]),
+	.B1(n_17623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1534]),
+	.Y(n_13592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849062 (
+	.A1(n_11400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [885]),
+	.B1(n_11490),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [908]),
+	.Y(n_13591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849063 (
+	.A1(n_20204),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2489]),
+	.B1(n_11547),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2512]),
+	.Y(n_13590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849064 (
+	.A1(n_17733),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [982]),
+	.B1(n_17709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1005]),
+	.Y(n_13589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849065 (
+	.A1(n_20242),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1299]),
+	.B1(n_20189),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1322]),
+	.Y(n_13588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849066 (
+	.A1(n_17727),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1074]),
+	.B1(n_11399),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1097]),
+	.Y(n_13587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849067 (
+	.A1(n_17689),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2375]),
+	.B1(n_17641),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2352]),
+	.Y(n_13586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849068 (
+	.A1(n_17726),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [959]),
+	.B1(n_17729),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [936]),
+	.Y(n_13585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849069 (
+	.A1(n_17731),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1051]),
+	.B1(n_17730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1028]),
+	.Y(n_13584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849070 (
+	.A1(n_20226),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1368]),
+	.B1(n_11398),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1345]),
+	.Y(n_13583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849071 (
+	.A1(n_17717),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [99]),
+	.B1(n_17718),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [122]),
+	.Y(n_13582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849072 (
+	.A1(n_17644),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2329]),
+	.B1(n_17684),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2306]),
+	.Y(n_13581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849073 (
+	.A1(n_17620),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2891]),
+	.B1(n_17614),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2868]),
+	.Y(n_13580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849074 (
+	.A1(n_20236),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1437]),
+	.B1(n_20197),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1460]),
+	.Y(n_13579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849075 (
+	.A1(n_17695),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2776]),
+	.B1(n_17613),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2799]),
+	.Y(n_13578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849076 (
+	.A1(n_11448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2562]),
+	.B1(n_11622),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2539]),
+	.Y(n_13577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849077 (
+	.A1(n_20210),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1414]),
+	.B1(n_20203),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1391]),
+	.Y(n_13576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849078 (
+	.A1(n_17635),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2914]),
+	.B1(n_17686),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2937]),
+	.Y(n_13575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849079 (
+	.A1(n_17638),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2845]),
+	.B1(n_17611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2822]),
+	.Y(n_13574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849080 (
+	.A1(n_11472),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2730]),
+	.B1(n_17617),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2753]),
+	.Y(n_13573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849081 (
+	.A1(n_11406),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2444]),
+	.B1(n_17666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2467]),
+	.Y(n_13572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849082 (
+	.A1(n_11439),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1230]),
+	.B1(n_11432),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1207]),
+	.Y(n_13571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849083 (
+	.A1(n_11471),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2684]),
+	.B1(n_11421),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2707]),
+	.Y(n_13570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849084 (
+	.A1(n_17672),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2592]),
+	.B1(n_17612),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2615]),
+	.Y(n_13569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849085 (
+	.A1(n_352),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[651]),
+	.B1(n_494),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[619]),
+	.Y(n_13568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849086 (
+	.A1(n_11485),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1138]),
+	.B1(n_20184),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1115]),
+	.Y(n_13567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849087 (
+	.A1(n_17703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2661]),
+	.B1(n_17699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2638]),
+	.Y(n_13566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849088 (
+	.A1(n_17698),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2421]),
+	.B1(n_11565),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2398]),
+	.Y(n_13565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849089 (
+	.A1(n_17717),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [108]),
+	.B1(n_17718),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [131]),
+	.Y(n_13564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849090 (
+	.A1(n_17687),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2490]),
+	.B1(n_17660),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2513]),
+	.Y(n_13563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849091 (
+	.A1(n_20254),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1276]),
+	.B1(n_11431),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1253]),
+	.Y(n_13562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849092 (
+	.A1(n_11452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [39]),
+	.B1(n_11594),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [16]),
+	.Y(n_13561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849093 (
+	.A1(n_17714),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [85]),
+	.B1(n_11413),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [62]),
+	.Y(n_13560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849094 (
+	.A1(n_11640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1161]),
+	.B1(n_11483),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1184]),
+	.Y(n_13559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849095 (
+	.A1(n_17716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [177]),
+	.B1(n_17715),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [154]),
+	.Y(n_13558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849096 (
+	.A1(n_17640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [315]),
+	.B1(n_17629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [292]),
+	.Y(n_13557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849097 (
+	.A1(n_493),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[715]),
+	.B1(n_359),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[683]),
+	.Y(n_13556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849098 (
+	.A1(n_11448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2564]),
+	.B1(n_11622),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2541]),
+	.Y(n_13555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849099 (
+	.A1(n_17627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [361]),
+	.B1(n_17626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [338]),
+	.Y(n_13554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849100 (
+	.A1(n_17622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [200]),
+	.B1(n_17624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [223]),
+	.Y(n_13553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849101 (
+	.A1(n_20243),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2328]),
+	.B1(n_20241),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2305]),
+	.Y(n_13552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849102 (
+	.A1(n_20161),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2426]),
+	.B1(n_20196),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2403]),
+	.Y(n_13551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849103 (
+	.A1(n_17676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [246]),
+	.B1(n_17625),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [269]),
+	.Y(n_13550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849104 (
+	.A1(n_17632),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1593]),
+	.B1(n_17673),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1570]),
+	.Y(n_13549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849105 (
+	.A1(n_20251),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2449]),
+	.B1(n_20258),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2472]),
+	.Y(n_13548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849106 (
+	.A1(n_17619),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [522]),
+	.B1(n_17679),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [545]),
+	.Y(n_13547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849107 (
+	.A1(n_17694),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [384]),
+	.B1(n_11647),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [407]),
+	.Y(n_13546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849108 (
+	.A1(n_20204),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2495]),
+	.B1(n_11547),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2518]),
+	.Y(n_13545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849109 (
+	.A1(n_11559),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [453]),
+	.B1(n_17652),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [430]),
+	.Y(n_13544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849110 (
+	.A1(n_17628),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1547]),
+	.B1(n_17623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1524]),
+	.Y(n_13543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849111 (
+	.A1(n_17621),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1501]),
+	.B1(n_17618),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1478]),
+	.Y(n_13542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849112 (
+	.A1(n_17637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [706]),
+	.B1(n_17630),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [729]),
+	.Y(n_13541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849113 (
+	.A1(n_17647),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [568]),
+	.B1(n_17650),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [591]),
+	.Y(n_13540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849114 (
+	.A1(n_350),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[558]),
+	.B1(n_505),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[590]),
+	.Y(n_13539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849115 (
+	.A1(n_20238),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2213]),
+	.B1(n_20239),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2236]),
+	.Y(n_13538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849116 (
+	.A1(n_20238),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2219]),
+	.B1(n_20239),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2242]),
+	.Y(n_13537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849117 (
+	.A1(n_17639),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [660]),
+	.B1(n_17649),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [683]),
+	.Y(n_13536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849118 (
+	.A1(n_17702),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1879]),
+	.B1(n_17654),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1856]),
+	.Y(n_13535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849119 (
+	.A1(n_20249),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2380]),
+	.B1(n_20255),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2357]),
+	.Y(n_13534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849120 (
+	.A1(n_17680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1777]),
+	.B1(n_17677),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1754]),
+	.Y(n_13533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849121 (
+	.A1(n_17661),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1994]),
+	.B1(n_17664),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2017]),
+	.Y(n_13532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849122 (
+	.A1(n_11428),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1902]),
+	.B1(n_11440),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1925]),
+	.Y(n_13531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849123 (
+	.A1(n_20243),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2334]),
+	.B1(n_20241),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2311]),
+	.Y(n_13530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849124 (
+	.A1(n_17690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1948]),
+	.B1(n_17669),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1971]),
+	.Y(n_13529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849125 (
+	.A1(n_17688),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1731]),
+	.B1(n_17683),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1708]),
+	.Y(n_13528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849126 (
+	.A1(n_17631),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2132]),
+	.B1(n_17634),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2155]),
+	.Y(n_13527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849127 (
+	.A1(n_20247),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2259]),
+	.B1(n_20248),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2282]),
+	.Y(n_13526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849128 (
+	.A1(n_11437),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2086]),
+	.B1(n_17615),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2109]),
+	.Y(n_13525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849129 (
+	.A1(n_17675),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2178]),
+	.B1(n_11624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2201]),
+	.Y(n_13524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849130 (
+	.A1(n_17670),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1685]),
+	.B1(n_17667),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1662]),
+	.Y(n_13523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849131 (
+	.A1(n_20138),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [954]),
+	.B1(n_20215),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [931]),
+	.Y(n_13522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849132 (
+	.A1(n_17668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2063]),
+	.B1(n_11584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2040]),
+	.Y(n_13521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849133 (
+	.A1(n_17698),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2431]),
+	.B1(n_11565),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2408]),
+	.Y(n_13520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849134 (
+	.A1(n_20180),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1069]),
+	.B1(n_20151),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1092]),
+	.Y(n_13519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849135 (
+	.A1(n_11406),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2454]),
+	.B1(n_17666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2477]),
+	.Y(n_13518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849136 (
+	.A1(n_11461),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2569]),
+	.B1(n_17700),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2546]),
+	.Y(n_13517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849137 (
+	.A1(n_20142),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1046]),
+	.B1(n_20154),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1023]),
+	.Y(n_13516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849138 (
+	.A1(n_17687),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2500]),
+	.B1(n_17660),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2523]),
+	.Y(n_13515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849139 (
+	.A1(n_17675),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2168]),
+	.B1(n_11624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2191]),
+	.Y(n_13514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849140 (
+	.A1(n_17689),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2385]),
+	.B1(n_17641),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2362]),
+	.Y(n_13513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849141 (
+	.A1(n_17644),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2339]),
+	.B1(n_17684),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2316]),
+	.Y(n_13512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849142 (
+	.A1(n_17631),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2122]),
+	.B1(n_17634),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2145]),
+	.Y(n_13511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849143 (
+	.A1(n_17681),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2224]),
+	.B1(n_11582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2247]),
+	.Y(n_13510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849144 (
+	.A1(n_17692),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2270]),
+	.B1(n_17693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2293]),
+	.Y(n_13509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849145 (
+	.A1(n_20206),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1552]),
+	.B1(n_20205),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1529]),
+	.Y(n_13508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849146 (
+	.A1(n_11437),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2076]),
+	.B1(n_17615),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2099]),
+	.Y(n_13507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849147 (
+	.A1(n_20140),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2728]),
+	.B1(n_11644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2751]),
+	.Y(n_13506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849148 (
+	.A1(n_20142),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1040]),
+	.B1(n_20154),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1017]),
+	.Y(n_13505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849149 (
+	.A1(n_17668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2053]),
+	.B1(n_11584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2030]),
+	.Y(n_13504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849150 (
+	.A1(n_20263),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1598]),
+	.B1(n_20198),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1575]),
+	.Y(n_13503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849151 (
+	.A1(n_20214),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1644]),
+	.B1(n_20212),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1621]),
+	.Y(n_13502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849152 (
+	.A1(n_11471),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2669]),
+	.B1(n_11421),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2692]),
+	.Y(n_13501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849153 (
+	.A1(n_17672),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2577]),
+	.B1(n_17612),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2600]),
+	.Y(n_13500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849154 (
+	.A1(n_17661),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1984]),
+	.B1(n_17664),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2007]),
+	.Y(n_13499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849155 (
+	.A1(n_11472),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2715]),
+	.B1(n_17617),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2738]),
+	.Y(n_13498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849156 (
+	.A1(n_17690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1938]),
+	.B1(n_17669),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1961]),
+	.Y(n_13497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849157 (
+	.A1(n_17745),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1404]),
+	.B1(n_17728),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1381]),
+	.Y(n_13496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849158 (
+	.A1(n_11445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1690]),
+	.B1(n_11470),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1667]),
+	.Y(n_13495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849159 (
+	.A1(n_11454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1289]),
+	.B1(n_17741),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1312]),
+	.Y(n_13494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849160 (
+	.A1(n_20180),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1063]),
+	.B1(n_20151),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1086]),
+	.Y(n_13493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849161 (
+	.A1(n_17746),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1427]),
+	.B1(n_17724),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1450]),
+	.Y(n_13492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849162 (
+	.A1(n_11428),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1892]),
+	.B1(n_11440),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1915]),
+	.Y(n_13491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849163 (
+	.A1(n_20223),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1828]),
+	.B1(n_20221),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1805]),
+	.Y(n_13490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849164 (
+	.A1(n_17734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1220]),
+	.B1(n_17713),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1197]),
+	.Y(n_13489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849165 (
+	.A1(n_20231),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1782]),
+	.B1(n_20225),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1759]),
+	.Y(n_13488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849166 (
+	.A1(n_17736),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1266]),
+	.B1(n_17735),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1243]),
+	.Y(n_13487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849167 (
+	.A1(n_17719),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1128]),
+	.B1(n_11605),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1105]),
+	.Y(n_13486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849168 (
+	.A1(n_17702),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1869]),
+	.B1(n_17654),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1846]),
+	.Y(n_13485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g849169 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[4]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[5]),
+	.C(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[2]),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[3]),
+	.Y(n_13484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849170 (
+	.A1(n_17687),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2485]),
+	.B1(n_17660),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2508]),
+	.Y(n_13483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849171 (
+	.A1(n_11403),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [34]),
+	.B1(n_11434),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [11]),
+	.Y(n_13482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849172 (
+	.A1(n_11461),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2554]),
+	.B1(n_17700),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2531]),
+	.Y(n_13481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849173 (
+	.A1(n_17698),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2416]),
+	.B1(n_11565),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2393]),
+	.Y(n_13480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849174 (
+	.A1(n_20138),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [948]),
+	.B1(n_20215),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [925]),
+	.Y(n_13479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849175 (
+	.A1(n_20256),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [103]),
+	.B1(n_20157),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [126]),
+	.Y(n_13478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849176 (
+	.A1(n_17692),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2255]),
+	.B1(n_17693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2278]),
+	.Y(n_13477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849177 (
+	.A1(n_17644),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2324]),
+	.B1(n_17684),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2301]),
+	.Y(n_13476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849178 (
+	.A1(n_12498),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [172]),
+	.B1(n_20262),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [149]),
+	.Y(n_13475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849179 (
+	.A1(n_17689),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2370]),
+	.B1(n_17641),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2347]),
+	.Y(n_13474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849180 (
+	.A1(n_17681),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2209]),
+	.B1(n_11582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2232]),
+	.Y(n_13473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849181 (
+	.A1(n_493),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[718]),
+	.B1(n_494),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[622]),
+	.Y(n_13472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849182 (
+	.A1(n_17635),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2904]),
+	.B1(n_17686),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2927]),
+	.Y(n_13471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849183 (
+	.A1(n_17638),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2835]),
+	.B1(n_17611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2812]),
+	.Y(n_13470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849184 (
+	.A1(n_17620),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2876]),
+	.B1(n_17614),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2853]),
+	.Y(n_13469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849185 (
+	.A1(n_17635),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2899]),
+	.B1(n_17686),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2922]),
+	.Y(n_13468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849186 (
+	.A1(n_17695),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2766]),
+	.B1(n_17613),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2789]),
+	.Y(n_13467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849187 (
+	.A1(n_20246),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [195]),
+	.B1(n_20253),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [218]),
+	.Y(n_13466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849188 (
+	.A1(n_20143),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [971]),
+	.B1(n_20144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [994]),
+	.Y(n_13465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849189 (
+	.A1(n_17638),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2830]),
+	.B1(n_17611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2807]),
+	.Y(n_13464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849190 (
+	.A1(n_20141),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2586]),
+	.B1(n_11554),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2609]),
+	.Y(n_13463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849191 (
+	.A1(n_17695),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2761]),
+	.B1(n_17613),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2784]),
+	.Y(n_13462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849192 (
+	.A1(n_11598),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [356]),
+	.B1(n_20224),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [333]),
+	.Y(n_13461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849193 (
+	.A1(n_17643),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [599]),
+	.B1(n_17645),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [622]),
+	.Y(n_13460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849194 (
+	.A1(n_20237),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [241]),
+	.B1(n_20240),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [264]),
+	.Y(n_13459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849195 (
+	.A1(n_17639),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [645]),
+	.B1(n_17649),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [668]),
+	.Y(n_13458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849196 (
+	.A1(n_17647),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [553]),
+	.B1(n_17650),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [576]),
+	.Y(n_13457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849197 (
+	.A1(n_11472),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2720]),
+	.B1(n_17617),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2743]),
+	.Y(n_13456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849198 (
+	.A1(n_17637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [691]),
+	.B1(n_17630),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [714]),
+	.Y(n_13455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849199 (
+	.A1(n_11420),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1897]),
+	.B1(n_20211),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1920]),
+	.Y(n_13454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849200 (
+	.A1(n_11559),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [438]),
+	.B1(n_17652),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [415]),
+	.Y(n_13453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849201 (
+	.A1(n_20259),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [833]),
+	.B1(n_11489),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [856]),
+	.Y(n_13452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849202 (
+	.A1(n_17694),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [369]),
+	.B1(n_11647),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [392]),
+	.Y(n_13451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849203 (
+	.A1(n_11471),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2674]),
+	.B1(n_11421),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2697]),
+	.Y(n_13450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849204 (
+	.A1(n_11609),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1874]),
+	.B1(n_20194),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1851]),
+	.Y(n_13449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849205 (
+	.A1(n_17619),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [507]),
+	.B1(n_17679),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [530]),
+	.Y(n_13448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849206 (
+	.A1(n_17703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2651]),
+	.B1(n_17699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2628]),
+	.Y(n_13447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849207 (
+	.A1(n_17665),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [484]),
+	.B1(n_17662),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [461]),
+	.Y(n_13446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849208 (
+	.A1(n_20260),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1989]),
+	.B1(n_20261),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2012]),
+	.Y(n_13445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849209 (
+	.A1(n_17628),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1542]),
+	.B1(n_17623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1519]),
+	.Y(n_13444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849210 (
+	.A1(n_17632),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1588]),
+	.B1(n_17673),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1565]),
+	.Y(n_13443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849211 (
+	.A1(n_20245),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1943]),
+	.B1(n_20229),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1966]),
+	.Y(n_13442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849212 (
+	.A1(n_17633),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1634]),
+	.B1(n_17648),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1611]),
+	.Y(n_13441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849213 (
+	.A1(n_17621),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1496]),
+	.B1(n_17618),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1473]),
+	.Y(n_13440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849214 (
+	.A1(n_20170),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2081]),
+	.B1(n_20176),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2104]),
+	.Y(n_13439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849215 (
+	.A1(n_17688),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1726]),
+	.B1(n_17683),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1703]),
+	.Y(n_13438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849216 (
+	.A1(n_17680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1772]),
+	.B1(n_17677),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1749]),
+	.Y(n_13437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849217 (
+	.A1(n_11400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [879]),
+	.B1(n_11490),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [902]),
+	.Y(n_13436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849218 (
+	.A1(n_20168),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2058]),
+	.B1(n_11423),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2035]),
+	.Y(n_13435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849219 (
+	.A1(n_11552),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [765]),
+	.B1(n_11484),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [742]),
+	.Y(n_13434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849220 (
+	.A1(n_17674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1818]),
+	.B1(n_17671),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1795]),
+	.Y(n_13433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849221 (
+	.A1(n_17670),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1680]),
+	.B1(n_17667),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1657]),
+	.Y(n_13432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849222 (
+	.A1(n_17744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [834]),
+	.B1(n_11430),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [857]),
+	.Y(n_13431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849223 (
+	.A1(n_11479),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2173]),
+	.B1(n_20165),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2196]),
+	.Y(n_13430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849224 (
+	.A1(n_17631),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2117]),
+	.B1(n_17634),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2140]),
+	.Y(n_13429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849225 (
+	.A1(n_11422),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2127]),
+	.B1(n_11478),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2150]),
+	.Y(n_13428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849226 (
+	.A1(n_17675),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2163]),
+	.B1(n_11624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2186]),
+	.Y(n_13427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849227 (
+	.A1(n_17668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2048]),
+	.B1(n_11584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2025]),
+	.Y(n_13426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849228 (
+	.A1(n_11437),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2085]),
+	.B1(n_17615),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2108]),
+	.Y(n_13425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849229 (
+	.A1(n_17732),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [811]),
+	.B1(n_17739),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [788]),
+	.Y(n_13424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g849230 (
+	.A1(n_503),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[433]),
+	.B1(n_495),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[401]),
+	.X(n_13423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849231 (
+	.A1(n_17690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1933]),
+	.B1(n_17669),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1956]),
+	.Y(n_13422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849232 (
+	.A1(n_20162),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [810]),
+	.B1(n_20145),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [787]),
+	.Y(n_13421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849233 (
+	.A1(n_17647),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [560]),
+	.B1(n_17650),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [583]),
+	.Y(n_13420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849234 (
+	.A1(n_17661),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1979]),
+	.B1(n_17664),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2002]),
+	.Y(n_13419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849235 (
+	.A1(n_17702),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1864]),
+	.B1(n_17654),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1841]),
+	.Y(n_13418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849236 (
+	.A1(n_17726),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [949]),
+	.B1(n_17729),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [926]),
+	.Y(n_13417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849237 (
+	.A1(n_20140),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2725]),
+	.B1(n_11644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2748]),
+	.Y(n_13416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849238 (
+	.A1(n_17733),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [967]),
+	.B1(n_17709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [990]),
+	.Y(n_13415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849239 (
+	.A1(n_11453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2679]),
+	.B1(n_11488),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2702]),
+	.Y(n_13414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849240 (
+	.A1(n_17731),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1036]),
+	.B1(n_17730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1013]),
+	.Y(n_13413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849241 (
+	.A1(n_359),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[686]),
+	.B1(n_352),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[654]),
+	.Y(n_13412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849242 (
+	.A1(n_17726),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [944]),
+	.B1(n_17729),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [921]),
+	.Y(n_13411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849243 (
+	.A1(n_17727),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1059]),
+	.B1(n_11399),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1082]),
+	.Y(n_13410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849244 (
+	.A1(n_17733),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [972]),
+	.B1(n_17709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [995]),
+	.Y(n_13409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849245 (
+	.A1(n_17731),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1041]),
+	.B1(n_17730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1018]),
+	.Y(n_13408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849246 (
+	.A1(n_17732),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [806]),
+	.B1(n_17739),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [783]),
+	.Y(n_13407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849247 (
+	.A1(n_17744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [829]),
+	.B1(n_11430),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [852]),
+	.Y(n_13406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849248 (
+	.A1(n_20163),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2771]),
+	.B1(n_20149),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2794]),
+	.Y(n_13405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849249 (
+	.A1(n_20148),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [764]),
+	.B1(n_20147),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [741]),
+	.Y(n_13404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849250 (
+	.A1(n_11552),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [760]),
+	.B1(n_11484),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [737]),
+	.Y(n_13403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849251 (
+	.A1(n_17722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [875]),
+	.B1(n_17723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [898]),
+	.Y(n_13402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849252 (
+	.A1(n_20187),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2909]),
+	.B1(n_20250),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2932]),
+	.Y(n_13401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849253 (
+	.A1(n_17714),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [70]),
+	.B1(n_11413),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [47]),
+	.Y(n_13400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849254 (
+	.A1(n_11452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [24]),
+	.B1(n_11594),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1]),
+	.Y(n_13399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849255 (
+	.A1(n_20183),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2840]),
+	.B1(n_20182),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2817]),
+	.Y(n_13398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849256 (
+	.A1(n_17717),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [93]),
+	.B1(n_17718),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [116]),
+	.Y(n_13397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849257 (
+	.A1(n_17732),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [811]),
+	.B1(n_17739),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [788]),
+	.Y(n_13396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849258 (
+	.A1(n_17716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [162]),
+	.B1(n_17715),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [139]),
+	.Y(n_13395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849259 (
+	.A1(n_11445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1688]),
+	.B1(n_11470),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1665]),
+	.Y(n_13394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849260 (
+	.A1(n_17640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [300]),
+	.B1(n_17629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [277]),
+	.Y(n_13393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849261 (
+	.A1(n_17622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [185]),
+	.B1(n_17624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [208]),
+	.Y(n_13392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849262 (
+	.A1(n_17722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [880]),
+	.B1(n_17723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [903]),
+	.Y(n_13391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849263 (
+	.A1(n_20153),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [379]),
+	.B1(n_20202),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [402]),
+	.Y(n_13390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849264 (
+	.A1(n_17627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [346]),
+	.B1(n_17626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [323]),
+	.Y(n_13389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849265 (
+	.A1(n_17676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [231]),
+	.B1(n_17625),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [254]),
+	.Y(n_13388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849266 (
+	.A1(n_11559),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [439]),
+	.B1(n_17652),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [416]),
+	.Y(n_13387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849267 (
+	.A1(n_20174),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [517]),
+	.B1(n_11567),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [540]),
+	.Y(n_13386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849268 (
+	.A1(n_17744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [834]),
+	.B1(n_11430),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [857]),
+	.Y(n_13385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849269 (
+	.A1(n_20158),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [494]),
+	.B1(n_11435),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [471]),
+	.Y(n_13384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849270 (
+	.A1(n_17637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [691]),
+	.B1(n_17630),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [714]),
+	.Y(n_13383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849271 (
+	.A1(n_17647),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [553]),
+	.B1(n_17650),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [576]),
+	.Y(n_13382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849272 (
+	.A1(n_11552),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [765]),
+	.B1(n_11484),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [742]),
+	.Y(n_13381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849273 (
+	.A1(n_17643),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [599]),
+	.B1(n_17645),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [622]),
+	.Y(n_13380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849274 (
+	.A1(n_352),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[657]),
+	.B1(n_494),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[625]),
+	.Y(n_13379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849275 (
+	.A1(n_11406),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2444]),
+	.B1(n_17666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2467]),
+	.Y(n_13378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849276 (
+	.A1(n_17736),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1266]),
+	.B1(n_17735),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1243]),
+	.Y(n_13377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849277 (
+	.A1(n_20191),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [563]),
+	.B1(n_20195),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [586]),
+	.Y(n_13376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849278 (
+	.A1(n_17719),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1128]),
+	.B1(n_11605),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1105]),
+	.Y(n_13375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849279 (
+	.A1(n_20178),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2880]),
+	.B1(n_11637),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2857]),
+	.Y(n_13374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849280 (
+	.A1(n_17687),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2490]),
+	.B1(n_17660),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2513]),
+	.Y(n_13373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849281 (
+	.A1(n_11608),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1151]),
+	.B1(n_11451),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1174]),
+	.Y(n_13372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849282 (
+	.A1(n_20207),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [701]),
+	.B1(n_11556),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [724]),
+	.Y(n_13371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849283 (
+	.A1(n_11404),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [655]),
+	.B1(n_20244),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [678]),
+	.Y(n_13370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849284 (
+	.A1(n_11461),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2554]),
+	.B1(n_17700),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2531]),
+	.Y(n_13369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849285 (
+	.A1(n_11461),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2559]),
+	.B1(n_17700),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2536]),
+	.Y(n_13368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849286 (
+	.A1(n_17698),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2416]),
+	.B1(n_11565),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2393]),
+	.Y(n_13367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849287 (
+	.A1(n_17687),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2485]),
+	.B1(n_17660),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2508]),
+	.Y(n_13366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849288 (
+	.A1(n_17745),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1410]),
+	.B1(n_17728),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1387]),
+	.Y(n_13365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849289 (
+	.A1(n_17698),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2421]),
+	.B1(n_11565),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2398]),
+	.Y(n_13364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849290 (
+	.A1(n_17703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2656]),
+	.B1(n_17699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2633]),
+	.Y(n_13363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849291 (
+	.A1(n_17619),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [507]),
+	.B1(n_17679),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [530]),
+	.Y(n_13362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849292 (
+	.A1(n_17672),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2587]),
+	.B1(n_17612),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2610]),
+	.Y(n_13361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849293 (
+	.A1(n_17694),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [369]),
+	.B1(n_11647),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [392]),
+	.Y(n_13360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849294 (
+	.A1(n_507),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[494]),
+	.B1(n_508),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[526]),
+	.Y(n_13359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849295 (
+	.A1(n_20183),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2834]),
+	.B1(n_20182),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2811]),
+	.Y(n_13358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849296 (
+	.A1(n_11559),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [438]),
+	.B1(n_17652),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [415]),
+	.Y(n_13357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849297 (
+	.A1(n_11472),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2725]),
+	.B1(n_17617),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2748]),
+	.Y(n_13356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849298 (
+	.A1(n_17745),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1409]),
+	.B1(n_17728),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1386]),
+	.Y(n_13355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849299 (
+	.A1(n_11471),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2679]),
+	.B1(n_11421),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2702]),
+	.Y(n_13354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849300 (
+	.A1(n_17692),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2255]),
+	.B1(n_17693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2278]),
+	.Y(n_13353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849301 (
+	.A1(n_17689),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2370]),
+	.B1(n_17641),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2347]),
+	.Y(n_13352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849302 (
+	.A1(n_17746),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1432]),
+	.B1(n_17724),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1455]),
+	.Y(n_13351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849303 (
+	.A1(n_17676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [241]),
+	.B1(n_17625),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [264]),
+	.Y(n_13350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849304 (
+	.A1(n_17644),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2324]),
+	.B1(n_17684),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2301]),
+	.Y(n_13349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849305 (
+	.A1(n_17622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [195]),
+	.B1(n_17624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [218]),
+	.Y(n_13348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849306 (
+	.A1(n_17681),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2209]),
+	.B1(n_11582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2232]),
+	.Y(n_13347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849307 (
+	.A1(n_20163),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2765]),
+	.B1(n_20149),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2788]),
+	.Y(n_13346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849308 (
+	.A1(n_17743),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1358]),
+	.B1(n_11600),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1335]),
+	.Y(n_13345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849309 (
+	.A1(n_17640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [310]),
+	.B1(n_17629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [287]),
+	.Y(n_13344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849310 (
+	.A1(n_11454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1294]),
+	.B1(n_17741),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1317]),
+	.Y(n_13343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849311 (
+	.A1(n_17746),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1427]),
+	.B1(n_17724),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1450]),
+	.Y(n_13342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849312 (
+	.A1(n_17627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [356]),
+	.B1(n_17626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [333]),
+	.Y(n_13341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849313 (
+	.A1(n_11454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1289]),
+	.B1(n_17741),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1312]),
+	.Y(n_13340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849314 (
+	.A1(n_17638),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2840]),
+	.B1(n_17611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2817]),
+	.Y(n_13339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849315 (
+	.A1(n_17745),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1404]),
+	.B1(n_17728),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1381]),
+	.Y(n_13338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849316 (
+	.A1(n_17743),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1363]),
+	.B1(n_11600),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1340]),
+	.Y(n_13337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849317 (
+	.A1(n_17695),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2771]),
+	.B1(n_17613),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2794]),
+	.Y(n_13336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849318 (
+	.A1(n_17717),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [93]),
+	.B1(n_17718),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [116]),
+	.Y(n_13335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849319 (
+	.A1(n_17635),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2909]),
+	.B1(n_17686),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2932]),
+	.Y(n_13334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849320 (
+	.A1(n_17716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [162]),
+	.B1(n_17715),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [139]),
+	.Y(n_13333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849321 (
+	.A1(n_17714),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [70]),
+	.B1(n_11413),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [47]),
+	.Y(n_13332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849322 (
+	.A1(n_17620),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2886]),
+	.B1(n_17614),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2863]),
+	.Y(n_13331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849323 (
+	.A1(n_17692),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2260]),
+	.B1(n_17693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2283]),
+	.Y(n_13330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849324 (
+	.A1(n_11452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [24]),
+	.B1(n_11594),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1]),
+	.Y(n_13329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849325 (
+	.A1(n_17676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [231]),
+	.B1(n_17625),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [254]),
+	.Y(n_13328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849326 (
+	.A1(n_17644),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2334]),
+	.B1(n_17684),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2311]),
+	.Y(n_13327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849327 (
+	.A1(n_17681),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2219]),
+	.B1(n_11582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2242]),
+	.Y(n_13326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849328 (
+	.A1(n_17627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [346]),
+	.B1(n_17626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [323]),
+	.Y(n_13325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849329 (
+	.A1(n_17644),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2329]),
+	.B1(n_17684),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2306]),
+	.Y(n_13324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849330 (
+	.A1(n_17640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [300]),
+	.B1(n_17629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [277]),
+	.Y(n_13323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849331 (
+	.A1(n_17689),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2380]),
+	.B1(n_17641),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2357]),
+	.Y(n_13322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849332 (
+	.A1(n_17622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [185]),
+	.B1(n_17624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [208]),
+	.Y(n_13321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849333 (
+	.A1(n_17692),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2265]),
+	.B1(n_17693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2288]),
+	.Y(n_13320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849334 (
+	.A1(n_17632),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1588]),
+	.B1(n_17673),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1565]),
+	.Y(n_13319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849335 (
+	.A1(n_17689),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2375]),
+	.B1(n_17641),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2352]),
+	.Y(n_13318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849336 (
+	.A1(n_17681),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2214]),
+	.B1(n_11582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2237]),
+	.Y(n_13317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849337 (
+	.A1(n_17736),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1267]),
+	.B1(n_17735),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1244]),
+	.Y(n_13316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849338 (
+	.A1(n_17633),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1634]),
+	.B1(n_17648),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1611]),
+	.Y(n_13315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849339 (
+	.A1(n_17621),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1496]),
+	.B1(n_17618),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1473]),
+	.Y(n_13314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849340 (
+	.A1(n_11452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [34]),
+	.B1(n_11594),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [11]),
+	.Y(n_13313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849341 (
+	.A1(n_17628),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1542]),
+	.B1(n_17623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1519]),
+	.Y(n_13312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849342 (
+	.A1(n_11453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2673]),
+	.B1(n_11488),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2696]),
+	.Y(n_13311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849343 (
+	.A1(n_17717),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [103]),
+	.B1(n_17718),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [126]),
+	.Y(n_13310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849344 (
+	.A1(n_17688),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1726]),
+	.B1(n_17683),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1703]),
+	.Y(n_13309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849345 (
+	.A1(n_17716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [172]),
+	.B1(n_17715),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [149]),
+	.Y(n_13308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849346 (
+	.A1(n_17674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1818]),
+	.B1(n_17671),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1795]),
+	.Y(n_13307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849347 (
+	.A1(n_17680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1772]),
+	.B1(n_17677),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1749]),
+	.Y(n_13306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849348 (
+	.A1(n_11461),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2568]),
+	.B1(n_17700),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2545]),
+	.Y(n_13305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849349 (
+	.A1(n_17670),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1680]),
+	.B1(n_17667),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1657]),
+	.Y(n_13304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849350 (
+	.A1(n_17736),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1271]),
+	.B1(n_17735),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1248]),
+	.Y(n_13303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849351 (
+	.A1(n_17698),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2426]),
+	.B1(n_11565),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2403]),
+	.Y(n_13302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849352 (
+	.A1(n_17727),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1059]),
+	.B1(n_11399),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1082]),
+	.Y(n_13301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849353 (
+	.A1(n_11461),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2564]),
+	.B1(n_17700),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2541]),
+	.Y(n_13300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849354 (
+	.A1(n_11406),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2449]),
+	.B1(n_17666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2472]),
+	.Y(n_13299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849355 (
+	.A1(n_17726),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [944]),
+	.B1(n_17729),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [921]),
+	.Y(n_13298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849356 (
+	.A1(n_17733),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [967]),
+	.B1(n_17709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [990]),
+	.Y(n_13297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849357 (
+	.A1(n_17719),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1133]),
+	.B1(n_11605),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1110]),
+	.Y(n_13296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849358 (
+	.A1(n_17734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1225]),
+	.B1(n_17713),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1202]),
+	.Y(n_13295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849359 (
+	.A1(n_17722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [875]),
+	.B1(n_17723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [898]),
+	.Y(n_13294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849360 (
+	.A1(n_509),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[142]),
+	.B1(n_510),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[782]),
+	.Y(n_13293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849361 (
+	.A1(n_20141),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2581]),
+	.B1(n_11554),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2604]),
+	.Y(n_13292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849362 (
+	.A1(n_17702),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1874]),
+	.B1(n_17654),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1851]),
+	.Y(n_13291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849363 (
+	.A1(n_17744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [829]),
+	.B1(n_11430),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [852]),
+	.Y(n_13290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849364 (
+	.A1(n_11552),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [760]),
+	.B1(n_11484),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [737]),
+	.Y(n_13289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849365 (
+	.A1(n_11428),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1897]),
+	.B1(n_11440),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1920]),
+	.Y(n_13288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849366 (
+	.A1(n_17661),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1989]),
+	.B1(n_17664),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2012]),
+	.Y(n_13287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849367 (
+	.A1(n_17703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2646]),
+	.B1(n_17699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2623]),
+	.Y(n_13286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849368 (
+	.A1(n_11472),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2715]),
+	.B1(n_17617),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2738]),
+	.Y(n_13285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849369 (
+	.A1(n_20169),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2650]),
+	.B1(n_11632),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2627]),
+	.Y(n_13284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849370 (
+	.A1(n_17672),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2577]),
+	.B1(n_17612),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2600]),
+	.Y(n_13283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849371 (
+	.A1(n_17727),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1064]),
+	.B1(n_11399),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1087]),
+	.Y(n_13282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849372 (
+	.A1(n_17668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2058]),
+	.B1(n_11584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2035]),
+	.Y(n_13281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849373 (
+	.A1(n_11471),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2669]),
+	.B1(n_11421),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2692]),
+	.Y(n_13280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849374 (
+	.A1(n_17726),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [949]),
+	.B1(n_17729),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [926]),
+	.Y(n_13279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849375 (
+	.A1(n_17675),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2173]),
+	.B1(n_11624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2196]),
+	.Y(n_13278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849376 (
+	.A1(n_17620),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2876]),
+	.B1(n_17614),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2853]),
+	.Y(n_13277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849377 (
+	.A1(n_17635),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2899]),
+	.B1(n_17686),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2922]),
+	.Y(n_13276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849378 (
+	.A1(n_11437),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2081]),
+	.B1(n_17615),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2104]),
+	.Y(n_13275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849379 (
+	.A1(n_17733),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [972]),
+	.B1(n_17709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [995]),
+	.Y(n_13274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849380 (
+	.A1(n_17638),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2830]),
+	.B1(n_17611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2807]),
+	.Y(n_13273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849381 (
+	.A1(n_17695),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2761]),
+	.B1(n_17613),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2784]),
+	.Y(n_13272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849382 (
+	.A1(n_11437),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2071]),
+	.B1(n_17615),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2094]),
+	.Y(n_13271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849383 (
+	.A1(n_17647),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [563]),
+	.B1(n_17650),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [586]),
+	.Y(n_13270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849384 (
+	.A1(n_17675),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2163]),
+	.B1(n_11624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2186]),
+	.Y(n_13269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849385 (
+	.A1(n_17643),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [609]),
+	.B1(n_17645),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [632]),
+	.Y(n_13268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849386 (
+	.A1(n_17631),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2117]),
+	.B1(n_17634),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2140]),
+	.Y(n_13267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849387 (
+	.A1(n_17637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [701]),
+	.B1(n_17630),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [724]),
+	.Y(n_13266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849388 (
+	.A1(n_17668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2048]),
+	.B1(n_11584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2025]),
+	.Y(n_13265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849389 (
+	.A1(n_17661),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1979]),
+	.B1(n_17664),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2002]),
+	.Y(n_13264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849390 (
+	.A1(n_11428),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1887]),
+	.B1(n_11440),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1910]),
+	.Y(n_13263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849391 (
+	.A1(n_17694),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [379]),
+	.B1(n_11647),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [402]),
+	.Y(n_13262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849392 (
+	.A1(n_17717),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [98]),
+	.B1(n_17718),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [121]),
+	.Y(n_13261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849393 (
+	.A1(n_17690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1933]),
+	.B1(n_17669),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1956]),
+	.Y(n_13260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849394 (
+	.A1(n_17702),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1864]),
+	.B1(n_17654),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1841]),
+	.Y(n_13259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849395 (
+	.A1(n_17619),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [517]),
+	.B1(n_17679),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [540]),
+	.Y(n_13258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849396 (
+	.A1(n_11559),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [448]),
+	.B1(n_17652),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [425]),
+	.Y(n_13257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849397 (
+	.A1(n_11422),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2130]),
+	.B1(n_11478),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2153]),
+	.Y(n_13256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849398 (
+	.A1(n_20214),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1643]),
+	.B1(n_20212),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1620]),
+	.Y(n_13255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849399 (
+	.A1(n_11452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [29]),
+	.B1(n_11594),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [6]),
+	.Y(n_13254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849400 (
+	.A1(n_17716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [167]),
+	.B1(n_17715),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [144]),
+	.Y(n_13253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849401 (
+	.A1(n_17743),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1368]),
+	.B1(n_11600),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1345]),
+	.Y(n_13252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849402 (
+	.A1(n_20209),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1505]),
+	.B1(n_20208),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1482]),
+	.Y(n_13251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849403 (
+	.A1(n_11454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1299]),
+	.B1(n_17741),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1322]),
+	.Y(n_13250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849404 (
+	.A1(n_20263),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1597]),
+	.B1(n_20198),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1574]),
+	.Y(n_13249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849405 (
+	.A1(n_20206),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1551]),
+	.B1(n_20205),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1528]),
+	.Y(n_13248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849406 (
+	.A1(n_20214),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1638]),
+	.B1(n_20212),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1615]),
+	.Y(n_13247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849407 (
+	.A1(n_17746),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1437]),
+	.B1(n_17724),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1460]),
+	.Y(n_13246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849408 (
+	.A1(n_11422),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2126]),
+	.B1(n_11478),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2149]),
+	.Y(n_13245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849409 (
+	.A1(n_11479),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2172]),
+	.B1(n_20165),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2195]),
+	.Y(n_13244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849410 (
+	.A1(n_17745),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1414]),
+	.B1(n_17728),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1391]),
+	.Y(n_13243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849411 (
+	.A1(n_17676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [236]),
+	.B1(n_17625),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [259]),
+	.Y(n_13242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849412 (
+	.A1(n_20170),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2080]),
+	.B1(n_20176),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2103]),
+	.Y(n_13241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849413 (
+	.A1(n_17734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1230]),
+	.B1(n_17713),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1207]),
+	.Y(n_13240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849414 (
+	.A1(n_20168),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2057]),
+	.B1(n_11423),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2034]),
+	.Y(n_13239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849415 (
+	.A1(n_17719),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1138]),
+	.B1(n_11605),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1115]),
+	.Y(n_13238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849416 (
+	.A1(n_11420),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1896]),
+	.B1(n_20211),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1919]),
+	.Y(n_13237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849417 (
+	.A1(n_17627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [351]),
+	.B1(n_17626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [328]),
+	.Y(n_13236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849418 (
+	.A1(n_17736),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1276]),
+	.B1(n_17735),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1253]),
+	.Y(n_13235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849419 (
+	.A1(n_20260),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1988]),
+	.B1(n_20261),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2011]),
+	.Y(n_13234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849420 (
+	.A1(n_11608),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1161]),
+	.B1(n_11451),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1184]),
+	.Y(n_13233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849421 (
+	.A1(n_20245),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1942]),
+	.B1(n_20229),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1965]),
+	.Y(n_13232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849422 (
+	.A1(n_11609),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1873]),
+	.B1(n_20194),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1850]),
+	.Y(n_13231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849423 (
+	.A1(n_17622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [190]),
+	.B1(n_17624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [213]),
+	.Y(n_13230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849424 (
+	.A1(n_20143),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [976]),
+	.B1(n_20144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [999]),
+	.Y(n_13229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849425 (
+	.A1(n_20209),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1500]),
+	.B1(n_20208),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1477]),
+	.Y(n_13228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849426 (
+	.A1(n_20180),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1068]),
+	.B1(n_20151),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1091]),
+	.Y(n_13227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849427 (
+	.A1(n_17727),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1069]),
+	.B1(n_11399),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1092]),
+	.Y(n_13226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849428 (
+	.A1(n_20138),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [953]),
+	.B1(n_20215),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [930]),
+	.Y(n_13225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849429 (
+	.A1(n_17726),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [954]),
+	.B1(n_17729),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [931]),
+	.Y(n_13224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849430 (
+	.A1(n_20142),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1045]),
+	.B1(n_20154),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1022]),
+	.Y(n_13223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849431 (
+	.A1(n_17733),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [977]),
+	.B1(n_17709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1000]),
+	.Y(n_13222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849432 (
+	.A1(n_11400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [884]),
+	.B1(n_11490),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [907]),
+	.Y(n_13221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849433 (
+	.A1(n_17734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1221]),
+	.B1(n_17713),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1198]),
+	.Y(n_13220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849434 (
+	.A1(n_17631),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2122]),
+	.B1(n_17634),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2145]),
+	.Y(n_13219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849435 (
+	.A1(n_11552),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [770]),
+	.B1(n_11484),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [747]),
+	.Y(n_13218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849436 (
+	.A1(n_20148),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [769]),
+	.B1(n_20147),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [746]),
+	.Y(n_13217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849437 (
+	.A1(n_17732),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [816]),
+	.B1(n_17739),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [793]),
+	.Y(n_13216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849438 (
+	.A1(n_20206),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1546]),
+	.B1(n_20205),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1523]),
+	.Y(n_13215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849439 (
+	.A1(n_11437),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2076]),
+	.B1(n_17615),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2099]),
+	.Y(n_13214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849440 (
+	.A1(n_17722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [885]),
+	.B1(n_17723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [908]),
+	.Y(n_13213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849441 (
+	.A1(n_20223),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1827]),
+	.B1(n_20221),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1804]),
+	.Y(n_13212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849442 (
+	.A1(n_11469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1735]),
+	.B1(n_20233),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1712]),
+	.Y(n_13211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849443 (
+	.A1(n_11445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1689]),
+	.B1(n_11470),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1666]),
+	.Y(n_13210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849444 (
+	.A1(n_17670),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1690]),
+	.B1(n_17667),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1667]),
+	.Y(n_13209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849445 (
+	.A1(n_17668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2053]),
+	.B1(n_11584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2030]),
+	.Y(n_13208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849446 (
+	.A1(n_17688),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1736]),
+	.B1(n_17683),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1713]),
+	.Y(n_13207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849447 (
+	.A1(n_20161),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2425]),
+	.B1(n_20196),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2402]),
+	.Y(n_13206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849448 (
+	.A1(n_17674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1828]),
+	.B1(n_17671),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1805]),
+	.Y(n_13205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849449 (
+	.A1(n_20251),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2448]),
+	.B1(n_20258),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2471]),
+	.Y(n_13204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849450 (
+	.A1(n_17621),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1506]),
+	.B1(n_17618),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1483]),
+	.Y(n_13203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849451 (
+	.A1(n_20238),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2218]),
+	.B1(n_20239),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2241]),
+	.Y(n_13202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849452 (
+	.A1(n_17690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1938]),
+	.B1(n_17669),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1961]),
+	.Y(n_13201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849453 (
+	.A1(n_17633),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1644]),
+	.B1(n_17648),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1621]),
+	.Y(n_13200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849454 (
+	.A1(n_17628),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1552]),
+	.B1(n_17623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1529]),
+	.Y(n_13199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849455 (
+	.A1(n_20243),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2333]),
+	.B1(n_20241),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2310]),
+	.Y(n_13198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849456 (
+	.A1(n_20247),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2264]),
+	.B1(n_20248),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2287]),
+	.Y(n_13197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849457 (
+	.A1(n_17702),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1869]),
+	.B1(n_17654),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1846]),
+	.Y(n_13196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849458 (
+	.A1(n_17661),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1984]),
+	.B1(n_17664),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2007]),
+	.Y(n_13195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849459 (
+	.A1(n_20153),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [378]),
+	.B1(n_20202),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [401]),
+	.Y(n_13194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849460 (
+	.A1(n_17688),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1736]),
+	.B1(n_17683),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1713]),
+	.Y(n_13193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849461 (
+	.A1(n_20158),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [493]),
+	.B1(n_11435),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [470]),
+	.Y(n_13192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849462 (
+	.A1(n_17670),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1690]),
+	.B1(n_17667),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1667]),
+	.Y(n_13191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849463 (
+	.A1(n_20220),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [447]),
+	.B1(n_20177),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [424]),
+	.Y(n_13190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849464 (
+	.A1(n_20223),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1822]),
+	.B1(n_20221),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1799]),
+	.Y(n_13189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849465 (
+	.A1(n_11559),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [443]),
+	.B1(n_17652),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [420]),
+	.Y(n_13188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849466 (
+	.A1(n_17674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1828]),
+	.B1(n_17671),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1805]),
+	.Y(n_13187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849467 (
+	.A1(n_17680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1782]),
+	.B1(n_17677),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1759]),
+	.Y(n_13186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849468 (
+	.A1(n_20191),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [562]),
+	.B1(n_20195),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [585]),
+	.Y(n_13185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849469 (
+	.A1(n_11459),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [608]),
+	.B1(n_11581),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [631]),
+	.Y(n_13184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849470 (
+	.A1(n_17665),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [489]),
+	.B1(n_17662),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [466]),
+	.Y(n_13183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849471 (
+	.A1(n_11559),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [448]),
+	.B1(n_17652),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [425]),
+	.Y(n_13182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849472 (
+	.A1(n_11404),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [654]),
+	.B1(n_20244),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [677]),
+	.Y(n_13181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849473 (
+	.A1(n_17694),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [379]),
+	.B1(n_11647),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [402]),
+	.Y(n_13180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849474 (
+	.A1(n_11403),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [33]),
+	.B1(n_11434),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [10]),
+	.Y(n_13179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849475 (
+	.A1(n_17619),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [517]),
+	.B1(n_17679),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [540]),
+	.Y(n_13178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849476 (
+	.A1(n_20199),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [79]),
+	.B1(n_20232),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [56]),
+	.Y(n_13177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849477 (
+	.A1(n_17619),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [512]),
+	.B1(n_17679),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [535]),
+	.Y(n_13176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849478 (
+	.A1(n_20256),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [102]),
+	.B1(n_20157),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [125]),
+	.Y(n_13175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849479 (
+	.A1(n_17665),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [494]),
+	.B1(n_17662),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [471]),
+	.Y(n_13174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849480 (
+	.A1(n_12498),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [171]),
+	.B1(n_20262),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [148]),
+	.Y(n_13173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849481 (
+	.A1(n_11469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1730]),
+	.B1(n_20233),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1707]),
+	.Y(n_13172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849482 (
+	.A1(n_17714),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [80]),
+	.B1(n_11413),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [57]),
+	.Y(n_13171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849483 (
+	.A1(n_20246),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [194]),
+	.B1(n_20253),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [217]),
+	.Y(n_13170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849484 (
+	.A1(n_17694),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [374]),
+	.B1(n_11647),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [397]),
+	.Y(n_13169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849485 (
+	.A1(n_20237),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [240]),
+	.B1(n_20240),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [263]),
+	.Y(n_13168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849486 (
+	.A1(n_11452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [34]),
+	.B1(n_11594),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [11]),
+	.Y(n_13167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849487 (
+	.A1(n_20219),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [309]),
+	.B1(n_11436),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [286]),
+	.Y(n_13166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849488 (
+	.A1(n_17717),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [103]),
+	.B1(n_17718),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [126]),
+	.Y(n_13165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849489 (
+	.A1(n_11598),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [355]),
+	.B1(n_20224),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [332]),
+	.Y(n_13164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849490 (
+	.A1(n_17716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [172]),
+	.B1(n_17715),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [149]),
+	.Y(n_13163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849491 (
+	.A1(n_17643),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [604]),
+	.B1(n_17645),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [627]),
+	.Y(n_13162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849492 (
+	.A1(n_11445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1684]),
+	.B1(n_11470),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1661]),
+	.Y(n_13161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849493 (
+	.A1(n_20242),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1298]),
+	.B1(n_20189),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1321]),
+	.Y(n_13160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849494 (
+	.A1(n_17647),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [563]),
+	.B1(n_17650),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [586]),
+	.Y(n_13159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849495 (
+	.A1(n_17643),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [609]),
+	.B1(n_17645),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [632]),
+	.Y(n_13158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849496 (
+	.A1(n_20226),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1367]),
+	.B1(n_11398),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1344]),
+	.Y(n_13157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849497 (
+	.A1(n_17637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [696]),
+	.B1(n_17630),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [719]),
+	.Y(n_13156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849498 (
+	.A1(n_20210),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1413]),
+	.B1(n_20203),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1390]),
+	.Y(n_13155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849499 (
+	.A1(n_17637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [701]),
+	.B1(n_17630),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [724]),
+	.Y(n_13154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849500 (
+	.A1(n_17639),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [655]),
+	.B1(n_17649),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [678]),
+	.Y(n_13153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849501 (
+	.A1(n_11485),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1137]),
+	.B1(n_20184),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1114]),
+	.Y(n_13152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849502 (
+	.A1(n_17647),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [558]),
+	.B1(n_17650),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [581]),
+	.Y(n_13151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849503 (
+	.A1(n_17639),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [650]),
+	.B1(n_17649),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [673]),
+	.Y(n_13150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849504 (
+	.A1(n_11640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1160]),
+	.B1(n_11483),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1183]),
+	.Y(n_13149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849505 (
+	.A1(n_17621),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1506]),
+	.B1(n_17618),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1483]),
+	.Y(n_13148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849506 (
+	.A1(n_17632),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1598]),
+	.B1(n_17673),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1575]),
+	.Y(n_13147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849507 (
+	.A1(n_20141),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2586]),
+	.B1(n_11554),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2609]),
+	.Y(n_13146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849508 (
+	.A1(n_17633),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1644]),
+	.B1(n_17648),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1621]),
+	.Y(n_13145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849509 (
+	.A1(n_11453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2678]),
+	.B1(n_11488),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2701]),
+	.Y(n_13144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849510 (
+	.A1(n_20169),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2655]),
+	.B1(n_11632),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2632]),
+	.Y(n_13143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849511 (
+	.A1(n_11471),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2674]),
+	.B1(n_11421),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2697]),
+	.Y(n_13142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849512 (
+	.A1(n_20163),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2770]),
+	.B1(n_20149),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2793]),
+	.Y(n_13141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849513 (
+	.A1(n_17622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [195]),
+	.B1(n_17624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [218]),
+	.Y(n_13140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849514 (
+	.A1(n_20183),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2839]),
+	.B1(n_20182),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2816]),
+	.Y(n_13139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849515 (
+	.A1(n_17640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [310]),
+	.B1(n_17629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [287]),
+	.Y(n_13138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849516 (
+	.A1(n_20178),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2885]),
+	.B1(n_11637),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2862]),
+	.Y(n_13137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849517 (
+	.A1(n_17672),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2582]),
+	.B1(n_17612),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2605]),
+	.Y(n_13136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849518 (
+	.A1(n_17627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [356]),
+	.B1(n_17626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [333]),
+	.Y(n_13135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849519 (
+	.A1(n_11640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1160]),
+	.B1(n_11483),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1183]),
+	.Y(n_13134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849520 (
+	.A1(n_11472),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2720]),
+	.B1(n_17617),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2743]),
+	.Y(n_13133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849521 (
+	.A1(n_11485),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1137]),
+	.B1(n_20184),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1114]),
+	.Y(n_13132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849522 (
+	.A1(n_20254),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1275]),
+	.B1(n_11431),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1252]),
+	.Y(n_13131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849523 (
+	.A1(n_17719),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1138]),
+	.B1(n_11605),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1115]),
+	.Y(n_13130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849524 (
+	.A1(n_11439),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1229]),
+	.B1(n_11432),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1206]),
+	.Y(n_13129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849525 (
+	.A1(n_17736),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1276]),
+	.B1(n_17735),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1253]),
+	.Y(n_13128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849526 (
+	.A1(n_20183),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2839]),
+	.B1(n_20182),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2816]),
+	.Y(n_13127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849527 (
+	.A1(n_17734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1230]),
+	.B1(n_17713),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1207]),
+	.Y(n_13126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849528 (
+	.A1(n_20163),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2770]),
+	.B1(n_20149),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2793]),
+	.Y(n_13125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849529 (
+	.A1(n_11454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1293]),
+	.B1(n_17741),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1316]),
+	.Y(n_13124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849530 (
+	.A1(n_20187),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2908]),
+	.B1(n_20250),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2931]),
+	.Y(n_13123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849531 (
+	.A1(n_20178),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2885]),
+	.B1(n_11637),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2862]),
+	.Y(n_13122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849532 (
+	.A1(n_11454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1299]),
+	.B1(n_17741),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1322]),
+	.Y(n_13121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849533 (
+	.A1(n_17620),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2881]),
+	.B1(n_17614),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2858]),
+	.Y(n_13120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849534 (
+	.A1(n_20169),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2655]),
+	.B1(n_11632),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2632]),
+	.Y(n_13119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849535 (
+	.A1(n_17746),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1431]),
+	.B1(n_17724),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1454]),
+	.Y(n_13118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849536 (
+	.A1(n_17635),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2904]),
+	.B1(n_17686),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2927]),
+	.Y(n_13117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849537 (
+	.A1(n_17746),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1437]),
+	.B1(n_17724),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1460]),
+	.Y(n_13116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849538 (
+	.A1(n_17745),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1414]),
+	.B1(n_17728),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1391]),
+	.Y(n_13115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849539 (
+	.A1(n_20140),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2724]),
+	.B1(n_11644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2747]),
+	.Y(n_13114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849540 (
+	.A1(n_17695),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2766]),
+	.B1(n_17613),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2789]),
+	.Y(n_13113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849541 (
+	.A1(n_11453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2678]),
+	.B1(n_11488),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2701]),
+	.Y(n_13112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849542 (
+	.A1(n_20143),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [976]),
+	.B1(n_20144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [999]),
+	.Y(n_13111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849543 (
+	.A1(n_20138),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [953]),
+	.B1(n_20215),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [930]),
+	.Y(n_13110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849544 (
+	.A1(n_17681),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2219]),
+	.B1(n_11582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2242]),
+	.Y(n_13109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849545 (
+	.A1(n_20180),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1068]),
+	.B1(n_20151),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1091]),
+	.Y(n_13108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849546 (
+	.A1(n_17689),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2380]),
+	.B1(n_17641),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2357]),
+	.Y(n_13107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849547 (
+	.A1(n_20142),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1045]),
+	.B1(n_20154),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1022]),
+	.Y(n_13106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849548 (
+	.A1(n_17644),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2334]),
+	.B1(n_17684),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2311]),
+	.Y(n_13105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849549 (
+	.A1(n_20259),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [838]),
+	.B1(n_11489),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [861]),
+	.Y(n_13104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849550 (
+	.A1(n_17633),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1639]),
+	.B1(n_17648),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1616]),
+	.Y(n_13103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849551 (
+	.A1(n_20162),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [815]),
+	.B1(n_20145),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [792]),
+	.Y(n_13102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849552 (
+	.A1(n_17698),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2426]),
+	.B1(n_11565),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2403]),
+	.Y(n_13101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849553 (
+	.A1(n_17743),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1362]),
+	.B1(n_11600),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1339]),
+	.Y(n_13100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849554 (
+	.A1(n_11400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [884]),
+	.B1(n_11490),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [907]),
+	.Y(n_13099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849555 (
+	.A1(n_11461),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2564]),
+	.B1(n_17700),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2541]),
+	.Y(n_13098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849556 (
+	.A1(n_17687),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2495]),
+	.B1(n_17660),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2518]),
+	.Y(n_13097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849557 (
+	.A1(n_20242),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1298]),
+	.B1(n_20189),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1321]),
+	.Y(n_13096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849558 (
+	.A1(n_17632),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1593]),
+	.B1(n_17673),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1570]),
+	.Y(n_13095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849559 (
+	.A1(n_17621),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1501]),
+	.B1(n_17618),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1478]),
+	.Y(n_13094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849560 (
+	.A1(n_17745),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1408]),
+	.B1(n_17728),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1385]),
+	.Y(n_13093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849561 (
+	.A1(n_17731),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1046]),
+	.B1(n_17730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1023]),
+	.Y(n_13092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849562 (
+	.A1(n_20210),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1413]),
+	.B1(n_20203),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1390]),
+	.Y(n_13091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849563 (
+	.A1(n_17726),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [954]),
+	.B1(n_17729),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [931]),
+	.Y(n_13090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849564 (
+	.A1(n_17733),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [977]),
+	.B1(n_17709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1000]),
+	.Y(n_13089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849565 (
+	.A1(n_20209),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1505]),
+	.B1(n_20208),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1482]),
+	.Y(n_13088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849566 (
+	.A1(n_17727),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1069]),
+	.B1(n_11399),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1092]),
+	.Y(n_13087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849567 (
+	.A1(n_20263),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1597]),
+	.B1(n_20198),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1574]),
+	.Y(n_13086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849568 (
+	.A1(n_17674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1823]),
+	.B1(n_17671),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1800]),
+	.Y(n_13085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849569 (
+	.A1(n_20214),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1643]),
+	.B1(n_20212),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1620]),
+	.Y(n_13084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849570 (
+	.A1(n_499),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[452]),
+	.B1(n_360),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[260]),
+	.Y(n_13083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849571 (
+	.A1(n_17732),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [816]),
+	.B1(n_17739),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [793]),
+	.Y(n_13082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849572 (
+	.A1(n_11552),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [770]),
+	.B1(n_11484),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [747]),
+	.Y(n_13081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849573 (
+	.A1(n_11445),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1689]),
+	.B1(n_11470),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1666]),
+	.Y(n_13080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849574 (
+	.A1(n_17680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1777]),
+	.B1(n_17677),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1754]),
+	.Y(n_13079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849575 (
+	.A1(n_17744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [839]),
+	.B1(n_11430),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [862]),
+	.Y(n_13078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849576 (
+	.A1(n_20223),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1827]),
+	.B1(n_20221),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1804]),
+	.Y(n_13077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849577 (
+	.A1(n_17722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [885]),
+	.B1(n_17723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [908]),
+	.Y(n_13076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849578 (
+	.A1(n_20231),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1781]),
+	.B1(n_20225),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1758]),
+	.Y(n_13075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849579 (
+	.A1(n_17621),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1500]),
+	.B1(n_17618),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1477]),
+	.Y(n_13074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849580 (
+	.A1(n_17670),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1685]),
+	.B1(n_17667),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1662]),
+	.Y(n_13073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849581 (
+	.A1(n_20174),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [516]),
+	.B1(n_11567),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [539]),
+	.Y(n_13072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849582 (
+	.A1(n_20158),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [493]),
+	.B1(n_11435),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [470]),
+	.Y(n_13071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849583 (
+	.A1(n_17702),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1874]),
+	.B1(n_17654),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1851]),
+	.Y(n_13070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849584 (
+	.A1(n_20220),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [447]),
+	.B1(n_20177),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [424]),
+	.Y(n_13069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849585 (
+	.A1(n_17661),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1989]),
+	.B1(n_17664),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2012]),
+	.Y(n_13068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849586 (
+	.A1(n_17690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1943]),
+	.B1(n_17669),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1966]),
+	.Y(n_13067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849587 (
+	.A1(n_20207),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [700]),
+	.B1(n_11556),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [723]),
+	.Y(n_13066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849588 (
+	.A1(n_11459),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [608]),
+	.B1(n_11581),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [631]),
+	.Y(n_13065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849589 (
+	.A1(n_17633),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1638]),
+	.B1(n_17648),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1615]),
+	.Y(n_13064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849590 (
+	.A1(n_11400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [881]),
+	.B1(n_11490),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [904]),
+	.Y(n_13063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849591 (
+	.A1(n_11404),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [654]),
+	.B1(n_20244),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [677]),
+	.Y(n_13062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849592 (
+	.A1(n_17668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2058]),
+	.B1(n_11584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2035]),
+	.Y(n_13061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849593 (
+	.A1(n_11420),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1896]),
+	.B1(n_20211),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1919]),
+	.Y(n_13060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849594 (
+	.A1(n_17675),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2173]),
+	.B1(n_11624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2196]),
+	.Y(n_13059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849595 (
+	.A1(n_11609),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1873]),
+	.B1(n_20194),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1850]),
+	.Y(n_13058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849596 (
+	.A1(n_17631),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2127]),
+	.B1(n_17634),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2150]),
+	.Y(n_13057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849597 (
+	.A1(n_20260),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1988]),
+	.B1(n_20261),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2011]),
+	.Y(n_13056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849598 (
+	.A1(n_20245),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1942]),
+	.B1(n_20229),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1965]),
+	.Y(n_13055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849599 (
+	.A1(n_20162),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [812]),
+	.B1(n_20145),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [789]),
+	.Y(n_13054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849600 (
+	.A1(n_17632),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1592]),
+	.B1(n_17673),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1569]),
+	.Y(n_13053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849601 (
+	.A1(n_20170),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2080]),
+	.B1(n_20176),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2103]),
+	.Y(n_13052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849602 (
+	.A1(n_20168),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2057]),
+	.B1(n_11423),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2034]),
+	.Y(n_13051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849603 (
+	.A1(n_17672),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2587]),
+	.B1(n_17612),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2610]),
+	.Y(n_13050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849604 (
+	.A1(n_11479),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2172]),
+	.B1(n_20165),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2195]),
+	.Y(n_13049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849605 (
+	.A1(n_11472),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2725]),
+	.B1(n_17617),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2748]),
+	.Y(n_13048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849606 (
+	.A1(n_11471),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2679]),
+	.B1(n_11421),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2702]),
+	.Y(n_13047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849607 (
+	.A1(n_11422),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2126]),
+	.B1(n_11478),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2149]),
+	.Y(n_13046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849608 (
+	.A1(n_20259),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [835]),
+	.B1(n_11489),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [858]),
+	.Y(n_13045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849609 (
+	.A1(n_17695),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2771]),
+	.B1(n_17613),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2794]),
+	.Y(n_13044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849610 (
+	.A1(n_12498),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [171]),
+	.B1(n_20262),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [148]),
+	.Y(n_13043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849611 (
+	.A1(n_20199),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [79]),
+	.B1(n_20232),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [56]),
+	.Y(n_13042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849612 (
+	.A1(n_17635),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2909]),
+	.B1(n_17686),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2932]),
+	.Y(n_13041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849613 (
+	.A1(n_11403),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [33]),
+	.B1(n_11434),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [10]),
+	.Y(n_13040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849614 (
+	.A1(n_17620),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2886]),
+	.B1(n_17614),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2863]),
+	.Y(n_13039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849615 (
+	.A1(n_20148),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [766]),
+	.B1(n_20147),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [743]),
+	.Y(n_13038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849616 (
+	.A1(n_11459),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [605]),
+	.B1(n_11581),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [628]),
+	.Y(n_13037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849617 (
+	.A1(n_11598),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [355]),
+	.B1(n_20224),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [332]),
+	.Y(n_13036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849618 (
+	.A1(n_20219),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [309]),
+	.B1(n_11436),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [286]),
+	.Y(n_13035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849619 (
+	.A1(n_11439),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1229]),
+	.B1(n_11432),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1206]),
+	.Y(n_13034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849620 (
+	.A1(n_20246),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [194]),
+	.B1(n_20253),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [217]),
+	.Y(n_13033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849621 (
+	.A1(n_17628),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1546]),
+	.B1(n_17623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1523]),
+	.Y(n_13032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849622 (
+	.A1(n_11404),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [651]),
+	.B1(n_20244),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [674]),
+	.Y(n_13031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849623 (
+	.A1(n_20161),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2425]),
+	.B1(n_20196),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2402]),
+	.Y(n_13030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849624 (
+	.A1(n_11448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2563]),
+	.B1(n_11622),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2540]),
+	.Y(n_13029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849625 (
+	.A1(n_17717),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [94]),
+	.B1(n_17718),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [117]),
+	.Y(n_13028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849626 (
+	.A1(n_20251),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2448]),
+	.B1(n_20258),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2471]),
+	.Y(n_13027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849627 (
+	.A1(n_20191),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [559]),
+	.B1(n_20195),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [582]),
+	.Y(n_13026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849628 (
+	.A1(n_20238),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2218]),
+	.B1(n_20239),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2241]),
+	.Y(n_13025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849629 (
+	.A1(n_17714),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [71]),
+	.B1(n_11413),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [48]),
+	.Y(n_13024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849630 (
+	.A1(n_20249),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2379]),
+	.B1(n_20255),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2356]),
+	.Y(n_13023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849631 (
+	.A1(n_11452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [25]),
+	.B1(n_11594),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2]),
+	.Y(n_13022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849632 (
+	.A1(n_20247),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2264]),
+	.B1(n_20248),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2287]),
+	.Y(n_13021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849633 (
+	.A1(n_17670),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1684]),
+	.B1(n_17667),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1661]),
+	.Y(n_13020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849634 (
+	.A1(n_17661),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1988]),
+	.B1(n_17664),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2011]),
+	.Y(n_13019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849635 (
+	.A1(n_17702),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1873]),
+	.B1(n_17654),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1850]),
+	.Y(n_13018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849636 (
+	.A1(n_11428),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1896]),
+	.B1(n_11440),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1919]),
+	.Y(n_13017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849637 (
+	.A1(n_17690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1942]),
+	.B1(n_17669),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1965]),
+	.Y(n_13016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849638 (
+	.A1(n_17727),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1060]),
+	.B1(n_11399),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1083]),
+	.Y(n_13015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849639 (
+	.A1(n_17627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [355]),
+	.B1(n_17626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [332]),
+	.Y(n_13014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849640 (
+	.A1(n_17631),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2126]),
+	.B1(n_17634),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2149]),
+	.Y(n_13013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849641 (
+	.A1(n_17622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [194]),
+	.B1(n_17624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [217]),
+	.Y(n_13012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849642 (
+	.A1(n_20207),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [697]),
+	.B1(n_11556),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [720]),
+	.Y(n_13011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849643 (
+	.A1(n_17676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [240]),
+	.B1(n_17625),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [263]),
+	.Y(n_13010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849644 (
+	.A1(n_17726),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [945]),
+	.B1(n_17729),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [922]),
+	.Y(n_13009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849645 (
+	.A1(n_17688),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1734]),
+	.B1(n_17683),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1711]),
+	.Y(n_13008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849646 (
+	.A1(n_11403),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [30]),
+	.B1(n_11434),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [7]),
+	.Y(n_13007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849647 (
+	.A1(n_17733),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [968]),
+	.B1(n_17709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [991]),
+	.Y(n_13006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849648 (
+	.A1(n_17714),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [79]),
+	.B1(n_11413),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [56]),
+	.Y(n_13005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849649 (
+	.A1(n_17717),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [102]),
+	.B1(n_17718),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [125]),
+	.Y(n_13004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849650 (
+	.A1(n_11452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [33]),
+	.B1(n_11594),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [10]),
+	.Y(n_13003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849651 (
+	.A1(n_17688),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1735]),
+	.B1(n_17683),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1712]),
+	.Y(n_13002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849652 (
+	.A1(n_17674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1822]),
+	.B1(n_17671),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1799]),
+	.Y(n_13001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849653 (
+	.A1(n_12498),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [168]),
+	.B1(n_20262),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [145]),
+	.Y(n_13000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849654 (
+	.A1(n_17674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1827]),
+	.B1(n_17671),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1804]),
+	.Y(n_12999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849655 (
+	.A1(n_17680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1781]),
+	.B1(n_17677),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1758]),
+	.Y(n_12998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849656 (
+	.A1(n_17670),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1689]),
+	.B1(n_17667),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1666]),
+	.Y(n_12997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g849657 (
+	.A1(n_501),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[334]),
+	.B1(n_356),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[302]),
+	.X(n_12996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849658 (
+	.A1(n_17722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [876]),
+	.B1(n_17723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [899]),
+	.Y(n_12995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849659 (
+	.A1(n_17633),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1643]),
+	.B1(n_17648),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1620]),
+	.Y(n_12994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849660 (
+	.A1(n_17632),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1597]),
+	.B1(n_17673),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1574]),
+	.Y(n_12993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849661 (
+	.A1(n_17621),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1505]),
+	.B1(n_17618),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1482]),
+	.Y(n_12992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849662 (
+	.A1(n_20256),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [99]),
+	.B1(n_20157),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [122]),
+	.Y(n_12991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849663 (
+	.A1(n_17744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [830]),
+	.B1(n_11430),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [853]),
+	.Y(n_12990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849664 (
+	.A1(n_11552),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [761]),
+	.B1(n_11484),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [738]),
+	.Y(n_12989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849665 (
+	.A1(n_17675),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2172]),
+	.B1(n_11624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2195]),
+	.Y(n_12988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849666 (
+	.A1(n_17631),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2126]),
+	.B1(n_17634),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2149]),
+	.Y(n_12987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849667 (
+	.A1(n_17668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2057]),
+	.B1(n_11584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2034]),
+	.Y(n_12986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849668 (
+	.A1(n_17688),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1730]),
+	.B1(n_17683),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1707]),
+	.Y(n_12985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849669 (
+	.A1(n_11454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1298]),
+	.B1(n_17741),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1321]),
+	.Y(n_12984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849670 (
+	.A1(n_17743),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1367]),
+	.B1(n_11600),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1344]),
+	.Y(n_12983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849671 (
+	.A1(n_17745),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1413]),
+	.B1(n_17728),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1390]),
+	.Y(n_12982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849672 (
+	.A1(n_17674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1819]),
+	.B1(n_17671),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1796]),
+	.Y(n_12981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849673 (
+	.A1(n_20199),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [76]),
+	.B1(n_20232),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [53]),
+	.Y(n_12980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849674 (
+	.A1(n_17719),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1137]),
+	.B1(n_11605),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1114]),
+	.Y(n_12979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849675 (
+	.A1(n_17688),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1727]),
+	.B1(n_17683),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1704]),
+	.Y(n_12978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849676 (
+	.A1(n_17734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1229]),
+	.B1(n_17713),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1206]),
+	.Y(n_12977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849677 (
+	.A1(n_20180),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1065]),
+	.B1(n_20151),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1088]),
+	.Y(n_12976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849678 (
+	.A1(n_11608),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1160]),
+	.B1(n_11451),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1183]),
+	.Y(n_12975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849679 (
+	.A1(n_17670),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1681]),
+	.B1(n_17667),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1658]),
+	.Y(n_12974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849680 (
+	.A1(n_17672),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2586]),
+	.B1(n_17612),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2609]),
+	.Y(n_12973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849681 (
+	.A1(n_11471),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2678]),
+	.B1(n_11421),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2701]),
+	.Y(n_12972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849682 (
+	.A1(n_17703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2655]),
+	.B1(n_17699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2632]),
+	.Y(n_12971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849683 (
+	.A1(n_17680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1776]),
+	.B1(n_17677),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1753]),
+	.Y(n_12970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849684 (
+	.A1(n_17695),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2770]),
+	.B1(n_17613),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2793]),
+	.Y(n_12969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849685 (
+	.A1(n_17638),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2839]),
+	.B1(n_17611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2816]),
+	.Y(n_12968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849686 (
+	.A1(n_17620),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2885]),
+	.B1(n_17614),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2862]),
+	.Y(n_12967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849687 (
+	.A1(n_20138),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [950]),
+	.B1(n_20215),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [927]),
+	.Y(n_12966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849688 (
+	.A1(n_17633),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1635]),
+	.B1(n_17648),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1612]),
+	.Y(n_12965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849689 (
+	.A1(n_17722),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [884]),
+	.B1(n_17723),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [907]),
+	.Y(n_12964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849690 (
+	.A1(n_11552),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [769]),
+	.B1(n_11484),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [746]),
+	.Y(n_12963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849691 (
+	.A1(n_17744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [838]),
+	.B1(n_11430),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [861]),
+	.Y(n_12962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849692 (
+	.A1(n_17632),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1589]),
+	.B1(n_17673),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1566]),
+	.Y(n_12961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849693 (
+	.A1(n_20143),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [973]),
+	.B1(n_20144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [996]),
+	.Y(n_12960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849694 (
+	.A1(n_17732),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [815]),
+	.B1(n_17739),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [792]),
+	.Y(n_12959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849695 (
+	.A1(n_17727),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1068]),
+	.B1(n_11399),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1091]),
+	.Y(n_12958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849696 (
+	.A1(n_11428),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1891]),
+	.B1(n_11440),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1914]),
+	.Y(n_12957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849697 (
+	.A1(n_17726),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [953]),
+	.B1(n_17729),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [930]),
+	.Y(n_12956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849698 (
+	.A1(n_17621),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1497]),
+	.B1(n_17618),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1474]),
+	.Y(n_12955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849699 (
+	.A1(n_17733),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [976]),
+	.B1(n_17709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [999]),
+	.Y(n_12954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849700 (
+	.A1(n_17731),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1045]),
+	.B1(n_17730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1022]),
+	.Y(n_12953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849701 (
+	.A1(n_20142),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1042]),
+	.B1(n_20154),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1019]),
+	.Y(n_12952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849702 (
+	.A1(n_17676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [232]),
+	.B1(n_17625),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [255]),
+	.Y(n_12951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849703 (
+	.A1(n_17698),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2425]),
+	.B1(n_11565),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2402]),
+	.Y(n_12950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849704 (
+	.A1(n_11406),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2448]),
+	.B1(n_17666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2471]),
+	.Y(n_12949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849705 (
+	.A1(n_17627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [347]),
+	.B1(n_17626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [324]),
+	.Y(n_12948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849706 (
+	.A1(n_17687),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2494]),
+	.B1(n_17660),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2517]),
+	.Y(n_12947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849707 (
+	.A1(n_17681),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2218]),
+	.B1(n_11582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2241]),
+	.Y(n_12946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849708 (
+	.A1(n_17644),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2333]),
+	.B1(n_17684),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2310]),
+	.Y(n_12945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849709 (
+	.A1(n_17640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [301]),
+	.B1(n_17629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [278]),
+	.Y(n_12944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849710 (
+	.A1(n_17622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [186]),
+	.B1(n_17624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [209]),
+	.Y(n_12943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849711 (
+	.A1(n_17694),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [378]),
+	.B1(n_11647),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [401]),
+	.Y(n_12942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849712 (
+	.A1(n_17665),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [493]),
+	.B1(n_17662),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [470]),
+	.Y(n_12941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849713 (
+	.A1(n_11559),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [447]),
+	.B1(n_17652),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [424]),
+	.Y(n_12940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849714 (
+	.A1(n_17661),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1983]),
+	.B1(n_17664),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2006]),
+	.Y(n_12939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849715 (
+	.A1(n_17716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [168]),
+	.B1(n_17715),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [145]),
+	.Y(n_12938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849716 (
+	.A1(n_20199),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [74]),
+	.B1(n_20232),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [51]),
+	.Y(n_12937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849717 (
+	.A1(n_20174),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [513]),
+	.B1(n_11567),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [536]),
+	.Y(n_12936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849718 (
+	.A1(n_17745),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1405]),
+	.B1(n_17728),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1382]),
+	.Y(n_12935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849719 (
+	.A1(n_17643),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [608]),
+	.B1(n_17645),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [631]),
+	.Y(n_12934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849720 (
+	.A1(n_17639),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [654]),
+	.B1(n_17649),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [677]),
+	.Y(n_12933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849721 (
+	.A1(n_17746),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1428]),
+	.B1(n_17724),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1451]),
+	.Y(n_12932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849722 (
+	.A1(n_17635),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2908]),
+	.B1(n_17686),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2931]),
+	.Y(n_12931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849723 (
+	.A1(n_17695),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2770]),
+	.B1(n_17613),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2793]),
+	.Y(n_12930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849724 (
+	.A1(n_20256),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [101]),
+	.B1(n_20157),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [124]),
+	.Y(n_12929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849725 (
+	.A1(n_17638),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2839]),
+	.B1(n_17611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2816]),
+	.Y(n_12928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849726 (
+	.A1(n_20158),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [490]),
+	.B1(n_11435),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [467]),
+	.Y(n_12927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849727 (
+	.A1(n_17620),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2885]),
+	.B1(n_17614),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2862]),
+	.Y(n_12926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849728 (
+	.A1(n_11454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1290]),
+	.B1(n_17741),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1313]),
+	.Y(n_12925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849729 (
+	.A1(n_17690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1937]),
+	.B1(n_17669),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1960]),
+	.Y(n_12924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849730 (
+	.A1(n_17689),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2379]),
+	.B1(n_17641),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2356]),
+	.Y(n_12923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849731 (
+	.A1(n_17743),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1359]),
+	.B1(n_11600),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1336]),
+	.Y(n_12922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849732 (
+	.A1(n_17681),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2218]),
+	.B1(n_11582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2241]),
+	.Y(n_12921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849733 (
+	.A1(n_17644),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2333]),
+	.B1(n_17684),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2310]),
+	.Y(n_12920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849734 (
+	.A1(n_20220),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [444]),
+	.B1(n_20177),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [421]),
+	.Y(n_12919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849735 (
+	.A1(n_17692),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2264]),
+	.B1(n_17693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2287]),
+	.Y(n_12918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849736 (
+	.A1(n_11472),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2724]),
+	.B1(n_17617),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2747]),
+	.Y(n_12917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849737 (
+	.A1(n_17672),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2586]),
+	.B1(n_17612),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2609]),
+	.Y(n_12916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849738 (
+	.A1(n_11471),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2678]),
+	.B1(n_11421),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2701]),
+	.Y(n_12915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849739 (
+	.A1(n_17734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1221]),
+	.B1(n_17713),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1198]),
+	.Y(n_12914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849740 (
+	.A1(n_17703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2655]),
+	.B1(n_17699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2632]),
+	.Y(n_12913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849741 (
+	.A1(n_17727),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1068]),
+	.B1(n_11399),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1091]),
+	.Y(n_12912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849742 (
+	.A1(n_17736),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1267]),
+	.B1(n_17735),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1244]),
+	.Y(n_12911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849743 (
+	.A1(n_17726),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [953]),
+	.B1(n_17729),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [930]),
+	.Y(n_12910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849744 (
+	.A1(n_17702),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1868]),
+	.B1(n_17654),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1845]),
+	.Y(n_12909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849745 (
+	.A1(n_17733),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [976]),
+	.B1(n_17709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [999]),
+	.Y(n_12908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849746 (
+	.A1(n_17731),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1045]),
+	.B1(n_17730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1022]),
+	.Y(n_12907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849747 (
+	.A1(n_17719),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1129]),
+	.B1(n_11605),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1106]),
+	.Y(n_12906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849748 (
+	.A1(n_11552),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [769]),
+	.B1(n_11484),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [746]),
+	.Y(n_12905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849749 (
+	.A1(n_17744),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [838]),
+	.B1(n_11430),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [861]),
+	.Y(n_12904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849750 (
+	.A1(n_17732),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [815]),
+	.B1(n_17739),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [792]),
+	.Y(n_12903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849751 (
+	.A1(n_11608),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1152]),
+	.B1(n_11451),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1175]),
+	.Y(n_12902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849752 (
+	.A1(n_17687),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2486]),
+	.B1(n_17660),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2509]),
+	.Y(n_12901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849753 (
+	.A1(n_17698),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2425]),
+	.B1(n_11565),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2402]),
+	.Y(n_12900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849754 (
+	.A1(n_11406),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2448]),
+	.B1(n_17666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2471]),
+	.Y(n_12899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849755 (
+	.A1(n_17687),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2494]),
+	.B1(n_17660),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2517]),
+	.Y(n_12898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849756 (
+	.A1(n_20158),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [498]),
+	.B1(n_11435),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [475]),
+	.Y(n_12897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849757 (
+	.A1(n_11598),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [352]),
+	.B1(n_20224),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [329]),
+	.Y(n_12896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849758 (
+	.A1(n_11461),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2555]),
+	.B1(n_17700),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2532]),
+	.Y(n_12895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849759 (
+	.A1(n_11454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1298]),
+	.B1(n_17741),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1321]),
+	.Y(n_12894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849760 (
+	.A1(n_17743),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1367]),
+	.B1(n_11600),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1344]),
+	.Y(n_12893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849761 (
+	.A1(n_20219),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [306]),
+	.B1(n_11436),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [283]),
+	.Y(n_12892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849762 (
+	.A1(n_17698),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2417]),
+	.B1(n_11565),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2394]),
+	.Y(n_12891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849763 (
+	.A1(n_17745),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1413]),
+	.B1(n_17728),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1390]),
+	.Y(n_12890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849764 (
+	.A1(n_12498),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [166]),
+	.B1(n_20262),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [143]),
+	.Y(n_12889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849765 (
+	.A1(n_11406),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2440]),
+	.B1(n_17666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2463]),
+	.Y(n_12888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849766 (
+	.A1(n_17719),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1137]),
+	.B1(n_11605),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1114]),
+	.Y(n_12887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849767 (
+	.A1(n_17734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1229]),
+	.B1(n_17713),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1206]),
+	.Y(n_12886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849768 (
+	.A1(n_11608),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1160]),
+	.B1(n_11451),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1183]),
+	.Y(n_12885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849769 (
+	.A1(n_20237),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [237]),
+	.B1(n_20240),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [260]),
+	.Y(n_12884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849770 (
+	.A1(n_17644),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2325]),
+	.B1(n_17684),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2302]),
+	.Y(n_12883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849771 (
+	.A1(n_17702),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1873]),
+	.B1(n_17654),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1850]),
+	.Y(n_12882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849772 (
+	.A1(n_11428),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1896]),
+	.B1(n_11440),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1919]),
+	.Y(n_12881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849773 (
+	.A1(n_17689),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2371]),
+	.B1(n_17641),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2348]),
+	.Y(n_12880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849774 (
+	.A1(n_17690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1942]),
+	.B1(n_17669),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1965]),
+	.Y(n_12879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849775 (
+	.A1(n_17668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2057]),
+	.B1(n_11584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2034]),
+	.Y(n_12878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849776 (
+	.A1(n_11437),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2080]),
+	.B1(n_17615),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2103]),
+	.Y(n_12877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849777 (
+	.A1(n_17681),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2210]),
+	.B1(n_11582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2233]),
+	.Y(n_12876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849778 (
+	.A1(n_17633),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1643]),
+	.B1(n_17648),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1620]),
+	.Y(n_12875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849779 (
+	.A1(n_17692),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2256]),
+	.B1(n_17693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2279]),
+	.Y(n_12874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849780 (
+	.A1(n_17621),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1505]),
+	.B1(n_17618),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1482]),
+	.Y(n_12873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849781 (
+	.A1(n_17632),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1597]),
+	.B1(n_17673),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1574]),
+	.Y(n_12872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849782 (
+	.A1(n_17628),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1551]),
+	.B1(n_17623),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1528]),
+	.Y(n_12871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849783 (
+	.A1(n_17674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1827]),
+	.B1(n_17671),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1804]),
+	.Y(n_12870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849784 (
+	.A1(n_17670),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1689]),
+	.B1(n_17667),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1666]),
+	.Y(n_12869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849785 (
+	.A1(n_17688),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1735]),
+	.B1(n_17683),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1712]),
+	.Y(n_12868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849786 (
+	.A1(n_17680),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1781]),
+	.B1(n_17677),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1758]),
+	.Y(n_12867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849787 (
+	.A1(n_17736),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1270]),
+	.B1(n_17735),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1247]),
+	.Y(n_12866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849788 (
+	.A1(n_20260),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1985]),
+	.B1(n_20261),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2008]),
+	.Y(n_12865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849789 (
+	.A1(n_17637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [692]),
+	.B1(n_17630),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [715]),
+	.Y(n_12864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849790 (
+	.A1(n_11452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [33]),
+	.B1(n_11594),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [10]),
+	.Y(n_12863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849791 (
+	.A1(n_17717),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [102]),
+	.B1(n_17718),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [125]),
+	.Y(n_12862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849792 (
+	.A1(n_17647),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [554]),
+	.B1(n_17650),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [577]),
+	.Y(n_12861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849793 (
+	.A1(n_17714),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [79]),
+	.B1(n_11413),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [56]),
+	.Y(n_12860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849794 (
+	.A1(n_11420),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1893]),
+	.B1(n_20211),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1916]),
+	.Y(n_12859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849795 (
+	.A1(n_17622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [194]),
+	.B1(n_17624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [217]),
+	.Y(n_12858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849796 (
+	.A1(n_17643),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [600]),
+	.B1(n_17645),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [623]),
+	.Y(n_12857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849797 (
+	.A1(n_17640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [309]),
+	.B1(n_17629),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [286]),
+	.Y(n_12856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849798 (
+	.A1(n_17676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [240]),
+	.B1(n_17625),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [263]),
+	.Y(n_12855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849799 (
+	.A1(n_17734),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1224]),
+	.B1(n_17713),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1201]),
+	.Y(n_12854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849800 (
+	.A1(n_17694),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [378]),
+	.B1(n_11647),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [401]),
+	.Y(n_12853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849801 (
+	.A1(n_17665),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [493]),
+	.B1(n_17662),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [470]),
+	.Y(n_12852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849802 (
+	.A1(n_11559),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [447]),
+	.B1(n_17652),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [424]),
+	.Y(n_12851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849803 (
+	.A1(n_20245),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1939]),
+	.B1(n_20229),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1962]),
+	.Y(n_12850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849804 (
+	.A1(n_17619),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [508]),
+	.B1(n_17679),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [531]),
+	.Y(n_12849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849805 (
+	.A1(n_17647),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [562]),
+	.B1(n_17650),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [585]),
+	.Y(n_12848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849806 (
+	.A1(n_20256),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [97]),
+	.B1(n_20157),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [120]),
+	.Y(n_12847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849807 (
+	.A1(n_17643),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [608]),
+	.B1(n_17645),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [631]),
+	.Y(n_12846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849808 (
+	.A1(n_17694),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [370]),
+	.B1(n_11647),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [393]),
+	.Y(n_12845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849809 (
+	.A1(n_17639),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [654]),
+	.B1(n_17649),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [677]),
+	.Y(n_12844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849810 (
+	.A1(n_20163),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2774]),
+	.B1(n_20149),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2797]),
+	.Y(n_12843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849811 (
+	.A1(n_11608),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1155]),
+	.B1(n_11451),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1178]),
+	.Y(n_12842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849812 (
+	.A1(n_20161),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2430]),
+	.B1(n_20196),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2407]),
+	.Y(n_12841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849813 (
+	.A1(n_20204),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2499]),
+	.B1(n_11547),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2522]),
+	.Y(n_12840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849814 (
+	.A1(n_11448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2568]),
+	.B1(n_11622),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2545]),
+	.Y(n_12839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849815 (
+	.A1(n_11559),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [439]),
+	.B1(n_17652),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [416]),
+	.Y(n_12838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849816 (
+	.A1(n_20251),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2453]),
+	.B1(n_20258),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2476]),
+	.Y(n_12837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849817 (
+	.A1(n_17703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2647]),
+	.B1(n_17699),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2624]),
+	.Y(n_12836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849818 (
+	.A1(n_20214),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1648]),
+	.B1(n_20212),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1625]),
+	.Y(n_12835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849819 (
+	.A1(n_11479),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2169]),
+	.B1(n_20165),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2192]),
+	.Y(n_12834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849820 (
+	.A1(n_11472),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2716]),
+	.B1(n_17617),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2739]),
+	.Y(n_12833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849821 (
+	.A1(n_20209),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1510]),
+	.B1(n_20208),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1487]),
+	.Y(n_12832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849822 (
+	.A1(n_359),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[689]),
+	.B1(n_350),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[561]),
+	.Y(n_12831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849823 (
+	.A1(n_20263),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1602]),
+	.B1(n_20198),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1579]),
+	.Y(n_12830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849824 (
+	.A1(n_17672),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2578]),
+	.B1(n_17612),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2601]),
+	.Y(n_12829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849825 (
+	.A1(n_20206),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1556]),
+	.B1(n_20205),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1533]),
+	.Y(n_12828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849826 (
+	.A1(n_20170),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2077]),
+	.B1(n_20176),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2100]),
+	.Y(n_12827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849827 (
+	.A1(n_20238),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2223]),
+	.B1(n_20239),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2246]),
+	.Y(n_12826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849828 (
+	.A1(n_11471),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2670]),
+	.B1(n_11421),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2693]),
+	.Y(n_12825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849829 (
+	.A1(n_20247),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2269]),
+	.B1(n_20248),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2292]),
+	.Y(n_12824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849830 (
+	.A1(n_20249),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2384]),
+	.B1(n_20255),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2361]),
+	.Y(n_12823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849831 (
+	.A1(n_17638),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2831]),
+	.B1(n_17611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2808]),
+	.Y(n_12822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g849832 (
+	.A1(n_503),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[419]),
+	.B1(n_360),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[259]),
+	.X(n_12821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849833 (
+	.A1(n_20243),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2338]),
+	.B1(n_20241),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2315]),
+	.Y(n_12820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849834 (
+	.A1(n_20168),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2062]),
+	.B1(n_11423),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2039]),
+	.Y(n_12819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849835 (
+	.A1(n_11422),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2123]),
+	.B1(n_11478),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2146]),
+	.Y(n_12818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849836 (
+	.A1(n_17635),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2900]),
+	.B1(n_17686),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2923]),
+	.Y(n_12817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849837 (
+	.A1(n_20170),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2085]),
+	.B1(n_20176),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2108]),
+	.Y(n_12816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849838 (
+	.A1(n_11479),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2177]),
+	.B1(n_20165),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2200]),
+	.Y(n_12815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849839 (
+	.A1(n_17695),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2762]),
+	.B1(n_17613),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2785]),
+	.Y(n_12814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849840 (
+	.A1(n_11422),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2131]),
+	.B1(n_11478),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2154]),
+	.Y(n_12813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849841 (
+	.A1(n_17746),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1435]),
+	.B1(n_17724),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1458]),
+	.Y(n_12812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849842 (
+	.A1(n_11403),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [28]),
+	.B1(n_11434),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [5]),
+	.Y(n_12811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849843 (
+	.A1(n_11420),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1901]),
+	.B1(n_20211),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1924]),
+	.Y(n_12810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849844 (
+	.A1(n_20260),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1993]),
+	.B1(n_20261),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2016]),
+	.Y(n_12809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849845 (
+	.A1(n_17620),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2877]),
+	.B1(n_17614),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2854]),
+	.Y(n_12808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849846 (
+	.A1(n_11437),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2072]),
+	.B1(n_17615),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2095]),
+	.Y(n_12807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849847 (
+	.A1(n_20140),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2721]),
+	.B1(n_11644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2744]),
+	.Y(n_12806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849848 (
+	.A1(n_17675),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2167]),
+	.B1(n_11624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2190]),
+	.Y(n_12805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849849 (
+	.A1(n_17675),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2164]),
+	.B1(n_11624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2187]),
+	.Y(n_12804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849850 (
+	.A1(n_11469),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1740]),
+	.B1(n_20233),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1717]),
+	.Y(n_12803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849851 (
+	.A1(n_20223),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1832]),
+	.B1(n_20221),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1809]),
+	.Y(n_12802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849852 (
+	.A1(n_17631),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2118]),
+	.B1(n_17634),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2141]),
+	.Y(n_12801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849853 (
+	.A1(n_20231),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1786]),
+	.B1(n_20225),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1763]),
+	.Y(n_12800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849854 (
+	.A1(n_11453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2675]),
+	.B1(n_11488),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2698]),
+	.Y(n_12799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849855 (
+	.A1(n_17635),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2905]),
+	.B1(n_17686),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2928]),
+	.Y(n_12798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849856 (
+	.A1(n_17668),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2049]),
+	.B1(n_11584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2026]),
+	.Y(n_12797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849857 (
+	.A1(n_11640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1165]),
+	.B1(n_11483),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1188]),
+	.Y(n_12796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849858 (
+	.A1(n_11437),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2075]),
+	.B1(n_17615),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2098]),
+	.Y(n_12795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849859 (
+	.A1(n_11428),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1888]),
+	.B1(n_11440),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1911]),
+	.Y(n_12794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849860 (
+	.A1(n_20254),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1280]),
+	.B1(n_11431),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1257]),
+	.Y(n_12793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849861 (
+	.A1(n_11439),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1234]),
+	.B1(n_11432),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1211]),
+	.Y(n_12792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849862 (
+	.A1(n_20169),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2652]),
+	.B1(n_11632),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2629]),
+	.Y(n_12791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849863 (
+	.A1(n_17661),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1980]),
+	.B1(n_17664),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2003]),
+	.Y(n_12790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849864 (
+	.A1(n_20226),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1372]),
+	.B1(n_11398),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1349]),
+	.Y(n_12789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849865 (
+	.A1(n_17631),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2121]),
+	.B1(n_17634),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2144]),
+	.Y(n_12788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849866 (
+	.A1(n_20236),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1441]),
+	.B1(n_20197),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1464]),
+	.Y(n_12787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849867 (
+	.A1(n_17690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1934]),
+	.B1(n_17669),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1957]),
+	.Y(n_12786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849868 (
+	.A1(n_20210),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1418]),
+	.B1(n_20203),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1395]),
+	.Y(n_12785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849869 (
+	.A1(n_20256),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [108]),
+	.B1(n_20157),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [131]),
+	.Y(n_12784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849870 (
+	.A1(n_17702),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1865]),
+	.B1(n_17654),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1842]),
+	.Y(n_12783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849871 (
+	.A1(n_11403),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [38]),
+	.B1(n_11434),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [15]),
+	.Y(n_12782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849872 (
+	.A1(n_11459),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [603]),
+	.B1(n_11581),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [626]),
+	.Y(n_12781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849873 (
+	.A1(n_20256),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [107]),
+	.B1(n_20157),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [130]),
+	.Y(n_12780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849874 (
+	.A1(n_12498),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [176]),
+	.B1(n_20262),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [153]),
+	.Y(n_12779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849875 (
+	.A1(n_20187),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2905]),
+	.B1(n_20250),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2928]),
+	.Y(n_12778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849876 (
+	.A1(n_11452),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [25]),
+	.B1(n_11594),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2]),
+	.Y(n_12777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849877 (
+	.A1(n_20219),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [314]),
+	.B1(n_11436),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [291]),
+	.Y(n_12776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849878 (
+	.A1(n_11598),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [360]),
+	.B1(n_20224),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [337]),
+	.Y(n_12775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849879 (
+	.A1(n_20237),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [245]),
+	.B1(n_20240),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [268]),
+	.Y(n_12774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849880 (
+	.A1(n_20183),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2836]),
+	.B1(n_20182),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2813]),
+	.Y(n_12773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849881 (
+	.A1(n_17714),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [71]),
+	.B1(n_11413),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [48]),
+	.Y(n_12772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849882 (
+	.A1(n_20148),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [774]),
+	.B1(n_20147),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [751]),
+	.Y(n_12771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849883 (
+	.A1(n_17716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [163]),
+	.B1(n_17715),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [140]),
+	.Y(n_12770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849884 (
+	.A1(n_20162),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [820]),
+	.B1(n_20145),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [797]),
+	.Y(n_12769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849885 (
+	.A1(n_20259),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [843]),
+	.B1(n_11489),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [866]),
+	.Y(n_12768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849886 (
+	.A1(n_20178),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2882]),
+	.B1(n_11637),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2859]),
+	.Y(n_12767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849887 (
+	.A1(n_11400),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [889]),
+	.B1(n_11490),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [912]),
+	.Y(n_12766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849888 (
+	.A1(n_20138),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [958]),
+	.B1(n_20215),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [935]),
+	.Y(n_12765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849889 (
+	.A1(n_11461),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2555]),
+	.B1(n_17700),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2532]),
+	.Y(n_12764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849890 (
+	.A1(n_20143),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [981]),
+	.B1(n_20144),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1004]),
+	.Y(n_12763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849891 (
+	.A1(n_20180),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1073]),
+	.B1(n_20151),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1096]),
+	.Y(n_12762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849892 (
+	.A1(n_20142),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1050]),
+	.B1(n_20154),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1027]),
+	.Y(n_12761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849893 (
+	.A1(n_17698),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2417]),
+	.B1(n_11565),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2394]),
+	.Y(n_12760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849894 (
+	.A1(n_20161),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2422]),
+	.B1(n_20196),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2399]),
+	.Y(n_12759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849895 (
+	.A1(n_20169),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2660]),
+	.B1(n_11632),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2637]),
+	.Y(n_12758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849896 (
+	.A1(n_20140),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2729]),
+	.B1(n_11644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2752]),
+	.Y(n_12757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849897 (
+	.A1(n_11406),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2440]),
+	.B1(n_17666),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2463]),
+	.Y(n_12756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849898 (
+	.A1(n_11453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2683]),
+	.B1(n_11488),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2706]),
+	.Y(n_12755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849899 (
+	.A1(n_11461),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2558]),
+	.B1(n_17700),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2535]),
+	.Y(n_12754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849900 (
+	.A1(n_11448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2560]),
+	.B1(n_11622),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2537]),
+	.Y(n_12753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849901 (
+	.A1(n_11472),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2716]),
+	.B1(n_17617),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2739]),
+	.Y(n_12752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849902 (
+	.A1(n_20183),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2844]),
+	.B1(n_20182),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2821]),
+	.Y(n_12751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849903 (
+	.A1(n_20187),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2913]),
+	.B1(n_20250),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2936]),
+	.Y(n_12750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849904 (
+	.A1(n_20178),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2890]),
+	.B1(n_11637),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2867]),
+	.Y(n_12749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849905 (
+	.A1(n_17672),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2578]),
+	.B1(n_17612),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2601]),
+	.Y(n_12748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849906 (
+	.A1(n_20251),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2445]),
+	.B1(n_20258),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2468]),
+	.Y(n_12747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849907 (
+	.A1(n_11471),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2670]),
+	.B1(n_11421),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2693]),
+	.Y(n_12746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849908 (
+	.A1(n_20220),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [452]),
+	.B1(n_20177),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [429]),
+	.Y(n_12745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849909 (
+	.A1(n_11404),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [649]),
+	.B1(n_20244),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [672]),
+	.Y(n_12744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849910 (
+	.A1(n_20174),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [521]),
+	.B1(n_11567),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [544]),
+	.Y(n_12743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849911 (
+	.A1(n_20158),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [498]),
+	.B1(n_11435),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [475]),
+	.Y(n_12742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849912 (
+	.A1(n_20204),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2491]),
+	.B1(n_11547),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2514]),
+	.Y(n_12741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849913 (
+	.A1(n_17622),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [186]),
+	.B1(n_17624),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [209]),
+	.Y(n_12740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849914 (
+	.A1(n_11459),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [613]),
+	.B1(n_11581),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [636]),
+	.Y(n_12739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849915 (
+	.A1(n_17698),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2420]),
+	.B1(n_11565),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2397]),
+	.Y(n_12738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849916 (
+	.A1(n_20207),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [705]),
+	.B1(n_11556),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [728]),
+	.Y(n_12737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849917 (
+	.A1(n_17627),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [347]),
+	.B1(n_17626),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [324]),
+	.Y(n_12736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849918 (
+	.A1(n_11404),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [659]),
+	.B1(n_20244),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [682]),
+	.Y(n_12735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849919 (
+	.A1(n_20238),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2215]),
+	.B1(n_20239),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2238]),
+	.Y(n_12734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849920 (
+	.A1(n_11403),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [39]),
+	.B1(n_11434),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [16]),
+	.Y(n_12733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849921 (
+	.A1(n_20141),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2591]),
+	.B1(n_11554),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2614]),
+	.Y(n_12732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849922 (
+	.A1(n_20169),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2660]),
+	.B1(n_11632),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2637]),
+	.Y(n_12731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849923 (
+	.A1(n_17676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [232]),
+	.B1(n_17625),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [255]),
+	.Y(n_12730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849924 (
+	.A1(n_20140),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2729]),
+	.B1(n_11644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2752]),
+	.Y(n_12729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849925 (
+	.A1(n_17644),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2325]),
+	.B1(n_17684),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2302]),
+	.Y(n_12728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849926 (
+	.A1(n_11453),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2683]),
+	.B1(n_11488),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2706]),
+	.Y(n_12727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849927 (
+	.A1(n_20242),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1303]),
+	.B1(n_20189),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1326]),
+	.Y(n_12726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849928 (
+	.A1(n_17689),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2371]),
+	.B1(n_17641),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2348]),
+	.Y(n_12725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849929 (
+	.A1(n_20249),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2376]),
+	.B1(n_20255),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2353]),
+	.Y(n_12724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849930 (
+	.A1(n_20226),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1372]),
+	.B1(n_11398),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1349]),
+	.Y(n_12723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849931 (
+	.A1(n_17687),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2489]),
+	.B1(n_17660),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2512]),
+	.Y(n_12722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849932 (
+	.A1(n_20236),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1441]),
+	.B1(n_20197),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1464]),
+	.Y(n_12721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849933 (
+	.A1(n_17681),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2210]),
+	.B1(n_11582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2233]),
+	.Y(n_12720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849934 (
+	.A1(n_20210),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1418]),
+	.B1(n_20203),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1395]),
+	.Y(n_12719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849935 (
+	.A1(n_20243),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2330]),
+	.B1(n_20241),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2307]),
+	.Y(n_12718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849936 (
+	.A1(n_17692),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2256]),
+	.B1(n_17693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2279]),
+	.Y(n_12717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849937 (
+	.A1(n_11640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1165]),
+	.B1(n_11483),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1188]),
+	.Y(n_12716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849938 (
+	.A1(n_20247),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2261]),
+	.B1(n_20248),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2284]),
+	.Y(n_12715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849939 (
+	.A1(n_20254),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1280]),
+	.B1(n_11431),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1257]),
+	.Y(n_12714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849940 (
+	.A1(n_17638),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2831]),
+	.B1(n_17611),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2808]),
+	.Y(n_12713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849941 (
+	.A1(n_11439),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1234]),
+	.B1(n_11432),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1211]),
+	.Y(n_12712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849942 (
+	.A1(n_20161),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2430]),
+	.B1(n_20196),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2407]),
+	.Y(n_12711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849943 (
+	.A1(n_17635),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2900]),
+	.B1(n_17686),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2923]),
+	.Y(n_12710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849944 (
+	.A1(n_20251),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2453]),
+	.B1(n_20258),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2476]),
+	.Y(n_12709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849945 (
+	.A1(n_11448),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2568]),
+	.B1(n_11622),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2545]),
+	.Y(n_12708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849946 (
+	.A1(n_20191),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [557]),
+	.B1(n_20195),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [580]),
+	.Y(n_12707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849947 (
+	.A1(n_17695),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2762]),
+	.B1(n_17613),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2785]),
+	.Y(n_12706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849948 (
+	.A1(n_17620),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2877]),
+	.B1(n_17614),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2854]),
+	.Y(n_12705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849949 (
+	.A1(n_20247),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2269]),
+	.B1(n_20248),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2292]),
+	.Y(n_12704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849950 (
+	.A1(n_20249),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2384]),
+	.B1(n_20255),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2361]),
+	.Y(n_12703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849951 (
+	.A1(n_17689),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2374]),
+	.B1(n_17641),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2351]),
+	.Y(n_12702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849952 (
+	.A1(n_20243),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2338]),
+	.B1(n_20241),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2315]),
+	.Y(n_12701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849953 (
+	.A1(n_11640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1157]),
+	.B1(n_11483),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1180]),
+	.Y(n_12700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849954 (
+	.A1(n_17731),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1037]),
+	.B1(n_17730),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1014]),
+	.Y(n_12699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849955 (
+	.A1(n_17665),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [490]),
+	.B1(n_17662),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [467]),
+	.Y(n_12698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849956 (
+	.A1(n_17726),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [945]),
+	.B1(n_17729),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [922]),
+	.Y(n_12697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g849957 (
+	.A1(n_20183),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2844]),
+	.B1(n_20182),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2821]),
+	.Y(n_12696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g849958 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [20]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [8]),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [0]),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [24]),
+	.Y(n_12695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g849959 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [4]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [5]),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [7]),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [6]),
+	.X(n_32353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g849960 (
+	.A(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[5]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [7]),
+	.X(n_14165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g849961 (
+	.A(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[6]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [8]),
+	.X(n_14164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g849962 (
+	.A(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[0]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [2]),
+	.X(n_14162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g849963 (
+	.A(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[2]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [4]),
+	.X(n_14160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g849964 (
+	.A(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[1]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [3]),
+	.X(n_14159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g849965 (
+	.A(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[4]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [6]),
+	.X(n_14157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g849966 (
+	.A(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[3]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [5]),
+	.X(n_14155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g849967 (
+	.A(n_6018),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[10]),
+	.Y(n_12694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g849968 (
+	.A(n_6018),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_samedw[3]),
+	.Y(n_32103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g849969 (
+	.A(n_31814),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[1]),
+	.Y(n_31950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g849970 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [28]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [29]),
+	.X(n_32356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g849971 (
+	.A(n_6017),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sideeffect[2]),
+	.Y(n_32093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g849972 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[0]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[2]),
+	.Y(n_325463_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g849973 (
+	.A(n_31810),
+	.B(n_31426),
+	.X(n_31048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g849974 (
+	.A(n_351),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[195]),
+	.Y(n_12693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g849975 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [18]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [19]),
+	.X(n_32361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g849978 (
+	.A(n_30877),
+	.Y(n_358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g849980 (
+	.A(n_30872),
+	.Y(n_498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g849981 (
+	.A(n_30860),
+	.Y(n_360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g849984 (
+	.A(n_30857),
+	.Y(n_356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g849985 (
+	.A(n_30861),
+	.Y(n_501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g849986 (
+	.A(n_30879),
+	.Y(n_505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g849988 (
+	.A(n_30856),
+	.Y(n_503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g849991 (
+	.A(n_30858),
+	.Y(n_495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g849992 (
+	.A(n_30859),
+	.Y(n_353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g850123 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[1]),
+	.Y(n_12545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g850124 (
+	.A(n_30472),
+	.Y(n_12544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g850125 (
+	.A(n_30473),
+	.Y(n_12543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g850128 (
+	.A(n_30862),
+	.Y(n_499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g850132 (
+	.A(n_30876),
+	.Y(n_349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g850133 (
+	.A(n_30863),
+	.Y(n_350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g850134 (
+	.A(brqrv_top_brqrv_dec_arf_n_9953),
+	.Y(n_492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g850135 (
+	.A(brqrv_top_brqrv_dec_arf_n_10568),
+	.Y(n_497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g850139 (
+	.A(n_30865),
+	.Y(n_357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g850141 (
+	.A(n_30881),
+	.Y(n_508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g850142 (
+	.A(n_30883),
+	.Y(n_494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g850143 (
+	.A(n_32524),
+	.Y(n_12525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g850144 (
+	.A(n_32525),
+	.Y(n_12524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g750958 (
+	.A_N(n_14164),
+	.B(n_14166),
+	.Y(n_12395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g850273 (
+	.A(n_12390),
+	.B(n_12394),
+	.C(n_12393),
+	.D(n_12392),
+	.Y(n_271733_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850274 (
+	.A(n_32191),
+	.B(n_12391),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_hist0_f[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g850275 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[30]),
+	.A2(n_30484),
+	.B1(n_12388),
+	.B2(n_30487),
+	.Y(n_12394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g850276 (
+	.A1(n_12387),
+	.A2(n_30499),
+	.B1(n_6181),
+	.B2(n_30491),
+	.Y(n_32158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g850277 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[30]),
+	.A2(n_30486),
+	.B1(n_12384),
+	.B2(n_30506),
+	.Y(n_12393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g850278 (
+	.A1(n_12386),
+	.A2(n_39180),
+	.B1(n_12385),
+	.B2(n_30510),
+	.Y(n_12392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850279 (
+	.A(n_31303),
+	.B(n_12545),
+	.Y(n_12391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g850280 (
+	.A(n_30488),
+	.B_N(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[30]),
+	.Y(n_12390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g850281 (
+	.A_N(n_30492),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc3[30]),
+	.Y(n_32159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g850283 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[30]),
+	.Y(n_12388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g850284 (
+	.A(brqrv_top_brqrv_dec_tlu_micect[30]),
+	.Y(n_12387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g850285 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4h[30]),
+	.Y(n_12386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g850286 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5h[30]),
+	.Y(n_12385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g850287 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6h[30]),
+	.Y(n_12384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850289 (
+	.A(n_12383),
+	.B(n_31297),
+	.Y(n_31295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850291 (
+	.A(n_12383),
+	.B(n_12382),
+	.Y(n_31296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g850292 (
+	.A(n_12383),
+	.B(n_12378),
+	.C(n_12380),
+	.Y(n_31397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g850293 (
+	.A(n_31398),
+	.Y(n_12383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 g850294 (
+	.A1(n_31432),
+	.A2(n_12366),
+	.A3(n_12379),
+	.A4(n_12370),
+	.B1(n_12381),
+	.Y(n_31398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g850295 (
+	.A(n_31297),
+	.Y(n_12382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850296 (
+	.A(n_12380),
+	.B(n_12381),
+	.Y(n_31297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g850297 (
+	.A1(n_31803),
+	.A2(n_12366),
+	.B1(n_31435),
+	.C1(n_12368),
+	.D1(n_12377),
+	.Y(n_12381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g850298 (
+	.A1(n_32661),
+	.A2(n_12366),
+	.B1(n_31437),
+	.C1(n_12369),
+	.D1(n_12376),
+	.Y(n_12380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g850299 (
+	.A1(n_31813),
+	.A2(n_12373),
+	.B1(n_31433),
+	.Y(n_12379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g850300 (
+	.A_N(n_31424),
+	.B(n_12372),
+	.C(n_31422),
+	.D(n_31423),
+	.Y(n_12378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g850301 (
+	.A(n_31436),
+	.B(n_12375),
+	.C_N(n_31505),
+	.Y(n_12377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g850302 (
+	.A(n_31438),
+	.B(n_12374),
+	.C_N(n_31439),
+	.Y(n_12376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g850303 (
+	.A(n_31807),
+	.B(n_12373),
+	.Y(n_12375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g850304 (
+	.A(n_31801),
+	.B(n_12373),
+	.Y(n_12374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g850305 (
+	.A(n_12373),
+	.Y(n_31422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g850306 (
+	.A(n_31424),
+	.B(n_12371),
+	.C_N(n_31423),
+	.Y(n_12373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g850307 (
+	.A1(n_12363),
+	.A2(n_31431),
+	.B1(n_31437),
+	.B2(n_12367),
+	.C1(n_31435),
+	.C2(n_12364),
+	.Y(n_12372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g850308 (
+	.A(n_31825),
+	.B(n_31587),
+	.X(n_32205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g850309 (
+	.A(n_32656),
+	.B(n_31799),
+	.C(n_32659),
+	.Y(n_12371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g850310 (
+	.A1(n_31811),
+	.A2(n_12365),
+	.B1(n_31809),
+	.B2(n_12362),
+	.Y(n_12370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g850311 (
+	.A_N(brqrv_top_brqrv_dec_tlu_force_halt),
+	.B(n_31814),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[0]),
+	.Y(n_31423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g850312 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [30]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [31]),
+	.X(n_32355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g850313 (
+	.A(n_31765),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[13]),
+	.X(n_31587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g850314 (
+	.A_N(n_31800),
+	.B(n_31435),
+	.Y(n_12369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g850315 (
+	.A_N(n_31806),
+	.B(n_31437),
+	.Y(n_12368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g850316 (
+	.A(n_32659),
+	.Y(n_12367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g850317 (
+	.A(n_31431),
+	.Y(n_12366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g850318 (
+	.A(n_31437),
+	.Y(n_12365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g850319 (
+	.A(n_31799),
+	.Y(n_12364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g850320 (
+	.A(n_32656),
+	.Y(n_12363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g850321 (
+	.A(n_31435),
+	.Y(n_12362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g612838 (
+	.A1(n_498),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[903]),
+	.B1(n_504),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[871]),
+	.C1(n_12361),
+	.X(n_33131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g612839 (
+	.A(n_12356),
+	.B(n_12214),
+	.C(n_12204),
+	.D(n_12205),
+	.Y(n_12361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g612840 (
+	.A1(n_350),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[565]),
+	.B1(n_494),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[629]),
+	.C1(n_12357),
+	.X(n_33140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g612841 (
+	.A1(n_498),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[918]),
+	.B1(n_354),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[758]),
+	.C1(n_12360),
+	.X(n_33141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g612842 (
+	.A1(n_504),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[887]),
+	.B1(n_347),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[823]),
+	.C1(n_12359),
+	.X(n_33142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g612843 (
+	.A1(n_501),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[332]),
+	.B1(n_360),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[268]),
+	.C1(n_12358),
+	.X(n_33135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g612844 (
+	.A1(n_12142),
+	.A2(n_31670),
+	.B1(n_12163),
+	.B2(n_31668),
+	.C1(n_12353),
+	.Y(n_33099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g612845 (
+	.A1(n_12186),
+	.A2(n_31678),
+	.B1(n_12152),
+	.B2(n_31677),
+	.C1(n_12354),
+	.Y(n_33102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g612846 (
+	.A1(n_511),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[1001]),
+	.B1(n_497),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[169]),
+	.C1(n_12348),
+	.X(n_33132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g612847 (
+	.A1(n_357),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[950]),
+	.B1(n_504),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[886]),
+	.C1(n_12355),
+	.X(n_12360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g612848 (
+	.A1(n_509),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[151]),
+	.B1(n_349),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[119]),
+	.C1(n_12352),
+	.X(n_12359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g612849 (
+	.A1(n_355),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[236]),
+	.B1(n_503),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[428]),
+	.C1(n_12349),
+	.X(n_12358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g612850 (
+	.A1(n_509),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[149]),
+	.B1(n_349),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[117]),
+	.C1(n_12350),
+	.X(n_12357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g612851 (
+	.A1(n_354),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[743]),
+	.B1(n_357),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[935]),
+	.C1(n_12351),
+	.Y(n_12356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g612852 (
+	.A1(n_509),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[150]),
+	.B1(n_349),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[118]),
+	.C1(n_12347),
+	.X(n_12355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g612853 (
+	.A1(n_39156),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[361]),
+	.B1(n_39163),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[297]),
+	.C1(n_12341),
+	.Y(n_12354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g612854 (
+	.A1(n_39162),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[711]),
+	.B1(n_39161),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[519]),
+	.C1(n_12342),
+	.Y(n_12353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g612855 (
+	.A1(n_357),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[951]),
+	.B1(n_496),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[855]),
+	.C1(n_12346),
+	.X(n_12352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g612856 (
+	.A1(n_509),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[135]),
+	.B1(n_349),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[103]),
+	.C1(n_12343),
+	.X(n_12351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g612857 (
+	.A1(n_359),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[693]),
+	.B1(n_505),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[597]),
+	.C1(n_12344),
+	.X(n_12350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g612858 (
+	.A1(n_351),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[204]),
+	.B1(n_358),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[76]),
+	.C1(n_12345),
+	.X(n_12349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g612859 (
+	.A1(n_509),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[137]),
+	.B1(n_349),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[105]),
+	.C1(n_12321),
+	.X(n_12348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g612860 (
+	.A(n_12340),
+	.Y(n_33691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g612861 (
+	.A(n_12339),
+	.Y(n_33700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g612862 (
+	.A(n_12338),
+	.Y(n_33690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g612863 (
+	.A(n_12337),
+	.Y(n_33689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g612864 (
+	.A(n_12336),
+	.Y(n_33708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g612865 (
+	.A(n_12335),
+	.Y(n_33698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g612866 (
+	.A(n_12318),
+	.B(n_12311),
+	.C(n_12233),
+	.D(n_12236),
+	.Y(n_12347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g612867 (
+	.A(n_12317),
+	.B(n_12309),
+	.C(n_12229),
+	.D(n_12226),
+	.Y(n_12346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g612868 (
+	.A(n_12316),
+	.B(n_12303),
+	.C(n_12215),
+	.D(n_12213),
+	.Y(n_12345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g612869 (
+	.A(n_12313),
+	.B(n_12304),
+	.C(n_12218),
+	.D(n_12219),
+	.Y(n_12344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g612870 (
+	.A(n_12310),
+	.B(n_12287),
+	.C(n_12238),
+	.D(n_12239),
+	.Y(n_12343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g612871 (
+	.A1(n_12184),
+	.A2(n_31667),
+	.B1(n_12143),
+	.B2(n_31669),
+	.C1(n_12320),
+	.Y(n_12342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g612872 (
+	.A1(n_12187),
+	.A2(n_31679),
+	.B1(n_12194),
+	.B2(n_31680),
+	.C1(n_12319),
+	.Y(n_12341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g612873 (
+	.A1(n_33716),
+	.A2(n_7996),
+	.B1(n_405933_BAR),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[16]),
+	.C1(n_405937_BAR),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [16]),
+	.Y(n_12340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g612874 (
+	.A1(n_33701),
+	.A2(n_7996),
+	.B1(n_405933_BAR),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[24]),
+	.C1(n_405937_BAR),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [24]),
+	.Y(n_12339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g612875 (
+	.A1(n_33734),
+	.A2(n_7996),
+	.B1(n_405933_BAR),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[15]),
+	.C1(n_405937_BAR),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [15]),
+	.Y(n_12338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g612876 (
+	.A1(n_33731),
+	.A2(n_7996),
+	.B1(n_405933_BAR),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[14]),
+	.C1(n_405937_BAR),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [14]),
+	.Y(n_12337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g612877 (
+	.A1(n_33709),
+	.A2(n_7996),
+	.B1(n_405933_BAR),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[28]),
+	.C1(n_405937_BAR),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [28]),
+	.Y(n_12336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g612878 (
+	.A1(n_33699),
+	.A2(n_7996),
+	.B1(n_405933_BAR),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[23]),
+	.C1(n_405937_BAR),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [23]),
+	.Y(n_12335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g612879 (
+	.A(n_12334),
+	.Y(n_33688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g612880 (
+	.A(n_12333),
+	.Y(n_33697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g612881 (
+	.A(n_12332),
+	.Y(n_33714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g612882 (
+	.A(n_12331),
+	.Y(n_33712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g612883 (
+	.A(n_12330),
+	.Y(n_33706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g612884 (
+	.A(n_12329),
+	.Y(n_33696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g612885 (
+	.A(n_12328),
+	.Y(n_33710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g612886 (
+	.A(n_12327),
+	.Y(n_33695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g612887 (
+	.A(n_12326),
+	.Y(n_33704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g612888 (
+	.A(n_12325),
+	.Y(n_33694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g612889 (
+	.A(n_12324),
+	.Y(n_33702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g612890 (
+	.A(n_12323),
+	.Y(n_33693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g612891 (
+	.A(n_12322),
+	.Y(n_33692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g612892 (
+	.A1(n_33728),
+	.A2(n_7996),
+	.B1(n_405933_BAR),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[13]),
+	.C1(n_405937_BAR),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [13]),
+	.Y(n_12334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g612893 (
+	.A1(n_33733),
+	.A2(n_7996),
+	.B1(n_405933_BAR),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[22]),
+	.C1(n_405937_BAR),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [22]),
+	.Y(n_12333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g612894 (
+	.A1(n_33715),
+	.A2(n_7996),
+	.B1(n_405933_BAR),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[31]),
+	.C1(n_405937_BAR),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [31]),
+	.Y(n_12332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g612895 (
+	.A1(n_33713),
+	.A2(n_7996),
+	.B1(n_405933_BAR),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[30]),
+	.C1(n_405937_BAR),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [30]),
+	.Y(n_12331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g612896 (
+	.A1(n_33707),
+	.A2(n_7996),
+	.B1(n_405933_BAR),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[27]),
+	.C1(n_405937_BAR),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [27]),
+	.Y(n_12330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g612897 (
+	.A1(n_33730),
+	.A2(n_7996),
+	.B1(n_405933_BAR),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[21]),
+	.C1(n_405937_BAR),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [21]),
+	.Y(n_12329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g612898 (
+	.A1(n_33711),
+	.A2(n_7996),
+	.B1(n_405933_BAR),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[29]),
+	.C1(n_405937_BAR),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [29]),
+	.Y(n_12328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g612899 (
+	.A1(n_33727),
+	.A2(n_7996),
+	.B1(n_405933_BAR),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[20]),
+	.C1(n_405937_BAR),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [20]),
+	.Y(n_12327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g612900 (
+	.A1(n_33705),
+	.A2(n_7996),
+	.B1(n_405933_BAR),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[26]),
+	.C1(n_405937_BAR),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [26]),
+	.Y(n_12326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g612901 (
+	.A1(n_33724),
+	.A2(n_7996),
+	.B1(n_405933_BAR),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[19]),
+	.C1(n_405937_BAR),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [19]),
+	.Y(n_12325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g612902 (
+	.A1(n_33703),
+	.A2(n_7996),
+	.B1(n_405933_BAR),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[25]),
+	.C1(n_405937_BAR),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [25]),
+	.Y(n_12324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g612903 (
+	.A1(n_33721),
+	.A2(n_7996),
+	.B1(n_405933_BAR),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[18]),
+	.C1(n_405937_BAR),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [18]),
+	.Y(n_12323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g612904 (
+	.A1(n_33718),
+	.A2(n_7996),
+	.B1(n_405933_BAR),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[17]),
+	.C1(n_405937_BAR),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [17]),
+	.Y(n_12322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g612905 (
+	.A(n_12312),
+	.B(n_12290),
+	.C(n_12211),
+	.D(n_12234),
+	.Y(n_12321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g612906 (
+	.A(n_12206),
+	.B(n_12256),
+	.C(n_12285),
+	.D(n_12314),
+	.Y(n_12320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g612907 (
+	.A(n_12209),
+	.B(n_12249),
+	.C(n_12284),
+	.D(n_12315),
+	.Y(n_12319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g612908 (
+	.A1(n_510),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[790]),
+	.B1(n_497),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[182]),
+	.C1(n_12308),
+	.Y(n_12318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g612909 (
+	.A1(n_498),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[919]),
+	.B1(n_497),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[183]),
+	.C1(n_12305),
+	.Y(n_12317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g612910 (
+	.A1(n_499),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[460]),
+	.B1(n_495),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[396]),
+	.C1(n_12306),
+	.Y(n_12316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g612911 (
+	.A1(n_12190),
+	.A2(n_31674),
+	.B1(n_12299),
+	.C1(n_12300),
+	.Y(n_12315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g612912 (
+	.A1(n_12144),
+	.A2(n_31666),
+	.B1(n_12295),
+	.C1(n_12298),
+	.Y(n_12314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g612913 (
+	.A1(n_493),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[725]),
+	.B1(n_508),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[533]),
+	.C1(n_12307),
+	.Y(n_12313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g612914 (
+	.A1(n_354),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[745]),
+	.B1(n_12294),
+	.C1(n_12292),
+	.Y(n_12312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g612915 (
+	.A1(n_496),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[854]),
+	.B1(n_12301),
+	.Y(n_12311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g612916 (
+	.A1(n_497),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[167]),
+	.B1(n_12296),
+	.Y(n_12310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g612917 (
+	.A1(n_354),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[759]),
+	.B1(n_12291),
+	.Y(n_12309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g612918 (
+	.A1(n_351),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[214]),
+	.B1(n_492),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[54]),
+	.C1(n_12293),
+	.X(n_12308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g612919 (
+	.A(n_405937_BAR),
+	.B(n_7996),
+	.Y(n_405933_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g612920 (
+	.A(n_12263),
+	.B(n_12223),
+	.C(n_12208),
+	.D(n_12222),
+	.Y(n_12307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g612921 (
+	.A(n_12262),
+	.B(n_12220),
+	.C(n_12207),
+	.D(n_12240),
+	.Y(n_12306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g612922 (
+	.A1(n_351),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[215]),
+	.B1(n_492),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[55]),
+	.C1(n_12289),
+	.X(n_12305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g612923 (
+	.A1(n_358),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[85]),
+	.B1(n_511),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[1013]),
+	.C1(n_12288),
+	.Y(n_12304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g612924 (
+	.A1(n_349),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[108]),
+	.B1(n_497),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[172]),
+	.C1(n_12297),
+	.Y(n_12303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g612925 (
+	.A(n_30477),
+	.Y(n_7996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g612926 (
+	.A1(n_352),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[662]),
+	.B1(n_494),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[630]),
+	.C1(n_12281),
+	.X(n_12301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g612927 (
+	.A(n_12245),
+	.B(n_12247),
+	.C(n_12246),
+	.D(n_12248),
+	.Y(n_12300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g612928 (
+	.A(n_12242),
+	.B(n_12244),
+	.C(n_12257),
+	.D(n_12243),
+	.Y(n_12299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g612929 (
+	.A(n_12252),
+	.B(n_12253),
+	.C(n_12255),
+	.D(n_12254),
+	.Y(n_12298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g612930 (
+	.A1(n_507),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[492]),
+	.B1(n_508),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[524]),
+	.C1(n_12283),
+	.X(n_12297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g612931 (
+	.A1(n_355),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[231]),
+	.B1(n_353),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[359]),
+	.C1(n_12282),
+	.X(n_12296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g612932 (
+	.A1(n_325),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[391]),
+	.B1(n_39156),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[359]),
+	.C1(n_12275),
+	.Y(n_12295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g612933 (
+	.A_N(\brqrv_top_brqrv_ifu_bpred.bp_btb_sel_data_f [4]),
+	.B(n_30474),
+	.C(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[1]),
+	.Y(n_30477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g612934 (
+	.A1(n_360),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[265]),
+	.B1(n_356),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[297]),
+	.C1(n_12277),
+	.X(n_12294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g612935 (
+	.A1(n_495),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[406]),
+	.B1(n_353),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[374]),
+	.C1(n_12276),
+	.X(n_12293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g612936 (
+	.A1(n_493),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[713]),
+	.B1(n_359),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[681]),
+	.C1(n_12279),
+	.X(n_12292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g612937 (
+	.A1(n_359),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[695]),
+	.B1(n_508),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[535]),
+	.C1(n_12278),
+	.X(n_12291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g612938 (
+	.A1(n_357),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[937]),
+	.B1(n_506),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[969]),
+	.C1(n_12286),
+	.Y(n_12290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g612939 (
+	.A1(n_503),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[439]),
+	.B1(n_360),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[279]),
+	.C1(n_12280),
+	.X(n_12289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g612940 (
+	.A1(n_503),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[437]),
+	.B1(n_360),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[277]),
+	.C1(n_12274),
+	.X(n_12288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g612941 (
+	.A1(n_507),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[487]),
+	.B1(n_505),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[583]),
+	.C1(n_12273),
+	.Y(n_12287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g612942 (
+	.A(n_12232),
+	.B(n_12230),
+	.C(n_12212),
+	.Y(n_12286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g612943 (
+	.A1(n_12147),
+	.A2(n_31649),
+	.B1(n_12145),
+	.B2(n_31652),
+	.C1(n_12260),
+	.Y(n_12285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g612944 (
+	.A1(n_12138),
+	.A2(n_31649),
+	.B1(n_12157),
+	.B2(n_31652),
+	.C1(n_12259),
+	.Y(n_12284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g612945 (
+	.A1(n_350),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[556]),
+	.B1(n_505),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[588]),
+	.C1(n_12271),
+	.X(n_12283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g612946 (
+	.A1(n_495),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[391]),
+	.B1(n_360),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[263]),
+	.C1(n_12270),
+	.X(n_12282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g612947 (
+	.A1(n_507),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[502]),
+	.B1(n_493),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[726]),
+	.C1(n_12269),
+	.X(n_12281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g612948 (
+	.A(n_32647),
+	.B_N(\brqrv_top_brqrv_ifu_bpred.bp_btb_sel_data_f [4]),
+	.Y(n_405937_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g612949 (
+	.A1(n_495),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[407]),
+	.B1(n_353),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[375]),
+	.C1(n_12264),
+	.X(n_12280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g612950 (
+	.A1(n_507),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[489]),
+	.B1(n_505),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[585]),
+	.C1(n_12267),
+	.X(n_12279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g612951 (
+	.A1(n_507),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[503]),
+	.B1(n_493),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[727]),
+	.C1(n_12272),
+	.X(n_12278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g612952 (
+	.A1(n_355),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[233]),
+	.B1(n_501),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[329]),
+	.C1(n_12261),
+	.X(n_12277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g612953 (
+	.A1(n_355),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[246]),
+	.B1(n_499),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[470]),
+	.C1(n_12268),
+	.X(n_12276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111o_1 g612954 (
+	.A1(n_39163),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[295]),
+	.B1(n_12210),
+	.C1(n_12250),
+	.D1(n_12251),
+	.X(n_12275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g612955 (
+	.A1(n_355),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[245]),
+	.B1(n_499),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[469]),
+	.C1(n_12265),
+	.X(n_12274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g612956 (
+	.A1(n_508),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[519]),
+	.B1(n_350),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[551]),
+	.C1(n_12266),
+	.X(n_12273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g612957 (
+	.A1(n_352),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[663]),
+	.B1(n_494),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[631]),
+	.C1(n_12227),
+	.X(n_12272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g612958 (
+	.A1(n_359),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[684]),
+	.B1(n_352),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[652]),
+	.C1(n_12258),
+	.X(n_12271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g612959 (
+	.A1(n_499),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[455]),
+	.B1(n_503),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[423]),
+	.C1(n_12237),
+	.X(n_12270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g612960 (
+	.A1(n_359),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[694]),
+	.B1(n_508),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[534]),
+	.C1(n_12235),
+	.X(n_12269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g612961 (
+	.A1(n_503),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[438]),
+	.B1(n_360),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[278]),
+	.C1(n_12231),
+	.X(n_12268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g612962 (
+	.A1(n_352),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[649]),
+	.B1(n_494),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[617]),
+	.C1(n_12228),
+	.X(n_12267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g612963 (
+	.A1(n_12144),
+	.A2(n_30882),
+	.B1(n_12184),
+	.B2(n_30883),
+	.C1(n_12241),
+	.Y(n_12266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g612964 (
+	.A1(n_495),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[405]),
+	.B1(n_353),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[373]),
+	.C1(n_12216),
+	.X(n_12265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g612965 (
+	.A1(n_501),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[343]),
+	.B1(n_356),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[311]),
+	.C1(n_12225),
+	.X(n_12264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g612966 (
+	.A1(n_354),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[757]),
+	.B1(n_506),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[981]),
+	.C1(n_12221),
+	.Y(n_12263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g612967 (
+	.A1(n_498),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[908]),
+	.B1(n_504),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[876]),
+	.C1(n_12217),
+	.Y(n_12262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g612968 (
+	.A1(n_12187),
+	.A2(n_30862),
+	.B1(n_12186),
+	.B2(n_30856),
+	.C1(n_12224),
+	.Y(n_12261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g612969 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[135]),
+	.A2(n_39154),
+	.B1(n_39155),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[71]),
+	.C1(n_39164),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[39]),
+	.Y(n_12260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g612970 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[137]),
+	.A2(n_39154),
+	.B1(n_39155),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[73]),
+	.C1(n_39164),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[41]),
+	.Y(n_12259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_2 g612971 (
+	.A0(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.sv2v_tmp_F09CF [5]),
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.sv2v_tmp_BEF15 [5]),
+	.S(n_30474),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_btb_sel_data_f [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g612972 (
+	.A1(n_493),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[716]),
+	.B1(n_494),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[620]),
+	.X(n_12258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g612973 (
+	.A1(n_12183),
+	.A2(n_31666),
+	.B1(n_12164),
+	.B2(n_31667),
+	.Y(n_12257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g612974 (
+	.A1(n_12146),
+	.A2(n_31650),
+	.B1(n_12162),
+	.B2(n_31654),
+	.Y(n_12256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g612975 (
+	.A1(n_12181),
+	.A2(n_31657),
+	.B1(n_12182),
+	.B2(n_31656),
+	.Y(n_12255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g612976 (
+	.A1(n_12139),
+	.A2(n_31658),
+	.B1(n_12180),
+	.B2(n_31659),
+	.Y(n_12254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g612977 (
+	.A1(n_12153),
+	.A2(n_31660),
+	.B1(n_12154),
+	.B2(n_31664),
+	.Y(n_12253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g612978 (
+	.A1(n_12141),
+	.A2(n_31663),
+	.B1(n_12161),
+	.B2(n_31661),
+	.Y(n_12252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g612979 (
+	.A1(n_12202),
+	.A2(n_31678),
+	.B1(n_12137),
+	.B2(n_31680),
+	.Y(n_12251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g612980 (
+	.A1(n_12148),
+	.A2(n_31648),
+	.B1(n_12196),
+	.B2(n_31679),
+	.Y(n_12250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g612981 (
+	.A1(n_12149),
+	.A2(n_31650),
+	.B1(n_12160),
+	.B2(n_31654),
+	.Y(n_12249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g612982 (
+	.A1(n_12158),
+	.A2(n_31658),
+	.B1(n_12200),
+	.B2(n_31659),
+	.Y(n_12248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g612983 (
+	.A1(n_12189),
+	.A2(n_31660),
+	.B1(n_12156),
+	.B2(n_31664),
+	.Y(n_12247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g612984 (
+	.A1(n_12197),
+	.A2(n_31657),
+	.B1(n_12203),
+	.B2(n_31656),
+	.Y(n_12246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g612985 (
+	.A1(n_12185),
+	.A2(n_31663),
+	.B1(n_12151),
+	.B2(n_31661),
+	.Y(n_12245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g612986 (
+	.A1_N(brqrv_top_brqrv_dec_arf_gpr_out[521]),
+	.A2_N(n_39161),
+	.B1(n_12193),
+	.B2(n_31670),
+	.Y(n_12244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g612987 (
+	.A1(n_12192),
+	.A2(n_31669),
+	.B1(n_12150),
+	.B2(n_31668),
+	.Y(n_12243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g612988 (
+	.A1_N(brqrv_top_brqrv_dec_arf_gpr_out[713]),
+	.A2_N(n_39162),
+	.B1(n_12155),
+	.B2(n_31672),
+	.Y(n_12242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g612989 (
+	.A1(n_493),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[711]),
+	.B1(n_359),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[679]),
+	.Y(n_12241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g612990 (
+	.A1(n_357),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[940]),
+	.B1(n_510),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[780]),
+	.Y(n_12240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g612991 (
+	.A1(n_358),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[71]),
+	.B1(n_511),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[999]),
+	.Y(n_12239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g612992 (
+	.A1(n_351),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[199]),
+	.B1(n_492),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[39]),
+	.Y(n_12238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g612993 (
+	.A1(n_501),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[327]),
+	.B1(n_356),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[295]),
+	.X(n_12237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g612994 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[1]),
+	.B(n_30474),
+	.X(n_32647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g612995 (
+	.A1(n_506),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[982]),
+	.B1(n_347),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[822]),
+	.Y(n_12236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g612996 (
+	.A1(n_350),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[566]),
+	.B1(n_505),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[598]),
+	.X(n_12235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g612997 (
+	.A1(n_358),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[73]),
+	.B1(n_496),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[841]),
+	.Y(n_12234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g612998 (
+	.A1(n_358),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[86]),
+	.B1(n_511),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[1014]),
+	.Y(n_12233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g612999 (
+	.A1(n_347),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[809]),
+	.B1(n_510),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[777]),
+	.Y(n_12232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g613000 (
+	.A1(n_501),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[342]),
+	.B1(n_356),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[310]),
+	.X(n_12231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g613001 (
+	.A1(n_498),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[905]),
+	.B1(n_504),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[873]),
+	.Y(n_12230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g613002 (
+	.A1(n_506),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[983]),
+	.B1(n_510),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[791]),
+	.Y(n_12229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g613003 (
+	.A1_N(brqrv_top_brqrv_dec_arf_gpr_out[521]),
+	.A2_N(n_508),
+	.B1(n_12192),
+	.B2(n_30863),
+	.Y(n_12228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g613004 (
+	.A1(n_350),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[567]),
+	.B1(n_505),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[599]),
+	.X(n_12227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g613005 (
+	.A1(n_358),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[87]),
+	.B1(n_511),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[1015]),
+	.Y(n_12226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g613006 (
+	.A1(n_355),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[247]),
+	.B1(n_499),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[471]),
+	.X(n_12225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g613007 (
+	.A1(n_495),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[393]),
+	.B1(n_353),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[361]),
+	.Y(n_12224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g613008 (
+	.A1(n_357),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[949]),
+	.B1(n_510),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[789]),
+	.Y(n_12223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g613009 (
+	.A1(n_498),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[917]),
+	.B1(n_504),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[885]),
+	.Y(n_12222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g613010 (
+	.A1(n_347),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[821]),
+	.B1(n_496),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[853]),
+	.X(n_12221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g613011 (
+	.A1(n_347),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[812]),
+	.B1(n_496),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[844]),
+	.Y(n_12220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g613012 (
+	.A1(n_352),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[661]),
+	.B1(n_497),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[181]),
+	.Y(n_12219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g613013 (
+	.A1(n_351),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[213]),
+	.B1(n_492),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[53]),
+	.Y(n_12218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g613014 (
+	.A1(n_354),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[748]),
+	.B1(n_506),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[972]),
+	.X(n_12217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g613015 (
+	.A1(n_501),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[341]),
+	.B1(n_356),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[309]),
+	.X(n_12216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g613016 (
+	.A1(n_511),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[1004]),
+	.B1(n_492),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[44]),
+	.Y(n_12215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g613017 (
+	.A1(n_496),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[839]),
+	.B1(n_510),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[775]),
+	.Y(n_12214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g613018 (
+	.A1(n_509),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[140]),
+	.B1(n_356),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[300]),
+	.Y(n_12213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g613019 (
+	.A(n_351),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[201]),
+	.Y(n_12212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g613020 (
+	.A(n_492),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[41]),
+	.Y(n_12211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g613021 (
+	.A(n_31677),
+	.B_N(brqrv_top_brqrv_dec_arf_gpr_out[327]),
+	.Y(n_12210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g613022 (
+	.A(n_31648),
+	.B_N(brqrv_top_brqrv_dec_arf_gpr_out[233]),
+	.Y(n_12209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g613023 (
+	.A(brqrv_top_brqrv_ifu_ifu_bp_hist1_f[0]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_vwayhit_f [0]),
+	.Y(n_30474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g613024 (
+	.A(n_507),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[501]),
+	.Y(n_12208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g613025 (
+	.A(n_353),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[364]),
+	.Y(n_12207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g613026 (
+	.A(n_31672),
+	.B_N(brqrv_top_brqrv_dec_arf_gpr_out[487]),
+	.Y(n_12206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g613027 (
+	.A(n_347),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[807]),
+	.Y(n_12205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g613028 (
+	.A(n_506),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[967]),
+	.Y(n_12204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g613029 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[873]),
+	.Y(n_12203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g613030 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[423]),
+	.Y(n_12202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g613032 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[841]),
+	.Y(n_12200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g613035 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[905]),
+	.Y(n_12197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g613036 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[455]),
+	.Y(n_12196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g613038 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[265]),
+	.Y(n_12194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g613039 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[681]),
+	.Y(n_12193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g613040 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[553]),
+	.Y(n_12192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g613042 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[393]),
+	.Y(n_12190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g613043 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[937]),
+	.Y(n_12189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g613045 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[457]),
+	.Y(n_12187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g613046 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[425]),
+	.Y(n_12186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g613047 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[745]),
+	.Y(n_12185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g613048 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[615]),
+	.Y(n_12184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g613049 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[649]),
+	.Y(n_12183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g613050 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[871]),
+	.Y(n_12182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g613051 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[903]),
+	.Y(n_12181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g613052 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[839]),
+	.Y(n_12180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g613068 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[617]),
+	.Y(n_12164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g613069 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[583]),
+	.Y(n_12163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g613070 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[103]),
+	.Y(n_12162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g613071 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[967]),
+	.Y(n_12161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g613072 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[105]),
+	.Y(n_12160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g613074 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[809]),
+	.Y(n_12158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g613075 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[1001]),
+	.Y(n_12157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g613076 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[777]),
+	.Y(n_12156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g613077 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[489]),
+	.Y(n_12155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g613078 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[775]),
+	.Y(n_12154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g613079 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[935]),
+	.Y(n_12153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g613080 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[329]),
+	.Y(n_12152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g613081 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[969]),
+	.Y(n_12151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g613082 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[585]),
+	.Y(n_12150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g613083 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[201]),
+	.Y(n_12149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g613084 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[231]),
+	.Y(n_12148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g613085 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[167]),
+	.Y(n_12147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g613086 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[199]),
+	.Y(n_12146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g613087 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[999]),
+	.Y(n_12145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g613088 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[647]),
+	.Y(n_12144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g613089 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[551]),
+	.Y(n_12143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g613090 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[679]),
+	.Y(n_12142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g613091 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[743]),
+	.Y(n_12141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g613093 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[807]),
+	.Y(n_12139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g613094 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[169]),
+	.Y(n_12138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g613095 (
+	.A(brqrv_top_brqrv_dec_arf_gpr_out[263]),
+	.Y(n_12137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g850322 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[31]),
+	.B_N(brqrv_top_brqrv_dec_dec_csr_wrdata_r[30]),
+	.Y(brqrv_top_brqrv_dec_tlu_mrac_in[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g850323 (
+	.A(n_32547),
+	.B(n_32548),
+	.Y(n_33176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g850324 (
+	.A(n_32572),
+	.B(n_32785),
+	.Y(n_33175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g604333 (
+	.A(n_32235),
+	.B(n_12120),
+	.Y(n_30476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850326 (
+	.A(n_32106),
+	.B(n_30474),
+	.Y(n_32235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g850327 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_leak_one_f_d1 ),
+	.B(brqrv_top_brqrv_dec_tlu_bpred_disable),
+	.Y(n_12120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g850328 (
+	.A(n_32454),
+	.B(n_32453),
+	.X(n_31140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g850329 (
+	.A(n_31504),
+	.B(n_31494),
+	.X(n_31493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g850330 (
+	.A(n_31302),
+	.B(n_31301),
+	.X(n_31137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g850331 (
+	.A_N(n_29918),
+	.B(n_12117),
+	.Y(n_31494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g850332 (
+	.A_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3467),
+	.B(n_12119),
+	.Y(n_32453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g850333 (
+	.A_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3460),
+	.B(n_12118),
+	.Y(n_31301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g850334 (
+	.A1(n_32455),
+	.A2(n_31495),
+	.B1(n_31506),
+	.B2(n_32454),
+	.C1(n_31445),
+	.Y(n_12119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g850335 (
+	.A1(n_31583),
+	.A2(n_31495),
+	.B1(n_31506),
+	.B2(n_31302),
+	.C1(n_32503),
+	.Y(n_12118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g850336 (
+	.A1(n_31503),
+	.A2(n_31495),
+	.B1(n_31506),
+	.B2(n_31504),
+	.C1(n_31496),
+	.Y(n_12117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g850337 (
+	.A_N(n_31506),
+	.B(n_12116),
+	.C(n_31501),
+	.Y(n_31495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850338 (
+	.A(n_32513),
+	.B(n_32816),
+	.Y(n_12116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g850339 (
+	.A1(n_6018),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_nomerge[3]),
+	.B1(n_6019),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_nomerge[1]),
+	.C1(n_12115),
+	.X(n_31000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g850340 (
+	.A1(n_0),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_nomerge[0]),
+	.B1(n_6017),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_nomerge[2]),
+	.C1(n_12114),
+	.X(n_12115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g850341 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_valid),
+	.B(n_31403),
+	.C(n_12113),
+	.D(n_31822),
+	.Y(n_12114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g850342 (
+	.A1(n_31896),
+	.A2(n_31408),
+	.B1(n_11975),
+	.C1(n_12096),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g850343 (
+	.A1(n_31905),
+	.A2(n_31408),
+	.B1(n_12002),
+	.C1(n_12110),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g850344 (
+	.A1(n_31888),
+	.A2(n_31408),
+	.B1(n_12001),
+	.C1(n_12108),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g850345 (
+	.A1(n_31890),
+	.A2(n_31408),
+	.B1(n_11997),
+	.C1(n_12104),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g850346 (
+	.A1(n_31907),
+	.A2(n_31408),
+	.B1(n_11999),
+	.C1(n_12109),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g850347 (
+	.A1(n_31909),
+	.A2(n_31408),
+	.B1(n_11998),
+	.C1(n_12107),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g850348 (
+	.A1(n_31911),
+	.A2(n_31408),
+	.B1(n_11996),
+	.C1(n_12106),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g850349 (
+	.A1(n_31913),
+	.A2(n_31408),
+	.B1(n_11995),
+	.C1(n_12112),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g850350 (
+	.A1(n_31892),
+	.A2(n_31408),
+	.B1(n_11994),
+	.C1(n_12102),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g850351 (
+	.A1(n_31915),
+	.A2(n_31408),
+	.B1(n_11993),
+	.C1(n_12103),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g850352 (
+	.A1(n_31917),
+	.A2(n_31408),
+	.B1(n_11976),
+	.C1(n_12101),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g850353 (
+	.A1(n_31894),
+	.A2(n_31408),
+	.B1(n_12003),
+	.C1(n_12099),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g850354 (
+	.A1(n_31919),
+	.A2(n_31408),
+	.B1(n_11992),
+	.C1(n_12100),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g850355 (
+	.A1(n_31884),
+	.A2(n_31408),
+	.B1(n_12000),
+	.C1(n_12089),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g850356 (
+	.A1(n_31929),
+	.A2(n_31408),
+	.B1(n_11986),
+	.C1(n_12092),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g850357 (
+	.A1(n_31886),
+	.A2(n_31408),
+	.B1(n_11980),
+	.C1(n_12111),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g850358 (
+	.A1(n_31898),
+	.A2(n_31408),
+	.B1(n_11988),
+	.C1(n_12093),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g850359 (
+	.A1(n_31923),
+	.A2(n_31408),
+	.B1(n_11990),
+	.C1(n_12090),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g850360 (
+	.A1(n_31925),
+	.A2(n_31408),
+	.B1(n_11989),
+	.C1(n_12095),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g850361 (
+	.A1(n_31927),
+	.A2(n_31408),
+	.B1(n_11987),
+	.C1(n_12094),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g850362 (
+	.A1(n_31900),
+	.A2(n_31408),
+	.B1(n_11985),
+	.C1(n_12091),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g850363 (
+	.A1(n_31921),
+	.A2(n_31408),
+	.B1(n_11991),
+	.C1(n_12098),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g850364 (
+	.A1(n_31931),
+	.A2(n_31408),
+	.B1(n_11984),
+	.C1(n_12097),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g850365 (
+	.A1(n_31902),
+	.A2(n_31408),
+	.B1(n_11982),
+	.C1(n_12086),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g850366 (
+	.A1(n_31933),
+	.A2(n_31408),
+	.B1(n_11983),
+	.C1(n_12088),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g850367 (
+	.A1(n_31935),
+	.A2(n_31408),
+	.B1(n_11981),
+	.C1(n_12087),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g850368 (
+	.A1(n_32682),
+	.A2(n_31408),
+	.B1(n_11978),
+	.C1(n_12105),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g850369 (
+	.A1(n_31937),
+	.A2(n_31408),
+	.B1(n_11979),
+	.C1(n_12085),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g850370 (
+	.A1(n_31940),
+	.A2(n_31408),
+	.B1(n_11977),
+	.C1(n_12084),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850371 (
+	.A(n_12083),
+	.B(n_31405),
+	.Y(n_12113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850372 (
+	.A(n_8192),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[16]),
+	.Y(n_12112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850373 (
+	.A(n_8192),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[30]),
+	.Y(n_12111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850374 (
+	.A(n_8192),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[20]),
+	.Y(n_12110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850375 (
+	.A(n_8192),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[19]),
+	.Y(n_12109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850376 (
+	.A(n_8192),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[29]),
+	.Y(n_12108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850377 (
+	.A(n_8192),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[18]),
+	.Y(n_12107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850378 (
+	.A(n_8192),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[17]),
+	.Y(n_12106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850379 (
+	.A(n_8192),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[21]),
+	.Y(n_12105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850380 (
+	.A(n_8192),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[28]),
+	.Y(n_12104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850381 (
+	.A(n_8192),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[15]),
+	.Y(n_12103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850382 (
+	.A(n_8192),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[27]),
+	.Y(n_12102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850383 (
+	.A(n_8192),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[14]),
+	.Y(n_12101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850384 (
+	.A(n_8192),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[13]),
+	.Y(n_12100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850385 (
+	.A(n_8192),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[26]),
+	.Y(n_12099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850386 (
+	.A(n_8192),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[12]),
+	.Y(n_12098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850387 (
+	.A(n_8192),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[7]),
+	.Y(n_12097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850388 (
+	.A(n_8192),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[25]),
+	.Y(n_12096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850389 (
+	.A(n_8192),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[10]),
+	.Y(n_12095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850390 (
+	.A(n_8192),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[9]),
+	.Y(n_12094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850391 (
+	.A(n_8192),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[24]),
+	.Y(n_12093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850392 (
+	.A(n_8192),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[8]),
+	.Y(n_12092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850393 (
+	.A(n_8192),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[23]),
+	.Y(n_12091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850394 (
+	.A(n_8192),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[11]),
+	.Y(n_12090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850395 (
+	.A(n_8192),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[31]),
+	.Y(n_12089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850396 (
+	.A(n_8192),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[6]),
+	.Y(n_12088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850397 (
+	.A(n_8192),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[5]),
+	.Y(n_12087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850398 (
+	.A(n_8192),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[22]),
+	.Y(n_12086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850399 (
+	.A(n_8192),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[4]),
+	.Y(n_12085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850400 (
+	.A(n_8192),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[3]),
+	.Y(n_12084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g850401 (
+	.A1(n_12081),
+	.A2(n_12066),
+	.B1(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.Y(n_12083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g850403 (
+	.A(n_31429),
+	.B(n_6182),
+	.Y(n_8192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g850404 (
+	.A1(n_12027),
+	.A2(n_31916),
+	.B1(n_12079),
+	.Y(n_12081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g850406 (
+	.A1(n_31508),
+	.A2(brqrv_top_brqrv_lsu_lsu_pkt_r[6]),
+	.B1(brqrv_top_brqrv_lsu_lsu_bus_buffer_pend_any),
+	.C1(n_32513),
+	.X(n_31408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g850407 (
+	.A1(n_31883),
+	.A2(n_12029),
+	.B1(n_12071),
+	.C1(n_12074),
+	.D1(n_12078),
+	.Y(n_12079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a311o_1 g850408 (
+	.A1(n_12076),
+	.A2(n_11966),
+	.A3(n_32940),
+	.B1(n_30626),
+	.C1(n_31822),
+	.X(n_31508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g850409 (
+	.A1(n_31402),
+	.A2(n_31861),
+	.B1(n_12072),
+	.C1(n_12077),
+	.Y(n_12078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g850410 (
+	.A1(n_31861),
+	.A2(n_31402),
+	.B1(n_12075),
+	.Y(n_12077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g850411 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_m[7]),
+	.B(n_11973),
+	.C(n_12073),
+	.Y(n_12076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g850412 (
+	.A(n_12044),
+	.B(n_12043),
+	.C(n_12042),
+	.D(n_12068),
+	.Y(n_12075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g850413 (
+	.A1(n_12029),
+	.A2(n_31883),
+	.B1(n_12070),
+	.C1(n_12069),
+	.Y(n_12074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g850414 (
+	.A(n_12067),
+	.B(n_32934),
+	.C(n_32932),
+	.D(n_32933),
+	.Y(n_12073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g850415 (
+	.A1(n_31889),
+	.A2(n_12017),
+	.B1(n_12034),
+	.C1(n_12060),
+	.D1(n_12065),
+	.Y(n_12072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g850416 (
+	.A(n_12056),
+	.B(n_12058),
+	.C(n_12055),
+	.D(n_12057),
+	.Y(n_12071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g850417 (
+	.A(n_12054),
+	.B(n_12053),
+	.C(n_12061),
+	.D(n_12052),
+	.Y(n_12070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g850418 (
+	.A(n_12051),
+	.B(n_12050),
+	.C(n_12049),
+	.D(n_12048),
+	.Y(n_12069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g850419 (
+	.A(n_12040),
+	.B(n_12041),
+	.C(n_12038),
+	.D(n_12039),
+	.Y(n_12068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g850420 (
+	.A(n_11971),
+	.B(n_12063),
+	.C_N(n_32939),
+	.Y(n_12067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g850421 (
+	.A(n_12062),
+	.B(n_12059),
+	.C(n_12064),
+	.Y(n_12066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g850422 (
+	.A(n_12047),
+	.B(n_12045),
+	.C(n_12046),
+	.Y(n_12065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g850423 (
+	.A1(n_31916),
+	.A2(n_12027),
+	.B1(n_31910),
+	.B2(n_12032),
+	.C1(n_12035),
+	.Y(n_12064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g850424 (
+	.A(n_12015),
+	.B(n_32945),
+	.C(n_32950),
+	.Y(n_12063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g850425 (
+	.A(n_31912),
+	.B(n_12026),
+	.Y(n_12062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g850426 (
+	.A(n_31908),
+	.B(n_12020),
+	.X(n_12061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g850427 (
+	.A(n_31885),
+	.B(n_12004),
+	.X(n_12060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g850428 (
+	.A1_N(n_31914),
+	.A2_N(n_12031),
+	.B1(n_31914),
+	.B2(n_12031),
+	.Y(n_12059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g850429 (
+	.A(n_31918),
+	.B(n_12025),
+	.Y(n_12058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g850430 (
+	.A1_N(n_31920),
+	.A2_N(n_12030),
+	.B1(n_31920),
+	.B2(n_12030),
+	.Y(n_12057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g850431 (
+	.A(n_31922),
+	.B(n_12024),
+	.Y(n_12056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g850432 (
+	.A(n_31924),
+	.B(n_12023),
+	.Y(n_12055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g850433 (
+	.A(n_31904),
+	.B(n_12022),
+	.X(n_12054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g850434 (
+	.A(n_31906),
+	.B(n_12021),
+	.X(n_12053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g850435 (
+	.A(n_31903),
+	.B(n_12019),
+	.X(n_12052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g850436 (
+	.A1_N(n_31895),
+	.A2_N(n_12028),
+	.B1(n_31895),
+	.B2(n_12028),
+	.Y(n_12051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g850437 (
+	.A(n_31899),
+	.B(n_12018),
+	.Y(n_12050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g850438 (
+	.A1_N(n_31901),
+	.A2_N(n_12033),
+	.B1(n_31901),
+	.B2(n_12033),
+	.Y(n_12049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g850439 (
+	.A1_N(n_31897),
+	.A2_N(n_12016),
+	.B1(n_31897),
+	.B2(n_12016),
+	.Y(n_12048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g850440 (
+	.A(n_31893),
+	.B(n_12005),
+	.X(n_12047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g850441 (
+	.A(n_31887),
+	.B(n_12006),
+	.X(n_12046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g850442 (
+	.A(n_31891),
+	.B(n_12014),
+	.X(n_12045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g850443 (
+	.A(n_31934),
+	.B(n_12013),
+	.Y(n_12044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g850444 (
+	.A(n_31936),
+	.B(n_12012),
+	.Y(n_12043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g850445 (
+	.A(n_31938),
+	.B(n_12011),
+	.X(n_12042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g850446 (
+	.A(n_31926),
+	.B(n_12010),
+	.X(n_12041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g850447 (
+	.A(n_31928),
+	.B(n_12009),
+	.X(n_12040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g850448 (
+	.A(n_31930),
+	.B(n_12008),
+	.X(n_12039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g850449 (
+	.A(n_31932),
+	.B(n_12007),
+	.X(n_12038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g850450 (
+	.A(n_12037),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_pl_in_q[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g850451 (
+	.A(n_12036),
+	.Y(n_31097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g850452 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.B(n_11974),
+	.COUT(n_12036),
+	.SUM(n_12037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850453 (
+	.A(n_12032),
+	.B(n_31910),
+	.Y(n_12035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850454 (
+	.A(n_12017),
+	.B(n_31889),
+	.Y(n_12034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850455 (
+	.A(n_11982),
+	.B(n_11947),
+	.Y(n_12033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850456 (
+	.A(n_11996),
+	.B(n_11961),
+	.Y(n_12032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850457 (
+	.A(n_11995),
+	.B(n_11952),
+	.Y(n_12026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850458 (
+	.A(n_11993),
+	.B(n_11960),
+	.Y(n_12031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850459 (
+	.A(n_11992),
+	.B(n_11959),
+	.Y(n_12025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850460 (
+	.A(n_11991),
+	.B(n_11957),
+	.Y(n_12030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850461 (
+	.A(n_11990),
+	.B(n_11946),
+	.Y(n_12024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850462 (
+	.A(n_11989),
+	.B(n_11956),
+	.Y(n_12023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850463 (
+	.A(n_12000),
+	.B(n_11954),
+	.Y(n_12029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850464 (
+	.A(n_12002),
+	.B(n_11958),
+	.Y(n_12022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850465 (
+	.A(n_11999),
+	.B(n_11964),
+	.Y(n_12021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850466 (
+	.A(n_11998),
+	.B(n_11950),
+	.Y(n_12020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850467 (
+	.A(n_11978),
+	.B(n_11953),
+	.Y(n_12019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850468 (
+	.A(n_11975),
+	.B(n_11949),
+	.Y(n_12028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g850469 (
+	.A1(n_0),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[23]),
+	.B1_N(n_11985),
+	.Y(n_12018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850470 (
+	.A(n_11976),
+	.B(n_11962),
+	.Y(n_12027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g850471 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.B(n_31533),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_pl_in_q[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g850472 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.B(n_31534),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_pl_in_q[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g850473 (
+	.A(n_11943),
+	.B(n_11968),
+	.C(n_11967),
+	.Y(n_12015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g850474 (
+	.A1(n_0),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[27]),
+	.B1_N(n_11994),
+	.Y(n_12014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850475 (
+	.A(n_11981),
+	.B(n_11965),
+	.Y(n_12013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850476 (
+	.A(n_11979),
+	.B(n_11941),
+	.Y(n_12012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g850477 (
+	.A1(n_0),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[3]),
+	.B1_N(n_11977),
+	.Y(n_12011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850478 (
+	.A(n_11987),
+	.B(n_11945),
+	.Y(n_12010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850479 (
+	.A(n_11986),
+	.B(n_11955),
+	.Y(n_12009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850480 (
+	.A(n_11984),
+	.B(n_11942),
+	.Y(n_12008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850481 (
+	.A(n_11983),
+	.B(n_11944),
+	.Y(n_12007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g850482 (
+	.A1(n_0),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[29]),
+	.B1_N(n_12001),
+	.Y(n_12006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g850483 (
+	.A1(n_0),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[26]),
+	.B1_N(n_12003),
+	.Y(n_12005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850484 (
+	.A(n_11997),
+	.B(n_11963),
+	.Y(n_12017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850485 (
+	.A(n_11988),
+	.B(n_11951),
+	.Y(n_12016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850486 (
+	.A(n_11980),
+	.B(n_11948),
+	.Y(n_12004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g850487 (
+	.A1(n_6018),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dual[3]),
+	.B1(n_6019),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dual[1]),
+	.C1(n_11969),
+	.X(n_31400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g850488 (
+	.A1(n_6018),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[3]),
+	.B1(n_6017),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[2]),
+	.C1(n_11970),
+	.X(n_31401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g850489 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[90]),
+	.A2(n_6017),
+	.B1(n_6019),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[58]),
+	.C1(n_6018),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[122]),
+	.Y(n_12003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g850490 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[52]),
+	.A2(n_6019),
+	.B1(n_6018),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[116]),
+	.C1(n_6017),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[84]),
+	.Y(n_12002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g850491 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[61]),
+	.A2(n_6019),
+	.B1(n_6018),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[125]),
+	.C1(n_6017),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[93]),
+	.Y(n_12001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g850492 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[95]),
+	.A2(n_6017),
+	.B1(n_6019),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[63]),
+	.C1(n_6018),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[127]),
+	.Y(n_12000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g850493 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[51]),
+	.A2(n_6019),
+	.B1(n_6018),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[115]),
+	.C1(n_6017),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[83]),
+	.Y(n_11999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g850494 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[82]),
+	.A2(n_6017),
+	.B1(n_6018),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[114]),
+	.C1(n_6019),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[50]),
+	.Y(n_11998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g850495 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[60]),
+	.A2(n_6019),
+	.B1(n_6018),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[124]),
+	.C1(n_6017),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[92]),
+	.Y(n_11997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g850496 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[81]),
+	.A2(n_6017),
+	.B1(n_6018),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[113]),
+	.C1(n_6019),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[49]),
+	.Y(n_11996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g850497 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[80]),
+	.A2(n_6017),
+	.B1(n_6019),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[48]),
+	.C1(n_6018),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[112]),
+	.Y(n_11995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g850498 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[91]),
+	.A2(n_6017),
+	.B1(n_6018),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[123]),
+	.C1(n_6019),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[59]),
+	.Y(n_11994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g850499 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[79]),
+	.A2(n_6017),
+	.B1(n_6019),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[47]),
+	.C1(n_6018),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[111]),
+	.Y(n_11993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g850500 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[77]),
+	.A2(n_6017),
+	.B1(n_6019),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[45]),
+	.C1(n_6018),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[109]),
+	.Y(n_11992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g850501 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[76]),
+	.A2(n_6017),
+	.B1(n_6019),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[44]),
+	.C1(n_6018),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[108]),
+	.Y(n_11991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g850502 (
+	.A1(n_6018),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[98]),
+	.B1(n_6017),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[66]),
+	.C1(n_11972),
+	.X(n_31402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g850503 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.B(n_31535),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_pl_in_q[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g850504 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[75]),
+	.A2(n_6017),
+	.B1(n_6019),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[43]),
+	.C1(n_6018),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[107]),
+	.Y(n_11990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g850505 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[74]),
+	.A2(n_6017),
+	.B1(n_6019),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[42]),
+	.C1(n_6018),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[106]),
+	.Y(n_11989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g850506 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[56]),
+	.A2(n_6019),
+	.B1(n_6018),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[120]),
+	.C1(n_6017),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[88]),
+	.Y(n_11988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g850507 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[73]),
+	.A2(n_6017),
+	.B1(n_6019),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[41]),
+	.C1(n_6018),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[105]),
+	.Y(n_11987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g850508 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[40]),
+	.A2(n_6019),
+	.B1(n_6018),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[104]),
+	.C1(n_6017),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[72]),
+	.Y(n_11986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g850509 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[55]),
+	.A2(n_6019),
+	.B1(n_6018),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[119]),
+	.C1(n_6017),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[87]),
+	.Y(n_11985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g850510 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[39]),
+	.A2(n_6019),
+	.B1(n_6018),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[103]),
+	.C1(n_6017),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[71]),
+	.Y(n_11984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g850511 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[70]),
+	.A2(n_6017),
+	.B1(n_6018),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[102]),
+	.C1(n_6019),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[38]),
+	.Y(n_11983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g850512 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[86]),
+	.A2(n_6017),
+	.B1(n_6019),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[54]),
+	.C1(n_6018),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[118]),
+	.Y(n_11982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g850513 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[69]),
+	.A2(n_6017),
+	.B1(n_6019),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[37]),
+	.C1(n_6018),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[101]),
+	.Y(n_11981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g850514 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[94]),
+	.A2(n_6017),
+	.B1(n_6019),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[62]),
+	.C1(n_6018),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[126]),
+	.Y(n_11980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g850515 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[68]),
+	.A2(n_6017),
+	.B1(n_6019),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[36]),
+	.C1(n_6018),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[100]),
+	.Y(n_11979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g850516 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[53]),
+	.A2(n_6019),
+	.B1(n_6018),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[117]),
+	.C1(n_6017),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[85]),
+	.Y(n_11978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g850517 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[67]),
+	.A2(n_6017),
+	.B1(n_6018),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[99]),
+	.C1(n_6019),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[35]),
+	.Y(n_11977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g850518 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[78]),
+	.A2(n_6017),
+	.B1(n_6019),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[46]),
+	.C1(n_6018),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[110]),
+	.Y(n_11976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g850519 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[57]),
+	.A2(n_6019),
+	.B1(n_6018),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[121]),
+	.C1(n_6017),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[89]),
+	.Y(n_11975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g850520 (
+	.A(n_11974),
+	.Y(n_31290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g850521 (
+	.A(n_32938),
+	.B(n_32937),
+	.C(n_32935),
+	.D(n_32936),
+	.Y(n_11973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g850522 (
+	.A1(n_0),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[2]),
+	.B1(n_6019),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[34]),
+	.X(n_11972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g850523 (
+	.A(n_32948),
+	.B(n_32946),
+	.C(n_32949),
+	.D(n_32947),
+	.Y(n_11971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g850524 (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_genblock.level_intpend_id [1364]),
+	.A2(n_31538),
+	.B1(n_31536),
+	.B2(n_31539),
+	.Y(n_11974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g850525 (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_genblock.level_intpend_id [1364]),
+	.A2(n_31542),
+	.B1(n_31536),
+	.B2(n_31541),
+	.X(n_31534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g850526 (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_genblock.level_intpend_id [1364]),
+	.A2(n_31540),
+	.B1(n_31536),
+	.B2(n_31537),
+	.X(n_31533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g850527 (
+	.A1(n_0),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[0]),
+	.B1(n_6019),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[1]),
+	.X(n_11970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g850528 (
+	.A1(n_0),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dual[0]),
+	.B1(n_6017),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dual[2]),
+	.X(n_11969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g850529 (
+	.A(n_32953),
+	.B(n_32925),
+	.C(n_32928),
+	.D(n_32929),
+	.Y(n_11968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g850530 (
+	.A(n_32951),
+	.B(n_32952),
+	.C(n_32930),
+	.D(n_32931),
+	.Y(n_11967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g850531 (
+	.A(n_32944),
+	.B(n_32943),
+	.C(n_32942),
+	.D(n_32941),
+	.X(n_11966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g850532 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_ff [0]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_ff [5]),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.D(n_30895),
+	.X(n_31488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850533 (
+	.A(n_0),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[5]),
+	.Y(n_11965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850534 (
+	.A(n_0),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[19]),
+	.Y(n_11964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850535 (
+	.A(n_0),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[28]),
+	.Y(n_11963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850536 (
+	.A(n_0),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[14]),
+	.Y(n_11962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850537 (
+	.A(n_0),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[17]),
+	.Y(n_11961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850538 (
+	.A(n_0),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[15]),
+	.Y(n_11960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850539 (
+	.A(n_0),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[13]),
+	.Y(n_11959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850540 (
+	.A(n_0),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[20]),
+	.Y(n_11958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850541 (
+	.A(n_0),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[12]),
+	.Y(n_11957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850542 (
+	.A(n_0),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[10]),
+	.Y(n_11956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850543 (
+	.A(n_0),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[8]),
+	.Y(n_11955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850544 (
+	.A(n_0),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[31]),
+	.Y(n_11954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g850545 (
+	.A_N(n_31543),
+	.B(n_30896),
+	.Y(n_31535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850546 (
+	.A(n_0),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[21]),
+	.Y(n_11953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850547 (
+	.A(n_0),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[16]),
+	.Y(n_11952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850548 (
+	.A(n_0),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[24]),
+	.Y(n_11951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850549 (
+	.A(n_0),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[18]),
+	.Y(n_11950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850550 (
+	.A(n_0),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[25]),
+	.Y(n_11949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850551 (
+	.A(n_0),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[30]),
+	.Y(n_11948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850552 (
+	.A(n_0),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[22]),
+	.Y(n_11947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850553 (
+	.A(n_0),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[11]),
+	.Y(n_11946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850554 (
+	.A(n_0),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[9]),
+	.Y(n_11945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850555 (
+	.A(n_0),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[6]),
+	.Y(n_11944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850556 (
+	.A(n_32927),
+	.B(n_32926),
+	.Y(n_11943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850557 (
+	.A(n_0),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[7]),
+	.Y(n_11942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850558 (
+	.A(n_0),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[4]),
+	.Y(n_11941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g850559 (
+	.A(n_31536),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_genblock.level_intpend_id [1364]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g620144 (
+	.A1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[1]),
+	.A2(n_30472),
+	.B1(n_11935),
+	.B2(n_39182),
+	.C1(n_32525),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_way_f[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g620145 (
+	.A1_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[1]),
+	.A2_N(n_11932),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[1]),
+	.B2(n_11934),
+	.Y(n_11935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g620146 (
+	.A1_N(\brqrv_top_brqrv_ifu_bpred.bp_exu_mp_way_f ),
+	.A2_N(n_11928),
+	.B1(n_11933),
+	.B2(n_11928),
+	.Y(n_11934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g620147 (
+	.A1(n_11932),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_vwayhit_f [0]),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[1]),
+	.B2(n_30470),
+	.C1(n_32108),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_way_f[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g620148 (
+	.A(n_11692),
+	.B(n_11683),
+	.C(n_11861),
+	.D(n_11929),
+	.Y(n_11933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g620149 (
+	.A1(n_11930),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_exu_mp_way_f ),
+	.B1(n_11931),
+	.Y(n_11932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a41oi_1 g620150 (
+	.A1(n_11923),
+	.A2(n_11924),
+	.A3(n_11893),
+	.A4(n_11870),
+	.B1(n_11930),
+	.Y(n_11931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g620151 (
+	.A(n_11834),
+	.B(n_11922),
+	.C(n_31507),
+	.D_N(brqrv_top_brqrv_ifu_ifc_fetch_req_f),
+	.Y(n_11930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g620152 (
+	.A(n_11927),
+	.B(n_11919),
+	.C(n_11865),
+	.D(n_11917),
+	.Y(n_11929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g620153 (
+	.A(n_11926),
+	.B(n_31507),
+	.Y(n_11928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g620154 (
+	.A1(n_11901),
+	.A2(n_11921),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[1]),
+	.Y(n_32191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g620155 (
+	.A(n_11653),
+	.B(n_11764),
+	.C(n_11856),
+	.D(n_11925),
+	.Y(n_11927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g620156 (
+	.A1(brqrv_top_brqrv_exu_mp_btag[3]),
+	.A2(n_11359),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_req_f),
+	.C1(n_11664),
+	.D1(n_11910),
+	.Y(n_11926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g620157 (
+	.A1(n_11540),
+	.A2(n_30287),
+	.B1(n_11876),
+	.C1(n_11867),
+	.D1(n_11913),
+	.Y(n_11925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g620158 (
+	.A(n_11656),
+	.B(n_11850),
+	.C(n_11916),
+	.D(n_11918),
+	.Y(n_11924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g620159 (
+	.A(n_11748),
+	.B(n_11842),
+	.C(n_11895),
+	.D(n_11920),
+	.Y(n_11923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g620160 (
+	.A1(n_29873),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [4]),
+	.B1(n_11673),
+	.C1(n_11896),
+	.D1(n_11912),
+	.Y(n_11922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g620161 (
+	.A(n_11915),
+	.B(n_11885),
+	.C(n_11884),
+	.Y(n_11921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g620162 (
+	.A1(n_11376),
+	.A2(n_30035),
+	.B1(n_11879),
+	.C1(n_11875),
+	.D1(n_11909),
+	.Y(n_11920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g620163 (
+	.A(n_11661),
+	.B(n_11650),
+	.C(n_11840),
+	.D(n_11914),
+	.Y(n_11919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g620164 (
+	.A1(n_11505),
+	.A2(n_29983),
+	.B1(n_11864),
+	.C1(n_11862),
+	.D1(n_11908),
+	.Y(n_11918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g620165 (
+	.A(n_11652),
+	.B(n_11745),
+	.C(n_11855),
+	.D(n_11911),
+	.Y(n_11917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g620166 (
+	.A1(n_11509),
+	.A2(n_29978),
+	.B1(n_11686),
+	.C1(n_11866),
+	.D1(n_11906),
+	.Y(n_11916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g620167 (
+	.A(n_11877),
+	.B(n_11874),
+	.C(n_11899),
+	.D(n_11902),
+	.Y(n_11915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g620168 (
+	.A1(n_11353),
+	.A2(n_30121),
+	.B1(n_11662),
+	.C1(n_11892),
+	.D1(n_11904),
+	.Y(n_11914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g620169 (
+	.A(n_11786),
+	.B(n_11783),
+	.C(n_11863),
+	.D(n_11898),
+	.Y(n_11913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g620170 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [4]),
+	.A2(n_29873),
+	.B1(n_11670),
+	.C1(n_11903),
+	.Y(n_11912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g620171 (
+	.A1(n_11504),
+	.A2(n_30055),
+	.B1(n_11344),
+	.B2(n_30054),
+	.C1(n_11907),
+	.Y(n_11911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g620172 (
+	.A1(n_11359),
+	.A2(brqrv_top_brqrv_exu_mp_btag[3]),
+	.B1(n_11897),
+	.C1(n_11900),
+	.Y(n_11910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g620173 (
+	.A1(n_11384),
+	.A2(n_29959),
+	.B1(n_11353),
+	.B2(n_29947),
+	.C1(n_11905),
+	.X(n_11909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g620174 (
+	.A(n_11798),
+	.B(n_11799),
+	.C(n_11852),
+	.D(n_11891),
+	.Y(n_11908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g620175 (
+	.A(n_11722),
+	.B(n_11716),
+	.C(n_11839),
+	.D(n_11894),
+	.Y(n_11907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g620176 (
+	.A(n_11685),
+	.B(n_11682),
+	.C(n_11848),
+	.D(n_11837),
+	.Y(n_11906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g620177 (
+	.A(n_11711),
+	.B(n_11719),
+	.C(n_11858),
+	.D(n_11838),
+	.Y(n_11905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g620178 (
+	.A(n_11787),
+	.B(n_11782),
+	.C(n_11843),
+	.D(n_11857),
+	.Y(n_11904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g620179 (
+	.A1(n_32462),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [2]),
+	.B1(n_11669),
+	.C1(n_11766),
+	.D1(n_11859),
+	.Y(n_11903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g620180 (
+	.A(n_11878),
+	.B(n_11880),
+	.C(n_11882),
+	.D(n_11883),
+	.Y(n_11902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g620181 (
+	.A(n_11886),
+	.B(n_11889),
+	.C(n_11890),
+	.D(n_11888),
+	.Y(n_11901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g620182 (
+	.A(n_11835),
+	.B(n_11813),
+	.C(n_11814),
+	.D(n_11811),
+	.Y(n_11900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g620183 (
+	.A(n_11869),
+	.B(n_11871),
+	.C(n_11872),
+	.D(n_11873),
+	.Y(n_11899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g620184 (
+	.A1(n_11345),
+	.A2(n_30264),
+	.B1(n_11655),
+	.C1(n_11826),
+	.D1(n_11887),
+	.Y(n_11898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g620185 (
+	.A(n_11805),
+	.B(n_11807),
+	.C(n_11812),
+	.D(n_11804),
+	.Y(n_11897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g620186 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [4]),
+	.A2(n_32459),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [5]),
+	.B2(n_32458),
+	.C1(n_11860),
+	.Y(n_11896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g620187 (
+	.A1(n_11530),
+	.A2(n_29964),
+	.B1(n_11354),
+	.B2(n_29938),
+	.C1(n_11881),
+	.Y(n_11895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g620188 (
+	.A1(n_11513),
+	.A2(n_30101),
+	.B1(n_11515),
+	.B2(n_30088),
+	.C1(n_11868),
+	.Y(n_11894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g620189 (
+	.A1(n_11436),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [12]),
+	.B1(n_11730),
+	.C1(n_11851),
+	.Y(n_11893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g620190 (
+	.A(n_11790),
+	.B(n_11793),
+	.C(n_11797),
+	.D(n_11795),
+	.Y(n_11892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g620191 (
+	.A1(n_11515),
+	.A2(n_29979),
+	.B1(n_11663),
+	.C1(n_11796),
+	.D1(n_11832),
+	.Y(n_11891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g620192 (
+	.A(n_11780),
+	.B(n_11777),
+	.C(n_11781),
+	.D(n_11776),
+	.Y(n_11890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g620193 (
+	.A(n_11772),
+	.B(n_11774),
+	.C(n_11775),
+	.D(n_11773),
+	.Y(n_11889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g620194 (
+	.A(n_11769),
+	.B(n_11767),
+	.C(n_11802),
+	.D(n_11771),
+	.Y(n_11888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g620195 (
+	.A(n_11762),
+	.B(n_11757),
+	.C(n_11751),
+	.D(n_11768),
+	.Y(n_11887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g620196 (
+	.A(n_11763),
+	.B(n_11761),
+	.C(n_11760),
+	.D(n_11765),
+	.Y(n_11886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g620197 (
+	.A(n_11758),
+	.B(n_11759),
+	.C(n_11756),
+	.D(n_11755),
+	.Y(n_11885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g620198 (
+	.A(n_11750),
+	.B(n_11752),
+	.C(n_11749),
+	.D(n_11753),
+	.Y(n_11884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g620199 (
+	.A(n_11746),
+	.B(n_11744),
+	.C(n_11743),
+	.D(n_11747),
+	.Y(n_11883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g620200 (
+	.A(n_11738),
+	.B(n_11739),
+	.C(n_11741),
+	.D(n_11740),
+	.Y(n_11882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g620201 (
+	.A1(n_11637),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [124]),
+	.B1(n_11854),
+	.Y(n_11881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g620202 (
+	.A(n_11735),
+	.B(n_11734),
+	.C(n_11733),
+	.D(n_11737),
+	.Y(n_11880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g620203 (
+	.A1(n_11435),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [20]),
+	.B1(n_11853),
+	.Y(n_11879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g620204 (
+	.A(n_11731),
+	.B(n_11800),
+	.C(n_11801),
+	.D(n_11732),
+	.Y(n_11878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g620205 (
+	.A1(n_6070),
+	.A2(n_30406),
+	.B1(n_6133),
+	.B2(n_30405),
+	.C1(n_11841),
+	.Y(n_11877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g620206 (
+	.A(n_11704),
+	.B(n_11713),
+	.C(n_11694),
+	.D(n_11725),
+	.Y(n_11876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g620207 (
+	.A(n_11693),
+	.B(n_11727),
+	.C(n_11726),
+	.D(n_11724),
+	.Y(n_11875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g620208 (
+	.A1(n_6191),
+	.A2(n_30421),
+	.B1(n_6140),
+	.B2(n_30420),
+	.C1(n_11846),
+	.Y(n_11874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g620209 (
+	.A(n_11717),
+	.B(n_11715),
+	.C(n_11718),
+	.D(n_11791),
+	.Y(n_11873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g620210 (
+	.A(n_11709),
+	.B(n_11710),
+	.C(n_11712),
+	.D(n_11714),
+	.Y(n_11872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g620211 (
+	.A(n_11702),
+	.B(n_11708),
+	.C(n_11705),
+	.D(n_11706),
+	.Y(n_11871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g620212 (
+	.A(n_11695),
+	.B(n_11697),
+	.C(n_11701),
+	.D(n_11699),
+	.Y(n_11870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g620213 (
+	.A(n_11770),
+	.B(n_11700),
+	.C(n_11696),
+	.D(n_11698),
+	.Y(n_11869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111a_1 g620214 (
+	.A1(n_11505),
+	.A2(n_30076),
+	.B1(n_11654),
+	.C1(n_11657),
+	.D1(n_11819),
+	.X(n_11868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g620215 (
+	.A1(n_11430),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [37]),
+	.B1(n_11849),
+	.Y(n_11867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g620216 (
+	.A(n_11691),
+	.B(n_11688),
+	.C(n_11689),
+	.D(n_11690),
+	.Y(n_11866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g620217 (
+	.A(n_11684),
+	.B(n_11679),
+	.C(n_11681),
+	.D(n_11687),
+	.Y(n_11865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g620218 (
+	.A1(n_11423),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [88]),
+	.B1(n_11847),
+	.Y(n_11864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g620219 (
+	.A1(n_11351),
+	.A2(n_30266),
+	.B1(n_11538),
+	.B2(n_30265),
+	.C1(n_11845),
+	.Y(n_11863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g620220 (
+	.A(n_11674),
+	.B(n_11677),
+	.C(n_11676),
+	.D(n_11675),
+	.Y(n_11862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g620221 (
+	.A1(n_11375),
+	.A2(n_30063),
+	.B1(n_11346),
+	.B2(n_30062),
+	.C1(n_11844),
+	.Y(n_11861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g620222 (
+	.A1(n_32459),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [4]),
+	.B1(n_11836),
+	.Y(n_11860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g620223 (
+	.A(n_11808),
+	.B(n_11809),
+	.C(n_11806),
+	.Y(n_11859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g620224 (
+	.A1(n_11357),
+	.A2(n_29943),
+	.B1(n_11385),
+	.B2(n_29945),
+	.C1(n_11822),
+	.Y(n_11858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g620225 (
+	.A1(n_11384),
+	.A2(n_30108),
+	.B1(n_11658),
+	.C1(n_11659),
+	.D1(n_11785),
+	.Y(n_11857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g620226 (
+	.A1(n_11376),
+	.A2(n_30087),
+	.B1(n_11651),
+	.C1(n_11660),
+	.D1(n_11736),
+	.Y(n_11856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g620227 (
+	.A1(n_11517),
+	.A2(n_30090),
+	.B1(n_11520),
+	.B2(n_33025),
+	.C1(n_11824),
+	.Y(n_11855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g620228 (
+	.A1(n_11373),
+	.A2(n_29935),
+	.B1(n_11498),
+	.B2(n_29984),
+	.C1(n_11825),
+	.Y(n_11854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g620229 (
+	.A1(n_11361),
+	.A2(n_30027),
+	.B1(n_11370),
+	.B2(n_30026),
+	.C1(n_11823),
+	.Y(n_11853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g620230 (
+	.A1(n_11510),
+	.A2(n_29991),
+	.B1(n_11346),
+	.B2(n_29999),
+	.C1(n_11827),
+	.Y(n_11852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g620231 (
+	.A1(n_11520),
+	.A2(n_33082),
+	.B1(n_11374),
+	.B2(n_33081),
+	.C1(n_11821),
+	.Y(n_11851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g620232 (
+	.A1(n_11345),
+	.A2(n_30009),
+	.B1(n_11347),
+	.B2(n_30050),
+	.C1(n_11820),
+	.Y(n_11850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g620233 (
+	.A1(n_11387),
+	.A2(n_30293),
+	.B1(n_11365),
+	.B2(n_30294),
+	.C1(n_11818),
+	.Y(n_11849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g620234 (
+	.A1(n_11532),
+	.A2(n_30013),
+	.B1(n_11542),
+	.B2(n_29985),
+	.C1(n_11817),
+	.Y(n_11848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g620235 (
+	.A1(n_11543),
+	.A2(n_29966),
+	.B1(n_11389),
+	.B2(n_29960),
+	.C1(n_11816),
+	.Y(n_11847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g620236 (
+	.A(n_11720),
+	.B(n_11721),
+	.C(n_11723),
+	.Y(n_11846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g620237 (
+	.A1(n_11347),
+	.A2(n_30273),
+	.B1(n_11503),
+	.B2(n_30274),
+	.C1(n_11833),
+	.X(n_11845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g620238 (
+	.A(n_11792),
+	.B(n_11779),
+	.C(n_11784),
+	.Y(n_11844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g620239 (
+	.A1(n_11536),
+	.A2(n_30115),
+	.B1(n_11535),
+	.B2(n_30114),
+	.C1(n_11831),
+	.Y(n_11843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g620240 (
+	.A1(n_11391),
+	.A2(n_29957),
+	.B1(n_11350),
+	.B2(n_29993),
+	.C1(n_11830),
+	.Y(n_11842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g620241 (
+	.A(n_11728),
+	.B(n_11754),
+	.C(n_11729),
+	.Y(n_11841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g620242 (
+	.A1(n_11372),
+	.A2(n_30129),
+	.B1(n_11498),
+	.B2(n_30116),
+	.C1(n_11815),
+	.Y(n_11840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g620243 (
+	.A1(n_11539),
+	.A2(n_30092),
+	.B1(n_11394),
+	.B2(n_30095),
+	.C1(n_11829),
+	.Y(n_11839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g620244 (
+	.A1(n_11340),
+	.A2(n_30019),
+	.B1(n_11371),
+	.B2(n_30020),
+	.C1(n_11828),
+	.Y(n_11838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g620245 (
+	.A1(n_11351),
+	.A2(n_30044),
+	.B1(n_11649),
+	.C1(n_11678),
+	.D1(n_11680),
+	.Y(n_11837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g620246 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [3]),
+	.A2(n_32460),
+	.B1(n_11810),
+	.Y(n_11836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g620247 (
+	.A(n_11665),
+	.B(n_11666),
+	.C(n_11668),
+	.D(n_11667),
+	.Y(n_11835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g620248 (
+	.A(brqrv_top_brqrv_dec_decode_debug_fence_i),
+	.B(n_11803),
+	.Y(brqrv_top_brqrv_dec_decode_n_1983_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g620249 (
+	.A1(n_11466),
+	.A2(brqrv_top_brqrv_dec_tlu_dcsr[10]),
+	.B1(brqrv_top_brqrv_dec_tlu_mcountinhibit[0]),
+	.C1(brqrv_top_brqrv_dec_tlu_perfcnt_halted),
+	.X(brqrv_top_brqrv_dec_tlu_n_4585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g620250 (
+	.A(n_11671),
+	.B(n_11672),
+	.Y(n_11834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g620251 (
+	.A1(n_11454),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [56]),
+	.B1(n_11600),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [58]),
+	.C1(n_11788),
+	.Y(n_11833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g620252 (
+	.A1(n_11363),
+	.A2(n_29967),
+	.B1(n_11394),
+	.B2(n_29970),
+	.C1(n_11794),
+	.X(n_11832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g620253 (
+	.A1(n_39144),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[15]),
+	.B1(n_39145),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[11]),
+	.C1(n_11789),
+	.X(n_31243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g620254 (
+	.A1(n_11406),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [106]),
+	.B1(n_11565),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [104]),
+	.C1(n_11778),
+	.Y(n_11831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g620255 (
+	.A1(n_11632),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [114]),
+	.B1(n_11554),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [113]),
+	.C1(n_11742),
+	.Y(n_11830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g620256 (
+	.A1(n_11437),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [90]),
+	.B1(n_11584),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [88]),
+	.C1(n_11703),
+	.Y(n_11829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g620257 (
+	.A1(n_11404),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [28]),
+	.B1(n_11567),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [23]),
+	.C1(n_11707),
+	.Y(n_11828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g620258 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [73]),
+	.A2(n_11445),
+	.B1(n_11469),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [75]),
+	.C1(n_11470),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [72]),
+	.Y(n_11827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g620259 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [50]),
+	.A2(n_11608),
+	.B1(n_11605),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [48]),
+	.C1(n_11451),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [51]),
+	.Y(n_11826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g620260 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [116]),
+	.A2(n_11453),
+	.B1(n_11644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [119]),
+	.C1(n_11488),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [117]),
+	.Y(n_11825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g620261 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [1]),
+	.A2(n_11452),
+	.B1(n_11413),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [2]),
+	.C1(n_11594),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [0]),
+	.Y(n_11824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g620262 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [31]),
+	.A2(n_11556),
+	.B1(n_11459),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [26]),
+	.C1(n_11581),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [27]),
+	.Y(n_11823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g620263 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [111]),
+	.A2(n_11448),
+	.B1(n_11547),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [109]),
+	.C1(n_11622),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [110]),
+	.Y(n_11822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g620264 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [0]),
+	.A2(n_11434),
+	.B1(n_11598),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [15]),
+	.C1(n_11403),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [1]),
+	.Y(n_11821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g620265 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [52]),
+	.A2(n_11432),
+	.B1(n_11439),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [53]),
+	.C1(n_11431),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [54]),
+	.Y(n_11820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g620266 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [95]),
+	.A2(n_11624),
+	.B1(n_11428),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [82]),
+	.C1(n_11440),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [83]),
+	.Y(n_11819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g620267 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [33]),
+	.A2(n_11552),
+	.B1(n_11399),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [47]),
+	.C1(n_11484),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [32]),
+	.Y(n_11818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g620268 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [39]),
+	.A2(n_11490),
+	.B1(n_11400),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [38]),
+	.C1(n_11489),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [37]),
+	.Y(n_11817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g620269 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [92]),
+	.A2(n_11422),
+	.B1(n_11478),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [93]),
+	.C1(n_11479),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [94]),
+	.Y(n_11816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g620270 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [116]),
+	.A2(n_11471),
+	.B1(n_11472),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [118]),
+	.C1(n_11421),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [117]),
+	.Y(n_11815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g620271 (
+	.A1_N(n_32460),
+	.A2_N(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [3]),
+	.B1(n_32460),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [3]),
+	.Y(n_11814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g620272 (
+	.A1_N(n_32458),
+	.A2_N(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [5]),
+	.B1(n_32458),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [5]),
+	.Y(n_11813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g620273 (
+	.A1_N(n_32462),
+	.A2_N(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [2]),
+	.B1(n_32462),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [2]),
+	.Y(n_11812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g620274 (
+	.A1_N(n_32459),
+	.A2_N(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [4]),
+	.B1(n_32459),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [4]),
+	.Y(n_11811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620275 (
+	.A1(n_32460),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [3]),
+	.B1(n_32458),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [5]),
+	.Y(n_11810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g620276 (
+	.A1_N(n_32461),
+	.A2_N(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [8]),
+	.B1(n_32461),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [8]),
+	.Y(n_11809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g620277 (
+	.A1_N(n_31310),
+	.A2_N(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [7]),
+	.B1(n_31310),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [7]),
+	.Y(n_11808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g620278 (
+	.A1_N(n_31310),
+	.A2_N(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [7]),
+	.B1(n_31310),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [7]),
+	.Y(n_11807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g620279 (
+	.A(n_31311),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [6]),
+	.Y(n_11806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g620280 (
+	.A(n_32461),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [8]),
+	.X(n_11805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g620281 (
+	.A(n_31311),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_p1_f [6]),
+	.X(n_11804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g620282 (
+	.A(n_11803),
+	.Y(n_30530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620283 (
+	.A1(n_6309),
+	.A2(n_30328),
+	.B1(n_6155),
+	.B2(n_30327),
+	.Y(n_11802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620284 (
+	.A1(n_6278),
+	.A2(n_30431),
+	.B1(n_6282),
+	.B2(n_30430),
+	.Y(n_11801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620285 (
+	.A1(n_6092),
+	.A2(n_30432),
+	.B1(n_6277),
+	.B2(n_30433),
+	.Y(n_11800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g620286 (
+	.A(n_31386),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_valid_ff ),
+	.Y(n_32302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620287 (
+	.A1(n_11527),
+	.A2(n_29996),
+	.B1(n_11533),
+	.B2(n_30008),
+	.Y(n_11799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620288 (
+	.A1(n_11513),
+	.A2(n_29968),
+	.B1(n_11512),
+	.B2(n_29997),
+	.Y(n_11798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620289 (
+	.A1(n_11391),
+	.A2(n_30122),
+	.B1(n_11392),
+	.B2(n_30120),
+	.Y(n_11797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g620290 (
+	.A1(n_11539),
+	.A2(n_29971),
+	.B1(n_11364),
+	.B2(n_29972),
+	.X(n_11796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620291 (
+	.A1(n_11349),
+	.A2(n_30135),
+	.B1(n_11390),
+	.B2(n_30136),
+	.Y(n_11795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g620292 (
+	.A1(n_11609),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [81]),
+	.B1(n_11420),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [82]),
+	.Y(n_11794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620293 (
+	.A1(n_11352),
+	.A2(n_30126),
+	.B1(n_11388),
+	.B2(n_30123),
+	.Y(n_11793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620294 (
+	.A1(n_11527),
+	.A2(n_30069),
+	.B1(n_11512),
+	.B2(n_30066),
+	.Y(n_11792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620295 (
+	.A1(n_6238),
+	.A2(n_30379),
+	.B1(n_6254),
+	.B2(n_30380),
+	.Y(n_11791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620296 (
+	.A1(n_11530),
+	.A2(n_30119),
+	.B1(n_11350),
+	.B2(n_30117),
+	.Y(n_11790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620297 (
+	.A1(n_11465),
+	.A2(n_31295),
+	.B1(n_11418),
+	.B2(n_31296),
+	.Y(n_11789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620298 (
+	.A1(n_11381),
+	.A2(n_30268),
+	.B1(n_11378),
+	.B2(n_30267),
+	.Y(n_11788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620299 (
+	.A1(n_11382),
+	.A2(n_30113),
+	.B1(n_11354),
+	.B2(n_30139),
+	.Y(n_11787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620300 (
+	.A1(n_11523),
+	.A2(n_30276),
+	.B1(n_11524),
+	.B2(n_30270),
+	.Y(n_11786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g620301 (
+	.A1(n_11461),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [111]),
+	.B1(n_11582),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [97]),
+	.Y(n_11785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620302 (
+	.A1(n_11514),
+	.A2(n_30060),
+	.B1(n_11521),
+	.B2(n_30059),
+	.Y(n_11784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620303 (
+	.A1(n_11516),
+	.A2(n_30275),
+	.B1(n_11532),
+	.B2(n_30292),
+	.Y(n_11783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620304 (
+	.A1(n_11357),
+	.A2(n_30128),
+	.B1(n_11385),
+	.B2(n_30127),
+	.Y(n_11782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620305 (
+	.A1(n_6095),
+	.A2(n_30392),
+	.B1(n_6194),
+	.B2(n_30391),
+	.Y(n_11781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620306 (
+	.A1(n_6105),
+	.A2(n_30390),
+	.B1(n_6079),
+	.B2(n_30389),
+	.Y(n_11780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620307 (
+	.A1(n_11510),
+	.A2(n_30075),
+	.B1(n_11348),
+	.B2(n_30072),
+	.Y(n_11779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620308 (
+	.A1(n_11377),
+	.A2(n_30134),
+	.B1(n_11386),
+	.B2(n_30131),
+	.Y(n_11778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620309 (
+	.A1(n_6082),
+	.A2(n_30395),
+	.B1(n_6279),
+	.B2(n_30396),
+	.Y(n_11777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620310 (
+	.A1(n_6262),
+	.A2(n_30393),
+	.B1(n_6093),
+	.B2(n_30394),
+	.Y(n_11776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620311 (
+	.A1(n_6068),
+	.A2(n_30315),
+	.B1(n_6261),
+	.B2(n_30316),
+	.Y(n_11775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620312 (
+	.A1(n_6151),
+	.A2(n_30313),
+	.B1(n_6299),
+	.B2(n_30314),
+	.Y(n_11774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620313 (
+	.A1(n_6224),
+	.A2(n_30310),
+	.B1(n_6129),
+	.B2(n_30309),
+	.Y(n_11773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620314 (
+	.A1(n_6207),
+	.A2(n_30312),
+	.B1(n_6090),
+	.B2(n_30311),
+	.Y(n_11772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620315 (
+	.A1(n_6306),
+	.A2(n_30331),
+	.B1(n_6213),
+	.B2(n_30332),
+	.Y(n_11771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620316 (
+	.A1(n_6304),
+	.A2(n_30356),
+	.B1(n_6088),
+	.B2(n_30355),
+	.Y(n_11770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620317 (
+	.A1(n_6260),
+	.A2(n_30326),
+	.B1(n_6252),
+	.B2(n_30325),
+	.Y(n_11769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620318 (
+	.A1(n_11507),
+	.A2(n_30286),
+	.B1(n_11508),
+	.B2(n_30288),
+	.Y(n_11768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620319 (
+	.A1(n_6197),
+	.A2(n_30329),
+	.B1(n_6144),
+	.B2(n_30330),
+	.Y(n_11767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g620320 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [2]),
+	.B(n_32462),
+	.Y(n_11766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620321 (
+	.A1(n_6202),
+	.A2(n_30401),
+	.B1(n_6243),
+	.B2(n_30402),
+	.Y(n_11765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620322 (
+	.A1(n_11526),
+	.A2(n_30081),
+	.B1(n_11370),
+	.B2(n_30073),
+	.Y(n_11764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620323 (
+	.A1(n_6114),
+	.A2(n_30399),
+	.B1(n_6310),
+	.B2(n_30400),
+	.Y(n_11763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620324 (
+	.A1(n_11502),
+	.A2(n_30290),
+	.B1(n_11519),
+	.B2(n_30289),
+	.Y(n_11762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620325 (
+	.A1(n_6153),
+	.A2(n_30404),
+	.B1(n_6136),
+	.B2(n_30403),
+	.Y(n_11761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620326 (
+	.A1(n_6250),
+	.A2(n_30398),
+	.B1(n_6232),
+	.B2(n_30397),
+	.Y(n_11760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620327 (
+	.A1(n_6052),
+	.A2(n_30337),
+	.B1(n_6143),
+	.B2(n_30338),
+	.Y(n_11759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620328 (
+	.A1(n_6312),
+	.A2(n_30340),
+	.B1(n_6270),
+	.B2(n_30339),
+	.Y(n_11758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620329 (
+	.A1(n_11500),
+	.A2(n_30284),
+	.B1(n_11343),
+	.B2(n_30285),
+	.Y(n_11757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620330 (
+	.A1(n_6259),
+	.A2(n_30335),
+	.B1(n_6047),
+	.B2(n_30336),
+	.Y(n_11756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620331 (
+	.A1(n_6284),
+	.A2(n_30334),
+	.B1(n_6295),
+	.B2(n_30333),
+	.Y(n_11755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620332 (
+	.A1(n_6189),
+	.A2(n_30409),
+	.B1(n_6061),
+	.B2(n_30410),
+	.Y(n_11754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620333 (
+	.A1(n_6290),
+	.A2(n_30319),
+	.B1(n_6255),
+	.B2(n_30320),
+	.Y(n_11753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620334 (
+	.A1(n_6041),
+	.A2(n_30321),
+	.B1(n_6056),
+	.B2(n_30322),
+	.Y(n_11752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620335 (
+	.A1(n_11534),
+	.A2(n_30283),
+	.B1(n_11499),
+	.B2(n_30282),
+	.Y(n_11751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620336 (
+	.A1(n_6257),
+	.A2(n_30324),
+	.B1(n_6321),
+	.B2(n_30323),
+	.Y(n_11750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620337 (
+	.A1(n_6042),
+	.A2(n_30318),
+	.B1(n_6218),
+	.B2(n_30317),
+	.Y(n_11749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620338 (
+	.A1(n_11342),
+	.A2(n_29948),
+	.B1(n_11388),
+	.B2(n_29952),
+	.Y(n_11748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620339 (
+	.A1(n_6249),
+	.A2(n_30360),
+	.B1(n_6258),
+	.B2(n_30359),
+	.Y(n_11747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620340 (
+	.A1(n_6046),
+	.A2(n_30363),
+	.B1(n_6188),
+	.B2(n_30364),
+	.Y(n_11746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620341 (
+	.A1(n_11496),
+	.A2(n_30099),
+	.B1(n_11367),
+	.B2(n_33026),
+	.Y(n_11745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620342 (
+	.A1(n_6084),
+	.A2(n_30361),
+	.B1(n_6199),
+	.B2(n_30362),
+	.Y(n_11744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620343 (
+	.A1(n_6209),
+	.A2(n_30358),
+	.B1(n_6203),
+	.B2(n_30357),
+	.Y(n_11743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620344 (
+	.A1(n_11349),
+	.A2(n_29934),
+	.B1(n_11352),
+	.B2(n_29944),
+	.Y(n_11742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620345 (
+	.A1(n_6077),
+	.A2(n_30367),
+	.B1(n_6078),
+	.B2(n_30368),
+	.Y(n_11741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620346 (
+	.A1(n_6063),
+	.A2(n_30372),
+	.B1(n_6059),
+	.B2(n_30371),
+	.Y(n_11740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620347 (
+	.A1(n_6234),
+	.A2(n_30369),
+	.B1(n_6241),
+	.B2(n_30370),
+	.Y(n_11739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620348 (
+	.A1(n_6227),
+	.A2(n_30366),
+	.B1(n_6111),
+	.B2(n_30365),
+	.Y(n_11738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620349 (
+	.A1(n_6102),
+	.A2(n_30426),
+	.B1(n_6201),
+	.B2(n_30427),
+	.Y(n_11737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g620350 (
+	.A1(n_11559),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [19]),
+	.B1(n_11647),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [17]),
+	.Y(n_11736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620351 (
+	.A1(n_6198),
+	.A2(n_30423),
+	.B1(n_6193),
+	.B2(n_30422),
+	.Y(n_11735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620352 (
+	.A1(n_6097),
+	.A2(n_30428),
+	.B1(n_6096),
+	.B2(n_30429),
+	.Y(n_11734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620353 (
+	.A1(n_6087),
+	.A2(n_30425),
+	.B1(n_6085),
+	.B2(n_30424),
+	.Y(n_11733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620354 (
+	.A1(n_6274),
+	.A2(n_30437),
+	.B1(n_6132),
+	.B2(n_30436),
+	.Y(n_11732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620355 (
+	.A1(n_6288),
+	.A2(n_30434),
+	.B1(n_11573),
+	.B2(n_30435),
+	.Y(n_11731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g620356 (
+	.A(n_30531),
+	.B(n_33354),
+	.Y(n_11803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g620357 (
+	.A_N(brqrv_top_brqrv_dec_tlu_dec_tlu_pmu_fw_halted),
+	.B(n_29908),
+	.Y(brqrv_top_brqrv_dec_tlu_perfcnt_halted), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620358 (
+	.A1(n_11369),
+	.A2(n_30038),
+	.B1(n_11367),
+	.B2(n_33083),
+	.Y(n_11730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620359 (
+	.A1(n_6266),
+	.A2(n_30411),
+	.B1(n_6058),
+	.B2(n_30412),
+	.Y(n_11729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620360 (
+	.A1(n_6053),
+	.A2(n_30408),
+	.B1(n_6117),
+	.B2(n_30407),
+	.Y(n_11728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620361 (
+	.A1(n_11379),
+	.A2(n_29955),
+	.B1(n_11529),
+	.B2(n_29954),
+	.Y(n_11727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620362 (
+	.A1(n_11382),
+	.A2(n_29953),
+	.B1(n_11535),
+	.B2(n_29951),
+	.Y(n_11726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620363 (
+	.A1(n_11393),
+	.A2(n_30298),
+	.B1(n_11358),
+	.B2(n_33028),
+	.Y(n_11725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620364 (
+	.A1(n_11528),
+	.A2(n_29956),
+	.B1(n_11383),
+	.B2(n_29958),
+	.Y(n_11724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620365 (
+	.A1(n_6256),
+	.A2(n_30416),
+	.B1(n_6285),
+	.B2(n_30417),
+	.Y(n_11723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620366 (
+	.A1(n_11356),
+	.A2(n_30053),
+	.B1(n_11533),
+	.B2(n_30052),
+	.Y(n_11722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620367 (
+	.A1(n_6320),
+	.A2(n_30418),
+	.B1(n_6239),
+	.B2(n_30419),
+	.Y(n_11721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620368 (
+	.A1(n_6215),
+	.A2(n_30415),
+	.B1(n_6291),
+	.B2(n_30414),
+	.Y(n_11720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620369 (
+	.A1(n_11501),
+	.A2(n_30030),
+	.B1(n_11518),
+	.B2(n_29949),
+	.Y(n_11719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620370 (
+	.A1(n_6303),
+	.A2(n_30377),
+	.B1(n_6311),
+	.B2(n_30378),
+	.Y(n_11718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620371 (
+	.A1(n_8228),
+	.A2(n_30374),
+	.B1(n_8208),
+	.B2(n_30373),
+	.Y(n_11717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620372 (
+	.A1(n_11525),
+	.A2(n_30104),
+	.B1(n_11543),
+	.B2(n_30103),
+	.Y(n_11716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620373 (
+	.A1(n_6100),
+	.A2(n_30376),
+	.B1(n_6146),
+	.B2(n_30375),
+	.Y(n_11715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620374 (
+	.A1(n_6152),
+	.A2(n_30382),
+	.B1(n_6217),
+	.B2(n_30381),
+	.Y(n_11714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620375 (
+	.A1(n_11369),
+	.A2(n_30093),
+	.B1(n_11537),
+	.B2(n_30091),
+	.Y(n_11713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620376 (
+	.A1(n_6101),
+	.A2(n_30385),
+	.B1(n_6154),
+	.B2(n_30386),
+	.Y(n_11712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620377 (
+	.A1(n_11355),
+	.A2(n_30032),
+	.B1(n_11492),
+	.B2(n_30029),
+	.Y(n_11711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620378 (
+	.A1(n_6223),
+	.A2(n_30388),
+	.B1(n_6113),
+	.B2(n_30387),
+	.Y(n_11710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620379 (
+	.A1(n_6242),
+	.A2(n_30383),
+	.B1(n_6054),
+	.B2(n_30384),
+	.Y(n_11709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620380 (
+	.A1(n_6245),
+	.A2(n_30344),
+	.B1(n_6313),
+	.B2(n_30343),
+	.Y(n_11708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620381 (
+	.A1(n_11522),
+	.A2(n_30034),
+	.B1(n_11497),
+	.B2(n_30033),
+	.Y(n_11707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620382 (
+	.A1(n_6286),
+	.A2(n_30347),
+	.B1(n_6220),
+	.B2(n_30348),
+	.Y(n_11706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620383 (
+	.A1(n_6083),
+	.A2(n_30345),
+	.B1(n_6103),
+	.B2(n_30346),
+	.Y(n_11705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620384 (
+	.A1(n_11395),
+	.A2(n_30094),
+	.B1(n_11544),
+	.B2(n_30096),
+	.Y(n_11704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620385 (
+	.A1(n_11341),
+	.A2(n_30105),
+	.B1(n_11493),
+	.B2(n_30106),
+	.Y(n_11703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620386 (
+	.A1(n_6121),
+	.A2(n_30342),
+	.B1(n_6293),
+	.B2(n_30341),
+	.Y(n_11702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620387 (
+	.A1(n_11537),
+	.A2(n_30037),
+	.B1(n_11544),
+	.B2(n_30040),
+	.Y(n_11701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620388 (
+	.A1(n_6108),
+	.A2(n_30351),
+	.B1(n_6122),
+	.B2(n_30352),
+	.Y(n_11700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620389 (
+	.A1(n_11396),
+	.A2(n_30043),
+	.B1(n_11393),
+	.B2(n_30297),
+	.Y(n_11699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620390 (
+	.A1(n_6237),
+	.A2(n_30353),
+	.B1(n_6195),
+	.B2(n_30354),
+	.Y(n_11698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620391 (
+	.A1(n_11358),
+	.A2(n_33085),
+	.B1(n_11494),
+	.B2(n_33084),
+	.Y(n_11697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620392 (
+	.A1(n_6283),
+	.A2(n_30350),
+	.B1(n_6080),
+	.B2(n_30349),
+	.Y(n_11696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620393 (
+	.A1(n_11531),
+	.A2(n_30041),
+	.B1(n_11496),
+	.B2(n_30042),
+	.Y(n_11695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620394 (
+	.A1(n_11531),
+	.A2(n_30097),
+	.B1(n_11396),
+	.B2(n_30100),
+	.Y(n_11694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620395 (
+	.A1(n_11511),
+	.A2(n_29946),
+	.B1(n_11536),
+	.B2(n_29950),
+	.Y(n_11693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620396 (
+	.A1(n_11541),
+	.A2(n_30058),
+	.B1(n_11360),
+	.B2(n_30056),
+	.Y(n_11692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620397 (
+	.A1(n_11499),
+	.A2(n_29986),
+	.B1(n_11343),
+	.B2(n_29989),
+	.Y(n_11691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620398 (
+	.A1(n_11387),
+	.A2(n_30014),
+	.B1(n_11508),
+	.B2(n_29995),
+	.Y(n_11690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620399 (
+	.A1(n_11507),
+	.A2(n_29990),
+	.B1(n_11540),
+	.B2(n_29994),
+	.Y(n_11689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620400 (
+	.A1(n_11366),
+	.A2(n_30016),
+	.B1(n_11365),
+	.B2(n_30015),
+	.Y(n_11688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620401 (
+	.A1(n_11506),
+	.A2(n_30068),
+	.B1(n_11397),
+	.B2(n_30070),
+	.Y(n_11687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g620402 (
+	.A1(n_11534),
+	.A2(n_29987),
+	.B1(n_11368),
+	.B2(n_30017),
+	.X(n_11686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620403 (
+	.A1(n_11500),
+	.A2(n_29988),
+	.B1(n_11524),
+	.B2(n_30048),
+	.Y(n_11685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620404 (
+	.A1(n_11492),
+	.A2(n_30078),
+	.B1(n_11380),
+	.B2(n_30077),
+	.Y(n_11684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620405 (
+	.A1(n_11495),
+	.A2(n_30061),
+	.B1(n_11362),
+	.B2(n_30057),
+	.Y(n_11683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620406 (
+	.A1(n_11381),
+	.A2(n_30046),
+	.B1(n_11378),
+	.B2(n_30045),
+	.Y(n_11682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620407 (
+	.A1(n_11339),
+	.A2(n_30071),
+	.B1(n_11361),
+	.B2(n_30074),
+	.Y(n_11681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g620408 (
+	.A1(n_11640),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [50]),
+	.B1(n_11485),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [49]),
+	.Y(n_11680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620409 (
+	.A1(n_11340),
+	.A2(n_30065),
+	.B1(n_11371),
+	.B2(n_30067),
+	.Y(n_11679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g620410 (
+	.A1(n_11483),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [51]),
+	.B1(n_11398),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [58]),
+	.Y(n_11678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620411 (
+	.A1(n_11541),
+	.A2(n_30003),
+	.B1(n_11362),
+	.B2(n_30024),
+	.Y(n_11677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620412 (
+	.A1(n_11356),
+	.A2(n_30007),
+	.B1(n_11344),
+	.B2(n_30006),
+	.Y(n_11676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620413 (
+	.A1(n_11348),
+	.A2(n_29992),
+	.B1(n_11521),
+	.B2(n_30002),
+	.Y(n_11675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g620414 (
+	.A1(n_11504),
+	.A2(n_30005),
+	.B1(n_11360),
+	.B2(n_30004),
+	.Y(n_11674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g620415 (
+	.A1(n_6017),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[89]),
+	.B1(n_6019),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[57]),
+	.Y(n_326290_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g620416 (
+	.A1(n_6019),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[58]),
+	.B1(n_6018),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[122]),
+	.Y(n_326272_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g620417 (
+	.A1(n_6019),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[60]),
+	.B1(n_6018),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[124]),
+	.Y(n_326236_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g620418 (
+	.A1(n_6019),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[61]),
+	.B1(n_6018),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[125]),
+	.Y(n_326218_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g620419 (
+	.A1(n_6019),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[62]),
+	.B1(n_6018),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[126]),
+	.Y(n_326201_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g620420 (
+	.A1(n_6017),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[95]),
+	.B1(n_6019),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[63]),
+	.Y(n_326183_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g620421 (
+	.A1(n_6017),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[88]),
+	.B1(n_6018),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[120]),
+	.Y(n_326308_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g620422 (
+	.A1(n_6017),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[91]),
+	.B1(n_6018),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[123]),
+	.Y(n_326254_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g620423 (
+	.A(n_29875),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [5]),
+	.X(n_11673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g620424 (
+	.A(brqrv_top_brqrv_exu_mp_btag[3]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [3]),
+	.Y(n_11672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g620425 (
+	.A(brqrv_top_brqrv_exu_mp_btag[2]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [2]),
+	.Y(n_11671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g620426 (
+	.A(brqrv_top_brqrv_exu_mp_btag[1]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [1]),
+	.X(n_11670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g620427 (
+	.A(brqrv_top_brqrv_exu_mp_btag[0]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [0]),
+	.Y(n_11669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g620428 (
+	.A(n_29873),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_p1_f [4]),
+	.Y(n_11668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g620429 (
+	.A(n_29875),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_p1_f [5]),
+	.Y(n_11667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g620430 (
+	.A(brqrv_top_brqrv_exu_mp_btag[1]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_p1_f [1]),
+	.X(n_11666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g620431 (
+	.A(brqrv_top_brqrv_exu_mp_btag[0]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_p1_f [0]),
+	.X(n_11665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g620432 (
+	.A(brqrv_top_brqrv_exu_mp_btag[2]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_p1_f [2]),
+	.Y(n_11664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g620433 (
+	.A(n_11336),
+	.B(brqrv_top_iccm_instr_addr[3]),
+	.Y(n_30916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g620434 (
+	.A_N(n_29980),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [83]),
+	.Y(n_11663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g620435 (
+	.A(n_6017),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[94]),
+	.Y(n_32101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g620436 (
+	.A(n_6017),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[93]),
+	.Y(n_32100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g620437 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_vwayhit_f [0]),
+	.B(n_39182),
+	.X(n_32248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g620438 (
+	.A(n_11529),
+	.B(n_30112),
+	.X(n_11662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g620439 (
+	.A(n_11336),
+	.B(brqrv_top_iccm_instr_addr[2]),
+	.Y(n_30909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g620440 (
+	.A(n_11336),
+	.B(brqrv_top_iccm_instr_addr[1]),
+	.Y(n_30908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g620441 (
+	.A(n_11336),
+	.B(brqrv_top_iccm_instr_addr[10]),
+	.Y(n_30917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g620442 (
+	.A(n_11336),
+	.B(brqrv_top_iccm_instr_addr[9]),
+	.Y(n_30911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g620443 (
+	.A(n_11336),
+	.B(brqrv_top_iccm_instr_addr[4]),
+	.Y(n_30915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g620444 (
+	.A(n_30138),
+	.B_N(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [113]),
+	.Y(n_11661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g620445 (
+	.A(n_11336),
+	.B(brqrv_top_iccm_instr_addr[7]),
+	.Y(n_30912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g620446 (
+	.A(n_11336),
+	.B(brqrv_top_iccm_instr_addr[8]),
+	.Y(n_30910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g620447 (
+	.A(n_11336),
+	.B(brqrv_top_iccm_instr_addr[6]),
+	.Y(n_30913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g620448 (
+	.A(n_11336),
+	.B(brqrv_top_iccm_instr_addr[5]),
+	.Y(n_30914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g620449 (
+	.A(n_6019),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[56]),
+	.Y(n_32095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g620450 (
+	.A(n_6019),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[59]),
+	.Y(n_32098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g620451 (
+	.A(n_6017),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[90]),
+	.Y(n_32097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g620452 (
+	.A(n_6017),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[92]),
+	.Y(n_32099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g620453 (
+	.A(brqrv_top_brqrv_dec_decode_i0_dec_n_483),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[3]),
+	.Y(n_30531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g620454 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_predpipe_x[7]),
+	.Y(n_32460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g620455 (
+	.A_N(n_30084),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [18]),
+	.Y(n_11660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g620456 (
+	.A(n_6018),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[127]),
+	.Y(n_32102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g620457 (
+	.A(n_11528),
+	.B(n_30110),
+	.X(n_11659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g620458 (
+	.A(n_11379),
+	.B(n_30111),
+	.X(n_11658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g620459 (
+	.A_N(n_30079),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [81]),
+	.Y(n_11657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g620460 (
+	.A(n_30049),
+	.B_N(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [56]),
+	.Y(n_11656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g620461 (
+	.A_N(n_30279),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [49]),
+	.Y(n_11655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g620462 (
+	.A(n_11364),
+	.B(n_30089),
+	.X(n_11654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g620463 (
+	.A(n_11501),
+	.B(n_30080),
+	.Y(n_11653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g620464 (
+	.A(n_11494),
+	.B(n_33027),
+	.Y(n_11652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g620465 (
+	.A_N(n_30064),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [31]),
+	.Y(n_11651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g620466 (
+	.A(n_6018),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[121]),
+	.Y(n_32096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g620467 (
+	.A(n_11342),
+	.B(n_30125),
+	.Y(n_11650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g620468 (
+	.A_N(n_30023),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [62]),
+	.Y(n_11649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g620469 (
+	.A(brqrv_top_brqrv_dec_tlu_dbg_halted),
+	.B(brqrv_top_brqrv_dec_tlu_dcsr[10]),
+	.Y(n_29908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g620470 (
+	.A(n_31833),
+	.B_N(brqrv_top_brqrv_dbg_cmd_wrdata[0]),
+	.Y(brqrv_top_brqrv_dec_decode_debug_fence_i), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g620471 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_predpipe_x[12]),
+	.Y(n_32461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g620472 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_predpipe_x[6]),
+	.Y(n_32462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g620473 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_predpipe_x[11]),
+	.Y(n_31310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g620474 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_predpipe_x[9]),
+	.Y(n_32458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g620475 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_predpipe_x[10]),
+	.Y(n_31311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g620476 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_predpipe_x[8]),
+	.Y(n_32459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620552 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [68]),
+	.Y(n_11573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620581 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [11]),
+	.Y(n_11544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620582 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [91]),
+	.Y(n_11543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g620583 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [47]),
+	.Y(n_11542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620584 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [70]),
+	.Y(n_11541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620585 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [41]),
+	.Y(n_11540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620586 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [86]),
+	.Y(n_11539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g620587 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [62]),
+	.Y(n_11538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620588 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [14]),
+	.Y(n_11537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620589 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [103]),
+	.Y(n_11536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620590 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [102]),
+	.Y(n_11535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620591 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [45]),
+	.Y(n_11534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620592 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [64]),
+	.Y(n_11533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620593 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [36]),
+	.Y(n_11532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620594 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [10]),
+	.Y(n_11531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620595 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [125]),
+	.Y(n_11530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620596 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [100]),
+	.Y(n_11529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620597 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [98]),
+	.Y(n_11528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620598 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [77]),
+	.Y(n_11527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g620599 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [20]),
+	.Y(n_11526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g620600 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [92]),
+	.Y(n_11525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620601 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [57]),
+	.Y(n_11524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g620602 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [52]),
+	.Y(n_11523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620603 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [17]),
+	.Y(n_11522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620604 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [71]),
+	.Y(n_11521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620605 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [3]),
+	.Y(n_11520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g620606 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [39]),
+	.Y(n_11519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g620607 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [104]),
+	.Y(n_11518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g620608 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [15]),
+	.Y(n_11517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g620609 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [53]),
+	.Y(n_11516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620610 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [84]),
+	.Y(n_11515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g620611 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [72]),
+	.Y(n_11514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620612 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [89]),
+	.Y(n_11513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620613 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [76]),
+	.Y(n_11512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g620614 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [106]),
+	.Y(n_11511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620615 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [79]),
+	.Y(n_11510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g620616 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [48]),
+	.Y(n_11509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620617 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [40]),
+	.Y(n_11508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620618 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [42]),
+	.Y(n_11507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g620619 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [28]),
+	.Y(n_11506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620620 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [80]),
+	.Y(n_11505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620621 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [67]),
+	.Y(n_11504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620622 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [54]),
+	.Y(n_11503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g620623 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [38]),
+	.Y(n_11502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620624 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [21]),
+	.Y(n_11501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620625 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [44]),
+	.Y(n_11500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620626 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [46]),
+	.Y(n_11499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620627 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [127]),
+	.Y(n_11498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620628 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [18]),
+	.Y(n_11497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620629 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [9]),
+	.Y(n_11496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g620630 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [73]),
+	.Y(n_11495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620631 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [5]),
+	.Y(n_11494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620632 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [94]),
+	.Y(n_11493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620633 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [22]),
+	.Y(n_11492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g620659 (
+	.A(brqrv_top_brqrv_dec_tlu_ebreak_to_debug_mode_r_BAR),
+	.Y(n_11466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620660 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[7]),
+	.Y(n_11465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620707 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[3]),
+	.Y(n_11418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g620728 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [27]),
+	.Y(n_11397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620729 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [8]),
+	.Y(n_11396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620730 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [12]),
+	.Y(n_11395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620731 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [87]),
+	.Y(n_11394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620732 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [7]),
+	.Y(n_11393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620733 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [124]),
+	.Y(n_11392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620734 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [123]),
+	.Y(n_11391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g620735 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [114]),
+	.Y(n_11390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g620736 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [95]),
+	.Y(n_11389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620737 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [122]),
+	.Y(n_11388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620738 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [35]),
+	.Y(n_11387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g620739 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [109]),
+	.Y(n_11386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620740 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [107]),
+	.Y(n_11385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620741 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [96]),
+	.Y(n_11384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g620742 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [97]),
+	.Y(n_11383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620743 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [101]),
+	.Y(n_11382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620744 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [59]),
+	.Y(n_11381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g620745 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [23]),
+	.Y(n_11380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620746 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [99]),
+	.Y(n_11379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620747 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [60]),
+	.Y(n_11378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g620748 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [110]),
+	.Y(n_11377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620749 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [16]),
+	.Y(n_11376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g620750 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [75]),
+	.Y(n_11375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g620751 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [2]),
+	.Y(n_11374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g620752 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [118]),
+	.Y(n_11373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g620753 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [119]),
+	.Y(n_11372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620754 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [29]),
+	.Y(n_11371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620755 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [25]),
+	.Y(n_11370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620756 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [13]),
+	.Y(n_11369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g620757 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [32]),
+	.Y(n_11368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620758 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [4]),
+	.Y(n_11367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g620759 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [33]),
+	.Y(n_11366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620760 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [34]),
+	.Y(n_11365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620761 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [85]),
+	.Y(n_11364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g620762 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [90]),
+	.Y(n_11363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620763 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [69]),
+	.Y(n_11362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620764 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [24]),
+	.Y(n_11361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620765 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [68]),
+	.Y(n_11360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g620766 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_p1_f [3]),
+	.Y(n_11359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620767 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [6]),
+	.Y(n_11358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620768 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [108]),
+	.Y(n_11357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620769 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [65]),
+	.Y(n_11356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620770 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [19]),
+	.Y(n_11355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620771 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [112]),
+	.Y(n_11354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620772 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [105]),
+	.Y(n_11353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620773 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [120]),
+	.Y(n_11352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620774 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [61]),
+	.Y(n_11351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620775 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [126]),
+	.Y(n_11350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620776 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [115]),
+	.Y(n_11349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620777 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [78]),
+	.Y(n_11348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620778 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [55]),
+	.Y(n_11347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620779 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [74]),
+	.Y(n_11346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620780 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [63]),
+	.Y(n_11345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620781 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [66]),
+	.Y(n_11344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620782 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [43]),
+	.Y(n_11343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620783 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [121]),
+	.Y(n_11342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620784 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [93]),
+	.Y(n_11341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g620785 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [30]),
+	.Y(n_11340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g620786 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [26]),
+	.Y(n_11339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675490 (
+	.A(n_11335),
+	.B(n_11332),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g675491 (
+	.A(n_11335),
+	.B(n_11331),
+	.C(n_11326),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g675492 (
+	.A1(n_11312),
+	.A2(n_11326),
+	.B1(n_11333),
+	.C1(n_11334),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g675493 (
+	.A1(n_11316),
+	.A2(n_11327),
+	.B1(n_11335),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g675494 (
+	.A1(n_11310),
+	.A2(n_11326),
+	.B1(n_11299),
+	.B2(n_11329),
+	.C1(n_11325),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g675495 (
+	.A_N(n_11329),
+	.B(n_11308),
+	.C(n_11299),
+	.Y(n_11335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32a_1 g675496 (
+	.A1(n_11298),
+	.A2(n_11310),
+	.A3(n_11327),
+	.B1(n_11308),
+	.B2(n_11329),
+	.X(n_11334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g675497 (
+	.A1(n_11315),
+	.A2(n_11323),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable ),
+	.Y(n_11333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g675498 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable ),
+	.A2(n_11323),
+	.B1(n_11328),
+	.Y(n_11332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g675499 (
+	.A1(n_11324),
+	.A2(n_11313),
+	.B1(n_11330),
+	.Y(n_11331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g675500 (
+	.A(n_8721),
+	.B(n_11322),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_in [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g675501 (
+	.A(n_11199),
+	.B(n_11322),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_in [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g675502 (
+	.A(n_11218),
+	.B(n_11322),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_in [5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g675503 (
+	.A1(n_11320),
+	.A2(n_11321),
+	.B1(n_11319),
+	.Y(n_11330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g675504 (
+	.A(n_9946),
+	.B(n_11322),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_in [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g675505 (
+	.A(n_11229),
+	.B(n_11322),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_in [6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g675506 (
+	.A1(n_11314),
+	.A2(n_11299),
+	.B1_N(n_11324),
+	.Y(n_11328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g675507 (
+	.A(n_10303),
+	.B(n_11322),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_in [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g675508 (
+	.A(n_11158),
+	.B(n_11322),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_in [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g675509 (
+	.A_N(n_11327),
+	.B(n_11310),
+	.Y(n_11329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675510 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable ),
+	.B(n_11309),
+	.Y(n_11325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675511 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable ),
+	.B(n_11312),
+	.Y(n_11327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675512 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable ),
+	.B(n_11316),
+	.Y(n_11326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g675513 (
+	.A(n_11312),
+	.B(n_11319),
+	.Y(n_11324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g675514 (
+	.A1(n_11300),
+	.A2(n_11309),
+	.A3(n_11312),
+	.B1(n_11320),
+	.Y(n_11323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111o_1 g675515 (
+	.A1(n_8518),
+	.A2(n_8350),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_finish_ff ),
+	.C1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable ),
+	.D1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_finish ),
+	.X(n_11322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675516 (
+	.A(n_11317),
+	.B(n_11309),
+	.Y(n_11321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g675517 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable ),
+	.Y(n_11319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g675518 (
+	.A1(n_11315),
+	.A2(n_11300),
+	.B1(n_11318),
+	.Y(n_11320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g675519 (
+	.A1(n_11315),
+	.A2(n_11311),
+	.B1(n_11306),
+	.B2(n_11265),
+	.C1(n_8518),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g675520 (
+	.A(n_11298),
+	.B(n_11312),
+	.C(n_11314),
+	.Y(n_11318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g675521 (
+	.A1(n_11311),
+	.A2(n_11313),
+	.B1(n_11295),
+	.B2(n_11298),
+	.Y(n_11317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g675522 (
+	.A(n_11309),
+	.B_N(n_11300),
+	.Y(n_11316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g675523 (
+	.A(n_11309),
+	.B(n_11310),
+	.Y(n_11315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675524 (
+	.A(n_11310),
+	.B(n_11309),
+	.Y(n_11314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g675525 (
+	.A(n_11297),
+	.B(n_11310),
+	.Y(n_11313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g675526 (
+	.A(n_11312),
+	.Y(n_11311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675527 (
+	.A(n_11305),
+	.B(n_11307),
+	.Y(n_11312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g675528 (
+	.A(n_11309),
+	.Y(n_11308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675529 (
+	.A(n_11307),
+	.B(n_11302),
+	.Y(n_11310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675530 (
+	.A(n_11307),
+	.B(n_11304),
+	.Y(n_11309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g675531 (
+	.A(n_11265),
+	.B(n_11306),
+	.X(n_11307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g675532 (
+	.A(n_11278),
+	.B(n_11266),
+	.CIN(n_11303),
+	.COUT(n_11306),
+	.SUM(n_11305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g675533 (
+	.A(n_11279),
+	.B(n_11287),
+	.CIN(n_11301),
+	.COUT(n_11303),
+	.SUM(n_11304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g675534 (
+	.A(n_11288),
+	.B(n_11291),
+	.CIN(n_11296),
+	.COUT(n_11301),
+	.SUM(n_11302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g675535 (
+	.A(n_11295),
+	.B(n_11297),
+	.Y(n_11300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675536 (
+	.A(n_11297),
+	.B(n_11295),
+	.Y(n_11299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g675537 (
+	.A(n_11298),
+	.Y(n_11297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g675538 (
+	.A(n_11284),
+	.B(n_11292),
+	.CIN(n_11294),
+	.COUT(n_11296),
+	.SUM(n_11298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g675539 (
+	.A1(n_11271),
+	.A2(n_11293),
+	.B1_N(n_11294),
+	.Y(n_11295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g675540 (
+	.A(n_11293),
+	.B(n_11271),
+	.X(n_11294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675541 (
+	.A(n_11289),
+	.B(n_11268),
+	.Y(n_11293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g675542 (
+	.A(n_11291),
+	.Y(n_11292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675543 (
+	.A(n_11290),
+	.B(n_11268),
+	.Y(n_11291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g675544 (
+	.A1(n_11285),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.B1(n_11186),
+	.Y(n_11290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g675545 (
+	.A1(n_11286),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.B1(n_11171),
+	.Y(n_11289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g675546 (
+	.A(n_11275),
+	.B(n_11281),
+	.COUT(n_11287),
+	.SUM(n_11288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g675547 (
+	.A(n_11283),
+	.B(n_11231),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [25]),
+	.D(n_9741),
+	.Y(n_11286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g675548 (
+	.A(n_11282),
+	.B(n_11214),
+	.C(n_9741),
+	.D(n_11192),
+	.Y(n_11285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g675549 (
+	.A1(n_11280),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.B1(n_11215),
+	.Y(n_11284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4bb_1 g675550 (
+	.A(n_11169),
+	.B(n_11257),
+	.C_N(n_11192),
+	.D_N(n_11272),
+	.Y(n_11283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g675551 (
+	.A(n_11152),
+	.B(n_11227),
+	.C(n_11257),
+	.D(n_11273),
+	.Y(n_11282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g675552 (
+	.A(n_10908),
+	.B(n_11208),
+	.C(n_11277),
+	.Y(n_11281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g675553 (
+	.A(n_9950),
+	.B(n_11184),
+	.C(n_11211),
+	.D(n_11269),
+	.X(n_11280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g675554 (
+	.A1(n_11274),
+	.A2(n_11260),
+	.B1(n_11278),
+	.Y(n_11279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g675555 (
+	.A(n_11260),
+	.B(n_11274),
+	.Y(n_11278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g675556 (
+	.A1(n_8252),
+	.A2(n_11134),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.B2(n_11135),
+	.C1(n_11276),
+	.Y(n_11277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 g675557 (
+	.A1(n_8147),
+	.A2(n_11235),
+	.A3(n_11239),
+	.A4(n_11258),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.Y(n_11276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g675558 (
+	.A1(n_8254),
+	.A2(n_11247),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.B2(n_9217),
+	.C1(n_11270),
+	.Y(n_11275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o311ai_1 g675559 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [12]),
+	.A2(n_8291),
+	.A3(n_11167),
+	.B1(n_11174),
+	.C1(n_11267),
+	.Y(n_11273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g675560 (
+	.A(n_10302),
+	.B(n_11141),
+	.C(n_11238),
+	.D(n_11262),
+	.Y(n_11272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g675561 (
+	.A(n_10129),
+	.B(n_11270),
+	.C(n_11253),
+	.X(n_11274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g675562 (
+	.A1(n_11263),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.B1(n_11212),
+	.Y(n_11271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g675563 (
+	.A(n_11145),
+	.B(n_11235),
+	.C(n_11251),
+	.D(n_11259),
+	.X(n_11269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g675564 (
+	.A1(n_11264),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.B1(n_9083),
+	.B2(n_8254),
+	.Y(n_11270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g675565 (
+	.A1(n_11254),
+	.A2(n_8519),
+	.A3(brqrv_top_brqrv_dec_tlu_mhpme6[5]),
+	.B1(n_9099),
+	.Y(n_368917_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g675566 (
+	.A1(n_11252),
+	.A2(n_8524),
+	.A3(brqrv_top_brqrv_dec_tlu_mhpme3[5]),
+	.B1(n_9098),
+	.Y(n_368911_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g675567 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [25]),
+	.A2(n_8200),
+	.B1(n_11256),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [1]),
+	.C1(n_11233),
+	.C2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [5]),
+	.Y(n_11267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g675568 (
+	.A1(n_11256),
+	.A2(n_8387),
+	.A3(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.B1(n_8869),
+	.Y(n_11268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g675569 (
+	.A1(n_11185),
+	.A2(n_11261),
+	.B1(n_11265),
+	.Y(n_11266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g675570 (
+	.A1(n_8267),
+	.A2(n_11250),
+	.B1(n_8415),
+	.B2(n_11139),
+	.C1(n_11174),
+	.Y(n_11264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g675571 (
+	.A(n_11261),
+	.B(n_11185),
+	.Y(n_11265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g675572 (
+	.A(n_10300),
+	.B(n_11242),
+	.C(n_11249),
+	.D(n_11251),
+	.X(n_11263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g675573 (
+	.A1_N(n_8253),
+	.A2_N(n_11256),
+	.B1(n_8441),
+	.B2(n_11139),
+	.Y(n_11262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675574 (
+	.A(n_11255),
+	.B(n_11202),
+	.Y(n_11261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675575 (
+	.A(n_11255),
+	.B(n_11216),
+	.Y(n_11260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g675576 (
+	.A(n_9925),
+	.B(n_11206),
+	.C(n_11246),
+	.X(n_11259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g675577 (
+	.A1(n_11241),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpme4[5]),
+	.B1(n_9326),
+	.Y(n_369092_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g675578 (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [4]),
+	.A2_N(n_11246),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [10]),
+	.B2(n_11209),
+	.Y(n_11258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g675581 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [3]),
+	.B(n_11250),
+	.Y(n_11257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g675582 (
+	.A(n_8176),
+	.B(n_11250),
+	.Y(n_11256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g675583 (
+	.A1(n_31068),
+	.A2(brqrv_top_brqrv_dec_tlu_mstatus[0]),
+	.B1(n_11244),
+	.Y(n_11254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g675584 (
+	.A1(n_9111),
+	.A2(n_8804),
+	.A3(n_8378),
+	.B1(n_11248),
+	.Y(n_342677_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g675585 (
+	.A1(n_10302),
+	.A2(n_11152),
+	.A3(n_11230),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.Y(n_11253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g675586 (
+	.A1(n_31065),
+	.A2(brqrv_top_brqrv_dec_tlu_mstatus[0]),
+	.B1(n_11243),
+	.Y(n_11252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g675587 (
+	.A1(n_11234),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.A3(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [7]),
+	.B1(n_11189),
+	.Y(n_11255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g675588 (
+	.A(n_11234),
+	.B(n_8189),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [6]),
+	.X(n_11249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g675589 (
+	.A_N(n_32253),
+	.B(n_11236),
+	.Y(n_31946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g675590 (
+	.A(n_31108),
+	.B(n_11237),
+	.Y(n_11248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g675591 (
+	.A(n_11222),
+	.B(n_8834),
+	.C(brqrv_top_brqrv_dec_tlu_mhpme5[5]),
+	.D(n_8255),
+	.Y(n_30904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_1 g675592 (
+	.A_N(n_11207),
+	.B(n_11231),
+	.C(n_11214),
+	.D(n_9741),
+	.X(n_11247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g675593 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [27]),
+	.A2(n_8927),
+	.B1_N(n_11239),
+	.Y(n_11251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g675594 (
+	.A(n_11225),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [7]),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [4]),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [5]),
+	.Y(n_11250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g675595 (
+	.A1(n_32267),
+	.A2(n_8789),
+	.A3(n_11224),
+	.B1(n_9063),
+	.B2(n_32706),
+	.Y(n_11245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g675596 (
+	.A1(n_8384),
+	.A2(n_11220),
+	.B1(n_8511),
+	.B2(n_8814),
+	.C1(n_9181),
+	.C2(n_8809),
+	.Y(n_11244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g675597 (
+	.A1(n_8373),
+	.A2(n_11221),
+	.B1(n_8511),
+	.B2(n_8812),
+	.C1(n_9181),
+	.C2(n_8806),
+	.Y(n_11243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g675598 (
+	.A(n_11175),
+	.B(n_11201),
+	.C(n_11211),
+	.D(n_8147),
+	.X(n_11242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g675599 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpme4[0]),
+	.A2(n_11232),
+	.B1(n_8523),
+	.B2(n_9629),
+	.Y(n_11241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g675600 (
+	.A1(n_32275),
+	.A2(n_8791),
+	.A3(n_11224),
+	.B1(n_9055),
+	.B2(n_32706),
+	.Y(n_11240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g675601 (
+	.A(n_11234),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [5]),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [6]),
+	.X(n_11246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g675602 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [5]),
+	.A2(n_11226),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [13]),
+	.B2(n_11167),
+	.Y(n_11238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g675603 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [7]),
+	.B_N(n_11234),
+	.Y(n_11239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g675604 (
+	.A1(n_32257),
+	.A2(n_11224),
+	.B1(n_8479),
+	.B2(n_32706),
+	.X(n_11237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g675605 (
+	.A1(n_31099),
+	.A2(n_11224),
+	.B1(n_8362),
+	.B2(n_32706),
+	.Y(n_11236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g675606 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [4]),
+	.B(n_11226),
+	.Y(n_11233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675607 (
+	.A(n_11223),
+	.B(n_8805),
+	.Y(n_11232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g675608 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [8]),
+	.B(n_11228),
+	.Y(n_11235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g675609 (
+	.A(n_8295),
+	.B(n_11228),
+	.Y(n_11234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g675610 (
+	.A1(n_8195),
+	.A2(n_8146),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [22]),
+	.B2(n_9742),
+	.Y(n_11230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g675611 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_ff [6]),
+	.B(n_11217),
+	.X(n_11229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g675612 (
+	.A(n_11213),
+	.B(n_8356),
+	.C(n_8411),
+	.Y(n_31050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g675613 (
+	.A1(n_11204),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [10]),
+	.B1(n_11227),
+	.Y(n_11231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g675614 (
+	.A(n_11225),
+	.Y(n_11226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g675615 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_done),
+	.B(n_11213),
+	.Y(lsu_axi_wvalid), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675616 (
+	.A(n_11219),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [9]),
+	.Y(n_11228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g675617 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [7]),
+	.B(n_8146),
+	.Y(n_11227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g675619 (
+	.A(n_8146),
+	.B_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [6]),
+	.Y(n_11225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g675620 (
+	.A1(n_8479),
+	.A2(n_11210),
+	.B1(n_32257),
+	.B2(n_9188),
+	.Y(n_11223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g675621 (
+	.A1(n_8362),
+	.A2(n_11210),
+	.B1(n_31099),
+	.B2(n_9188),
+	.Y(n_11222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g675622 (
+	.A1(n_8484),
+	.A2(n_11210),
+	.B1(n_32267),
+	.B2(n_9188),
+	.Y(n_11221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g675623 (
+	.A1(n_8486),
+	.A2(n_11210),
+	.B1(n_32275),
+	.B2(n_9188),
+	.Y(n_11220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g675624 (
+	.A_N(n_11213),
+	.B(n_8411),
+	.Y(n_11224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g675626 (
+	.A(n_8296),
+	.B(n_8385),
+	.CIN(n_11198),
+	.COUT(n_11217),
+	.SUM(n_11218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g675627 (
+	.A(n_11180),
+	.B(n_11208),
+	.Y(n_11216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g675628 (
+	.A1(n_11196),
+	.A2(n_9949),
+	.A3(n_9059),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.Y(n_11215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g675629 (
+	.A(n_8297),
+	.B(n_11209),
+	.Y(n_11219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g675630 (
+	.A1(n_11195),
+	.A2(n_9949),
+	.A3(n_9059),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.Y(n_11212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g675631 (
+	.A(n_11203),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [10]),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [9]),
+	.Y(n_11214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g675632 (
+	.A(n_11205),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_valid),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_write),
+	.Y(n_11213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g675634 (
+	.A1(brqrv_top_brqrv_dec_tlu_force_halt),
+	.A2(n_31416),
+	.B1(n_32453),
+	.C1(n_11193),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_state_ff_n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g675635 (
+	.A1(brqrv_top_brqrv_dec_tlu_force_halt),
+	.A2(n_31412),
+	.B1(n_31301),
+	.C1(n_11194),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_state_ff_n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g675636 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [11]),
+	.B(n_8293),
+	.C(n_11191),
+	.Y(n_11211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g675637 (
+	.A_N(n_31420),
+	.B(n_11205),
+	.Y(n_11210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g675638 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [10]),
+	.A2(n_11200),
+	.B1(n_8417),
+	.B2(n_9240),
+	.Y(n_11207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g675639 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [28]),
+	.A2(n_8190),
+	.A3(n_8522),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [12]),
+	.B2(n_11191),
+	.Y(n_11206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g675640 (
+	.A_N(n_11191),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [12]),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [11]),
+	.Y(n_11209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g675641 (
+	.A1(n_8252),
+	.A2(n_11197),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.B2(n_9936),
+	.Y(n_11208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g675643 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [9]),
+	.B(n_11200),
+	.Y(n_11204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g675644 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [8]),
+	.B(n_11200),
+	.Y(n_11203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g675645 (
+	.A1(n_11137),
+	.A2(n_11182),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_valid),
+	.Y(n_11205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g675646 (
+	.A1(n_10121),
+	.A2(n_8252),
+	.B1(n_11173),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.C1(n_10908),
+	.Y(n_11202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g675647 (
+	.A1(brqrv_top_brqrv_dec_tlu_force_halt),
+	.A2(n_31410),
+	.B1(n_8144),
+	.C1(n_32440),
+	.D1(n_11183),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_state_ff_n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g675648 (
+	.A1(n_11188),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [14]),
+	.B1(n_10135),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [22]),
+	.C1(n_11147),
+	.X(n_11201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g675650 (
+	.A(n_8502),
+	.B(n_8543),
+	.CIN(n_11154),
+	.COUT(n_11198),
+	.SUM(n_11199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g675651 (
+	.A1(n_10906),
+	.A2(n_8540),
+	.A3(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [19]),
+	.B1(n_11184),
+	.Y(n_11197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g675652 (
+	.A(n_8632),
+	.B(n_9733),
+	.C(n_11181),
+	.D(n_8669),
+	.Y(n_261167_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g675653 (
+	.A1(n_11146),
+	.A2(n_30518),
+	.B1(n_8471),
+	.C1(n_8404),
+	.D1(n_9228),
+	.Y(brqrv_top_brqrv_dec_decode_ps_stall_in), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g675654 (
+	.A(n_9455),
+	.B(n_11176),
+	.C(n_11153),
+	.Y(n_11196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g675655 (
+	.A(n_9453),
+	.B(n_9452),
+	.C(n_11140),
+	.D(n_8142),
+	.Y(n_11195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g675656 (
+	.A1(n_11162),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[4]),
+	.B1(n_11190),
+	.Y(n_11194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g675657 (
+	.A1(n_11160),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[7]),
+	.B1(n_11187),
+	.Y(n_11193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g675658 (
+	.A_N(n_11167),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [13]),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [12]),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [11]),
+	.Y(n_11200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g675659 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[6]),
+	.A2_N(n_11160),
+	.B1(n_31805),
+	.B2(n_11178),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_state_ff_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g675660 (
+	.A1(n_31864),
+	.A2(n_11168),
+	.B1(n_10125),
+	.C1(n_11165),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_state_ff_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g675661 (
+	.A(n_11170),
+	.B(n_32364),
+	.C(n_8183),
+	.Y(n_31087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g675662 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[8]),
+	.A2_N(n_11160),
+	.B1(n_31081),
+	.B2(n_11178),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_state_ff_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g675663 (
+	.A1(n_9124),
+	.A2(n_11150),
+	.B1(n_31085),
+	.B2(n_11168),
+	.C1(n_11164),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_state_ff_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g675664 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[5]),
+	.A2_N(n_11162),
+	.B1(n_31083),
+	.B2(n_11179),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_state_ff_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g675665 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[3]),
+	.A2_N(n_11162),
+	.B1(n_31865),
+	.B2(n_11179),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_state_ff_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g675666 (
+	.A(n_11177),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [13]),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [12]),
+	.Y(n_11192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g675667 (
+	.A(n_11173),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [14]),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [13]),
+	.Y(n_11191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g675668 (
+	.A1(n_31083),
+	.A2(n_31865),
+	.B1(n_11179),
+	.Y(n_11190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g675669 (
+	.A1(n_11163),
+	.A2(n_10907),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.Y(n_11189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g675670 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [13]),
+	.B_N(n_11173),
+	.Y(n_11188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g675671 (
+	.A1(n_31081),
+	.A2(n_31805),
+	.B1(n_11178),
+	.Y(n_11187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g675672 (
+	.A1(n_11143),
+	.A2(n_8407),
+	.A3(n_8425),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.Y(n_11186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g675673 (
+	.A1(n_11150),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[1]),
+	.B1(n_11172),
+	.Y(n_11183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g675674 (
+	.A1(n_8696),
+	.A2(n_11148),
+	.B1(n_31419),
+	.B2(n_11131),
+	.C1(n_11156),
+	.Y(n_11182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g675675 (
+	.A(n_11166),
+	.B(n_8628),
+	.C(n_8828),
+	.D(n_8629),
+	.Y(n_11181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g675676 (
+	.A1(n_8252),
+	.A2(n_11155),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.B2(n_9934),
+	.Y(n_11180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g675677 (
+	.A1(n_11144),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [15]),
+	.A3(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.B1(n_10126),
+	.B2(n_8254),
+	.Y(n_11185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g675678 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [16]),
+	.A2(n_11151),
+	.B1_N(n_11175),
+	.Y(n_11184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g675679 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [11]),
+	.B(n_11167),
+	.Y(n_11177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675680 (
+	.A(n_11157),
+	.B(n_31386),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g675681 (
+	.A(n_11132),
+	.B(n_9923),
+	.C(n_9301),
+	.D(n_9924),
+	.Y(n_11176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675682 (
+	.A(n_11161),
+	.B(n_8148),
+	.Y(n_11179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675683 (
+	.A(n_11159),
+	.B(n_8148),
+	.Y(n_11178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g675684 (
+	.A1(n_31085),
+	.A2(n_31864),
+	.B1(n_11168),
+	.Y(n_11172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a41oi_1 g675685 (
+	.A1(n_10821),
+	.A2(n_8407),
+	.A3(n_8851),
+	.A4(n_8425),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.Y(n_11171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g675686 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_sideeffect),
+	.B(n_9341),
+	.C(n_9333),
+	.D(n_11129),
+	.Y(n_11170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g675687 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [15]),
+	.B(n_8188),
+	.C(n_11151),
+	.Y(n_11175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g675688 (
+	.A(n_11142),
+	.B(n_11169),
+	.Y(n_11174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g675689 (
+	.A_N(n_11151),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [16]),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [15]),
+	.X(n_11173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g675690 (
+	.A1(brqrv_top_brqrv_dec_tlu_mstatus_ns[0]),
+	.A2(n_8180),
+	.B1(n_11130),
+	.C1(n_9223),
+	.Y(n_11166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675691 (
+	.A(n_11150),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[0]),
+	.Y(n_11165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675692 (
+	.A(n_11150),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[2]),
+	.Y(n_11164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g675693 (
+	.A1(n_9948),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [7]),
+	.B1(n_10301),
+	.C1(n_11153),
+	.Y(n_11163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g675694 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [15]),
+	.B_N(n_11144),
+	.Y(n_11169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675695 (
+	.A(n_11149),
+	.B(n_8148),
+	.Y(n_11168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675696 (
+	.A(n_11144),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [14]),
+	.Y(n_11167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g675697 (
+	.A(n_11161),
+	.Y(n_11162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g675698 (
+	.A(n_11159),
+	.Y(n_11160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g675700 (
+	.A(n_9458),
+	.B(n_10607),
+	.X(n_11158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g675701 (
+	.A1(n_10909),
+	.A2(n_8253),
+	.A3(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [3]),
+	.B1(n_8251),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [0]),
+	.Y(n_11157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g675702 (
+	.A(n_9336),
+	.B(n_10124),
+	.C(n_8685),
+	.D(n_10546),
+	.X(n_11156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g675703 (
+	.A1(n_8120),
+	.A2(n_8432),
+	.B1(n_11145),
+	.Y(n_11155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g675704 (
+	.A1(n_10607),
+	.A2(n_9131),
+	.B1(n_9242),
+	.Y(n_11154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g675705 (
+	.A(n_31301),
+	.B(n_10820),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_7539),
+	.D(n_31412),
+	.Y(n_11161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g675706 (
+	.A(n_32453),
+	.B(n_10819),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_7543),
+	.D(n_31416),
+	.Y(n_11159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g675707 (
+	.A(n_11149),
+	.Y(n_11150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675708 (
+	.A(n_11000),
+	.B(n_10677),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g675709 (
+	.A1(n_10038),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [341]),
+	.B1(n_9430),
+	.B2(n_9005),
+	.C1(n_10457),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g675710 (
+	.A(n_9317),
+	.B(n_11133),
+	.Y(n_369306_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g675711 (
+	.A(n_11127),
+	.B(n_8524),
+	.X(n_30998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675712 (
+	.A(n_11126),
+	.B(n_10724),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675713 (
+	.A(n_11125),
+	.B(n_10723),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675714 (
+	.A(n_11124),
+	.B(n_10620),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675715 (
+	.A(n_11123),
+	.B(n_10619),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675716 (
+	.A(n_11122),
+	.B(n_10708),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675717 (
+	.A(n_11121),
+	.B(n_10707),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675718 (
+	.A(n_11120),
+	.B(n_10706),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675719 (
+	.A(n_11119),
+	.B(n_10705),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675720 (
+	.A(n_11118),
+	.B(n_10599),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675721 (
+	.A(n_11117),
+	.B(n_10598),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675722 (
+	.A(n_11116),
+	.B(n_10704),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675723 (
+	.A(n_11115),
+	.B(n_10563),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675724 (
+	.A(n_11114),
+	.B(n_10562),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675725 (
+	.A(n_11113),
+	.B(n_10703),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675726 (
+	.A(n_11112),
+	.B(n_10583),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675727 (
+	.A(n_11111),
+	.B(n_10582),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675728 (
+	.A(n_11110),
+	.B(n_10581),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675729 (
+	.A(n_11109),
+	.B(n_10580),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675730 (
+	.A(n_11108),
+	.B(n_10702),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675731 (
+	.A(n_11107),
+	.B(n_10701),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675732 (
+	.A(n_11106),
+	.B(n_10597),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675733 (
+	.A(n_11105),
+	.B(n_10676),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675734 (
+	.A(n_11104),
+	.B(n_10675),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675735 (
+	.A(n_11103),
+	.B(n_10596),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675736 (
+	.A(n_11102),
+	.B(n_10700),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675737 (
+	.A(n_11101),
+	.B(n_10699),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675738 (
+	.A(n_11100),
+	.B(n_10698),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675739 (
+	.A(n_11099),
+	.B(n_10697),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675740 (
+	.A(n_11098),
+	.B(n_10674),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675741 (
+	.A(n_11097),
+	.B(n_10673),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g675742 (
+	.A(n_9622),
+	.B(n_10547),
+	.C(n_8597),
+	.Y(n_11148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675743 (
+	.A(n_11096),
+	.B(n_10561),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675744 (
+	.A(n_11095),
+	.B(n_10560),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675745 (
+	.A(n_11094),
+	.B(n_10579),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675746 (
+	.A(n_11093),
+	.B(n_10578),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675747 (
+	.A(n_11092),
+	.B(n_10577),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675748 (
+	.A(n_11091),
+	.B(n_10576),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675749 (
+	.A(n_11090),
+	.B(n_10595),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675750 (
+	.A(n_11089),
+	.B(n_10594),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675751 (
+	.A(n_11088),
+	.B(n_10559),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675752 (
+	.A(n_11087),
+	.B(n_10558),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g675753 (
+	.A(n_10113),
+	.B(n_8576),
+	.C(brqrv_top_brqrv_dec_tlu_mcgc_int[9]),
+	.D(n_8342),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_clk_enable_grp[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g675754 (
+	.A(n_10112),
+	.B(n_8574),
+	.C(brqrv_top_brqrv_dec_tlu_mcgc_int[9]),
+	.D(n_8352),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_clk_enable_grp[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675755 (
+	.A(n_11084),
+	.B(n_10696),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675756 (
+	.A(n_11083),
+	.B(n_10695),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675757 (
+	.A(n_11082),
+	.B(n_10694),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675758 (
+	.A(n_11081),
+	.B(n_10693),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g675759 (
+	.A(n_10111),
+	.B(n_8580),
+	.C(brqrv_top_brqrv_dec_tlu_mcgc_int[9]),
+	.D(n_8459),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_clk_enable_grp[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g675760 (
+	.A(n_10116),
+	.B(n_8573),
+	.C(brqrv_top_brqrv_dec_tlu_mcgc_int[9]),
+	.D(n_8443),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_clk_enable_grp[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675761 (
+	.A(n_11078),
+	.B(n_10593),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675762 (
+	.A(n_11077),
+	.B(n_10592),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g675763 (
+	.A(n_10109),
+	.B(n_8577),
+	.C(brqrv_top_brqrv_dec_tlu_mcgc_int[9]),
+	.D(n_8452),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_clk_enable_grp[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675764 (
+	.A(n_11076),
+	.B(n_10557),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675765 (
+	.A(n_11075),
+	.B(n_10556),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g675766 (
+	.A(n_10115),
+	.B(n_8570),
+	.C(brqrv_top_brqrv_dec_tlu_mcgc_int[9]),
+	.D(n_8461),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_clk_enable_grp[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675767 (
+	.A(n_11074),
+	.B(n_10862),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675768 (
+	.A(n_11073),
+	.B(n_10606),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675769 (
+	.A(n_11072),
+	.B(n_10618),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675770 (
+	.A(n_11071),
+	.B(n_10617),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675771 (
+	.A(n_11070),
+	.B(n_10616),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675772 (
+	.A(n_11069),
+	.B(n_10615),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675773 (
+	.A(n_11068),
+	.B(n_10722),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675774 (
+	.A(n_11067),
+	.B(n_10721),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675775 (
+	.A(n_11066),
+	.B(n_10692),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675776 (
+	.A(n_11065),
+	.B(n_10691),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g675777 (
+	.A(n_10114),
+	.B(n_8575),
+	.C(brqrv_top_brqrv_dec_tlu_mcgc_int[9]),
+	.D(n_8457),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_clk_enable_grp[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675778 (
+	.A(n_11064),
+	.B(n_10614),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675779 (
+	.A(n_11063),
+	.B(n_10613),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g675780 (
+	.A1(n_10047),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [146]),
+	.B1(n_9428),
+	.B2(n_9007),
+	.C1(n_10458),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675781 (
+	.A(n_11062),
+	.B(n_10690),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675782 (
+	.A(n_11061),
+	.B(n_10689),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675783 (
+	.A(n_11058),
+	.B(n_10612),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675784 (
+	.A(n_11057),
+	.B(n_10611),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675785 (
+	.A(n_11056),
+	.B(n_10591),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675786 (
+	.A(n_11055),
+	.B(n_10590),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675787 (
+	.A(n_11054),
+	.B(n_10720),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675788 (
+	.A(n_11053),
+	.B(n_10719),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675789 (
+	.A(n_11052),
+	.B(n_10555),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675790 (
+	.A(n_11051),
+	.B(n_10554),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675791 (
+	.A(n_11050),
+	.B(n_10605),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675792 (
+	.A(n_11049),
+	.B(n_10604),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675793 (
+	.A(n_11048),
+	.B(n_10736),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675794 (
+	.A(n_11047),
+	.B(n_10735),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675795 (
+	.A(n_11046),
+	.B(n_10652),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675796 (
+	.A(n_11045),
+	.B(n_10651),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675797 (
+	.A(n_11044),
+	.B(n_10688),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675798 (
+	.A(n_11043),
+	.B(n_10687),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675799 (
+	.A(n_11042),
+	.B(n_10650),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675800 (
+	.A(n_11041),
+	.B(n_10649),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675801 (
+	.A(n_11040),
+	.B(n_10686),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675802 (
+	.A(n_11039),
+	.B(n_10685),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675803 (
+	.A(n_11038),
+	.B(n_10718),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675804 (
+	.A(n_11037),
+	.B(n_10717),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675805 (
+	.A(n_11036),
+	.B(n_10610),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675806 (
+	.A(n_11035),
+	.B(n_10609),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675807 (
+	.A(n_11034),
+	.B(n_10608),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675808 (
+	.A(n_11033),
+	.B(n_10822),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675809 (
+	.A(n_11032),
+	.B(n_10575),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675810 (
+	.A(n_11031),
+	.B(n_10574),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675811 (
+	.A(n_11030),
+	.B(n_10672),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675812 (
+	.A(n_11029),
+	.B(n_10671),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675813 (
+	.A(n_11128),
+	.B(n_10573),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675814 (
+	.A(n_11136),
+	.B(n_10572),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g675815 (
+	.A1(n_10047),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [147]),
+	.B1(n_9428),
+	.B2(n_9011),
+	.C1(n_10459),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675816 (
+	.A(n_11138),
+	.B(n_10684),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675817 (
+	.A(n_10910),
+	.B(n_10683),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675818 (
+	.A(n_11027),
+	.B(n_10589),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675819 (
+	.A(n_11026),
+	.B(n_10588),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675820 (
+	.A(n_11025),
+	.B(n_10553),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675821 (
+	.A(n_11024),
+	.B(n_10552),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675822 (
+	.A(n_11021),
+	.B(n_10682),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675823 (
+	.A(n_11020),
+	.B(n_10681),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675824 (
+	.A(n_11019),
+	.B(n_10648),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675825 (
+	.A(n_11018),
+	.B(n_10647),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675826 (
+	.A(n_11017),
+	.B(n_10646),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675827 (
+	.A(n_11016),
+	.B(n_10645),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675828 (
+	.A(n_11015),
+	.B(n_10734),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675829 (
+	.A(n_11014),
+	.B(n_10733),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675830 (
+	.A(n_11013),
+	.B(n_10825),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675831 (
+	.A(n_11012),
+	.B(n_10828),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675832 (
+	.A(n_11011),
+	.B(n_10680),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675833 (
+	.A(n_11010),
+	.B(n_10679),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675834 (
+	.A(n_11007),
+	.B(n_10551),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675835 (
+	.A(n_11006),
+	.B(n_10550),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675836 (
+	.A(n_11005),
+	.B(n_10571),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675837 (
+	.A(n_11004),
+	.B(n_10570),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675838 (
+	.A(n_11003),
+	.B(n_10587),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675839 (
+	.A(n_11002),
+	.B(n_10586),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675840 (
+	.A(n_11001),
+	.B(n_10678),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g675841 (
+	.A1(n_10049),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [82]),
+	.B1(n_9428),
+	.B2(n_8971),
+	.C1(n_10460),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675842 (
+	.A(n_10999),
+	.B(n_10670),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675843 (
+	.A(n_10998),
+	.B(n_10669),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675844 (
+	.A(n_10997),
+	.B(n_10569),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675845 (
+	.A(n_10996),
+	.B(n_10568),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675846 (
+	.A(n_10993),
+	.B(n_10549),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675847 (
+	.A(n_10992),
+	.B(n_10548),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675848 (
+	.A(n_10991),
+	.B(n_10716),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675849 (
+	.A(n_10990),
+	.B(n_10715),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675850 (
+	.A(n_10989),
+	.B(n_10585),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675851 (
+	.A(n_10988),
+	.B(n_10584),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675852 (
+	.A(n_10987),
+	.B(n_10603),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675853 (
+	.A(n_10986),
+	.B(n_10602),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675854 (
+	.A(n_10985),
+	.B(n_10714),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675855 (
+	.A(n_10984),
+	.B(n_10713),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675856 (
+	.A(n_10983),
+	.B(n_10567),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675857 (
+	.A(n_10982),
+	.B(n_10566),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675858 (
+	.A(n_10981),
+	.B(n_10712),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675859 (
+	.A(n_10980),
+	.B(n_10711),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675860 (
+	.A(n_10979),
+	.B(n_10710),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675861 (
+	.A(n_10978),
+	.B(n_10709),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675862 (
+	.A(n_10977),
+	.B(n_10601),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675863 (
+	.A(n_10976),
+	.B(n_10600),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675864 (
+	.A(n_10975),
+	.B(n_10565),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g675865 (
+	.A(n_10974),
+	.B(n_10564),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g675866 (
+	.A1(n_10049),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [83]),
+	.B1(n_9428),
+	.B2(n_9005),
+	.C1(n_10461),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g675867 (
+	.A1_N(n_8713),
+	.A2_N(n_10906),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [29]),
+	.B2(n_8522),
+	.Y(n_11147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g675868 (
+	.A(brqrv_top_brqrv_dec_decode_debug_fence_i),
+	.B(n_33354),
+	.C(n_10824),
+	.Y(n_11146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g675869 (
+	.A(n_8849),
+	.B(n_31403),
+	.C(n_10132),
+	.D(n_31000),
+	.X(n_30893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g675870 (
+	.A1(n_10063),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [225]),
+	.B1(n_9409),
+	.B2(n_8973),
+	.C1(n_10545),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g675871 (
+	.A1(n_10063),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [224]),
+	.B1(n_9409),
+	.B2(n_8975),
+	.C1(n_10544),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g675872 (
+	.A1(n_10062),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [481]),
+	.B1(n_9412),
+	.B2(n_8973),
+	.C1(n_10543),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g675873 (
+	.A1(n_10062),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [480]),
+	.B1(n_9412),
+	.B2(n_8975),
+	.C1(n_10542),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g675874 (
+	.A1(n_10000),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [1]),
+	.B1(n_9409),
+	.B2(n_8963),
+	.C1(n_10509),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g675875 (
+	.A1(n_10000),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [0]),
+	.B1(n_9409),
+	.B2(n_8959),
+	.C1(n_10508),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g675876 (
+	.A1(n_9979),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [257]),
+	.B1(n_9412),
+	.B2(n_8963),
+	.C1(n_10507),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g675877 (
+	.A1(n_9979),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [256]),
+	.B1(n_9412),
+	.B2(n_8959),
+	.C1(n_10506),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g675878 (
+	.A1(n_10052),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [495]),
+	.B1(n_9421),
+	.B2(n_8973),
+	.C1(n_10501),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g675879 (
+	.A1(n_10052),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [494]),
+	.B1(n_9421),
+	.B2(n_8975),
+	.C1(n_10500),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g675880 (
+	.A1(n_10014),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [239]),
+	.B1(n_9425),
+	.B2(n_8973),
+	.C1(n_10499),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g675881 (
+	.A1(n_10014),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [238]),
+	.B1(n_9425),
+	.B2(n_8975),
+	.C1(n_10498),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g675882 (
+	.A1(n_10010),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [247]),
+	.B1(n_9417),
+	.B2(n_8973),
+	.C1(n_10497),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g675883 (
+	.A1(n_10010),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [246]),
+	.B1(n_9417),
+	.B2(n_8975),
+	.C1(n_10496),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g675884 (
+	.A1(n_9992),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [503]),
+	.B1(n_9419),
+	.B2(n_8973),
+	.C1(n_10495),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g675885 (
+	.A1(n_9992),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [502]),
+	.B1(n_9419),
+	.B2(n_8975),
+	.C1(n_10494),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g675886 (
+	.A1(n_10028),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [103]),
+	.B1(n_9587),
+	.B2(n_9001),
+	.C1(n_10493),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g675887 (
+	.A1(n_10028),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [102]),
+	.B1(n_9587),
+	.B2(n_8997),
+	.C1(n_10492),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g675888 (
+	.A1(n_10018),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [167]),
+	.B1(n_9587),
+	.B2(n_8999),
+	.C1(n_10491),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g675889 (
+	.A1(n_10018),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [166]),
+	.B1(n_9587),
+	.B2(n_8995),
+	.C1(n_10490),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g675890 (
+	.A1(n_9998),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [423]),
+	.B1(n_9588),
+	.B2(n_8999),
+	.C1(n_10489),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g675891 (
+	.A1(n_9998),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [422]),
+	.B1(n_9588),
+	.B2(n_8995),
+	.C1(n_10488),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g675892 (
+	.A1(n_9995),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [359]),
+	.B1(n_9588),
+	.B2(n_9001),
+	.C1(n_10487),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g675893 (
+	.A1(n_9995),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [358]),
+	.B1(n_9588),
+	.B2(n_8997),
+	.C1(n_10486),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g675894 (
+	.A1(n_10053),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [271]),
+	.B1(n_9421),
+	.B2(n_8963),
+	.C1(n_10485),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g675895 (
+	.A1(n_10053),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [270]),
+	.B1(n_9421),
+	.B2(n_8959),
+	.C1(n_10484),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g675896 (
+	.A1(n_10015),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [15]),
+	.B1(n_9425),
+	.B2(n_8963),
+	.C1(n_10483),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g675897 (
+	.A1(n_10015),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [14]),
+	.B1(n_9425),
+	.B2(n_8959),
+	.C1(n_10482),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g675898 (
+	.A1(n_10008),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [23]),
+	.B1(n_9417),
+	.B2(n_8963),
+	.C1(n_10481),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g675899 (
+	.A1(n_10008),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [22]),
+	.B1(n_9417),
+	.B2(n_8959),
+	.C1(n_10480),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g675900 (
+	.A1(n_9990),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [279]),
+	.B1(n_9419),
+	.B2(n_8963),
+	.C1(n_10479),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g675901 (
+	.A1(n_9990),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [278]),
+	.B1(n_9419),
+	.B2(n_8959),
+	.C1(n_10478),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g675902 (
+	.A1(n_10041),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [483]),
+	.B1(n_9406),
+	.B2(n_8973),
+	.C1(n_10477),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g675903 (
+	.A1(n_10041),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [482]),
+	.B1(n_9406),
+	.B2(n_8975),
+	.C1(n_10476),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g675904 (
+	.A1(n_10035),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [485]),
+	.B1(n_9407),
+	.B2(n_8973),
+	.C1(n_10475),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g675905 (
+	.A1(n_10035),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [484]),
+	.B1(n_9407),
+	.B2(n_8975),
+	.C1(n_10474),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g675906 (
+	.A1(n_9982),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [227]),
+	.B1(n_9410),
+	.B2(n_8973),
+	.C1(n_10473),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g675907 (
+	.A1(n_9982),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [226]),
+	.B1(n_9410),
+	.B2(n_8975),
+	.C1(n_10472),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g675908 (
+	.A1(n_9969),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [229]),
+	.B1(n_9413),
+	.B2(n_8973),
+	.C1(n_10471),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g675909 (
+	.A1(n_9969),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [228]),
+	.B1(n_9413),
+	.B2(n_8975),
+	.C1(n_10470),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g675910 (
+	.A1(n_8970),
+	.A2(n_9405),
+	.B1(n_10905),
+	.C1(n_10524),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g675911 (
+	.A1(n_9010),
+	.A2(n_9405),
+	.B1(n_10523),
+	.C1(n_10896),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g675912 (
+	.A1(n_9006),
+	.A2(n_9405),
+	.B1(n_10522),
+	.C1(n_10895),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g675913 (
+	.A1(n_9004),
+	.A2(n_9399),
+	.B1(n_10880),
+	.C1(n_10521),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g675914 (
+	.A1(n_8970),
+	.A2(n_9399),
+	.B1(n_10879),
+	.C1(n_10520),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g675915 (
+	.A1(n_9010),
+	.A2(n_9399),
+	.B1(n_10519),
+	.C1(n_10878),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g675916 (
+	.A1(n_9006),
+	.A2(n_9399),
+	.B1(n_10518),
+	.C1(n_10877),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g675917 (
+	.A1(n_9004),
+	.A2(n_9401),
+	.B1(n_10872),
+	.C1(n_10517),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g675918 (
+	.A1(n_8970),
+	.A2(n_9401),
+	.B1(n_10871),
+	.C1(n_10516),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g675919 (
+	.A1(n_9010),
+	.A2(n_9401),
+	.B1(n_10870),
+	.C1(n_10515),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g675920 (
+	.A1(n_9006),
+	.A2(n_9401),
+	.B1(n_10869),
+	.C1(n_10514),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g675921 (
+	.A1(n_9004),
+	.A2(n_9403),
+	.B1(n_10855),
+	.C1(n_10513),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g675922 (
+	.A1(n_8970),
+	.A2(n_9403),
+	.B1(n_10854),
+	.C1(n_10512),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g675923 (
+	.A1(n_9010),
+	.A2(n_9403),
+	.B1(n_10853),
+	.C1(n_10511),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g675924 (
+	.A1(n_9006),
+	.A2(n_9403),
+	.B1(n_10852),
+	.C1(n_10510),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g675925 (
+	.A1(n_9004),
+	.A2(n_9405),
+	.B1(n_10831),
+	.C1(n_10525),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g675926 (
+	.A1(n_8309),
+	.A2(n_10246),
+	.B1(n_9009),
+	.B2(n_9429),
+	.C1(n_10784),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g675927 (
+	.A1(n_6238),
+	.A2(n_10246),
+	.B1(n_8961),
+	.B2(n_9429),
+	.C1(n_10783),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g675928 (
+	.A1(n_8310),
+	.A2(n_10245),
+	.B1(n_9009),
+	.B2(n_9423),
+	.C1(n_10782),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g675929 (
+	.A1(n_6246),
+	.A2(n_10245),
+	.B1(n_8961),
+	.B2(n_9423),
+	.C1(n_10781),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g675930 (
+	.A1(n_8210),
+	.A2(n_10244),
+	.B1(n_9009),
+	.B2(n_9431),
+	.C1(n_10780),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g675931 (
+	.A1(n_6300),
+	.A2(n_10244),
+	.B1(n_8961),
+	.B2(n_9431),
+	.C1(n_10779),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g675932 (
+	.A1(n_8218),
+	.A2(n_10243),
+	.B1(n_9009),
+	.B2(n_9433),
+	.C1(n_10778),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g675933 (
+	.A1(n_6141),
+	.A2(n_10243),
+	.B1(n_8961),
+	.B2(n_9433),
+	.C1(n_10777),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g675934 (
+	.A1(n_8248),
+	.A2(n_10242),
+	.B1(n_9009),
+	.B2(n_9397),
+	.C1(n_10776),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g675935 (
+	.A1(n_6157),
+	.A2(n_10242),
+	.B1(n_8961),
+	.B2(n_9397),
+	.C1(n_10775),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g675936 (
+	.A1(n_8222),
+	.A2(n_10241),
+	.B1(n_9009),
+	.B2(n_9395),
+	.C1(n_10774),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g675937 (
+	.A1(n_6152),
+	.A2(n_10241),
+	.B1(n_8961),
+	.B2(n_9395),
+	.C1(n_10773),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g675938 (
+	.A1(n_8234),
+	.A2(n_10240),
+	.B1(n_9009),
+	.B2(n_9427),
+	.C1(n_10772),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g675939 (
+	.A1(n_6242),
+	.A2(n_10240),
+	.B1(n_8961),
+	.B2(n_9427),
+	.C1(n_10771),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g675940 (
+	.A1(n_8216),
+	.A2(n_10239),
+	.B1(n_9009),
+	.B2(n_9415),
+	.C1(n_10770),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g675941 (
+	.A1(n_6311),
+	.A2(n_10239),
+	.B1(n_8961),
+	.B2(n_9415),
+	.C1(n_10769),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g675942 (
+	.A1(n_9010),
+	.A2(n_9580),
+	.B1(n_10541),
+	.C1(n_10898),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g675943 (
+	.A1(n_9006),
+	.A2(n_9580),
+	.B1(n_10540),
+	.C1(n_10897),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g675944 (
+	.A1(n_9004),
+	.A2(n_9580),
+	.B1(n_10539),
+	.C1(n_10892),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g675945 (
+	.A1(n_8970),
+	.A2(n_9580),
+	.B1(n_10538),
+	.C1(n_10891),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g675946 (
+	.A1(n_9004),
+	.A2(n_9592),
+	.B1(n_10849),
+	.C1(n_10537),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g675947 (
+	.A1(n_8970),
+	.A2(n_9592),
+	.B1(n_10848),
+	.C1(n_10536),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g675948 (
+	.A1(n_9010),
+	.A2(n_9592),
+	.B1(n_10535),
+	.C1(n_10845),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g675949 (
+	.A1(n_9006),
+	.A2(n_9592),
+	.B1(n_10534),
+	.C1(n_10844),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g675950 (
+	.A1(n_9004),
+	.A2(n_9590),
+	.B1(n_10841),
+	.C1(n_10533),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g675951 (
+	.A1(n_8970),
+	.A2(n_9590),
+	.B1(n_10840),
+	.C1(n_10532),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g675952 (
+	.A1(n_9010),
+	.A2(n_9590),
+	.B1(n_10531),
+	.C1(n_10839),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g675953 (
+	.A1(n_9006),
+	.A2(n_9590),
+	.B1(n_10530),
+	.C1(n_10838),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g675954 (
+	.A1(n_9010),
+	.A2(n_9586),
+	.B1(n_10529),
+	.C1(n_10835),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g675955 (
+	.A1(n_9006),
+	.A2(n_9586),
+	.B1(n_10528),
+	.C1(n_10834),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g675956 (
+	.A1(n_9004),
+	.A2(n_9586),
+	.B1(n_10833),
+	.C1(n_10527),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g675957 (
+	.A1(n_8970),
+	.A2(n_9586),
+	.B1(n_10832),
+	.C1(n_10526),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g675958 (
+	.A1(n_8332),
+	.A2(n_10248),
+	.B1(n_9009),
+	.B2(n_9576),
+	.C1(n_10788),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g675959 (
+	.A1(n_8208),
+	.A2(n_10248),
+	.B1(n_8961),
+	.B2(n_9576),
+	.C1(n_10787),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g675960 (
+	.A1(n_8321),
+	.A2(n_10247),
+	.B1(n_9009),
+	.B2(n_9578),
+	.C1(n_10786),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g675961 (
+	.A1(n_8237),
+	.A2(n_10247),
+	.B1(n_8961),
+	.B2(n_9578),
+	.C1(n_10785),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g675962 (
+	.A1(n_9964),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [95]),
+	.B1(n_9577),
+	.B2(n_9005),
+	.C1(n_10469),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g675963 (
+	.A1(n_9964),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [94]),
+	.B1(n_9577),
+	.B2(n_8971),
+	.C1(n_10468),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g675964 (
+	.A1(n_9961),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [159]),
+	.B1(n_9577),
+	.B2(n_9011),
+	.C1(n_10467),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g675965 (
+	.A1(n_9961),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [158]),
+	.B1(n_9577),
+	.B2(n_9007),
+	.C1(n_10466),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g675966 (
+	.A1(n_9956),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [351]),
+	.B1(n_8140),
+	.B2(n_9005),
+	.C1(n_10465),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g675967 (
+	.A1(n_9956),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [350]),
+	.B1(n_8140),
+	.B2(n_8971),
+	.C1(n_10464),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g675968 (
+	.A1(n_9955),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [415]),
+	.B1(n_8140),
+	.B2(n_9011),
+	.C1(n_10463),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g675969 (
+	.A1(n_9955),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [414]),
+	.B1(n_8140),
+	.B2(n_9007),
+	.C1(n_10462),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g675970 (
+	.A1(n_10136),
+	.A2(n_31386),
+	.A3(n_8743),
+	.B1(n_8303),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_finish ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a41o_1 g675971 (
+	.A1(n_9948),
+	.A2(n_8184),
+	.A3(n_8281),
+	.A4(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [5]),
+	.B1(n_11140),
+	.X(n_11153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g675972 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [20]),
+	.A2(n_10298),
+	.B1_N(n_11141),
+	.Y(n_11152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g675973 (
+	.A_N(n_8540),
+	.B(n_10906),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [19]),
+	.Y(n_11151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g675974 (
+	.A_N(n_10133),
+	.B(n_32440),
+	.C(n_31814),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_7535),
+	.Y(n_11149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g675975 (
+	.A(n_8535),
+	.B(n_8933),
+	.C(n_8932),
+	.D(n_10120),
+	.Y(n_11143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g675976 (
+	.A1(n_8970),
+	.A2(n_9415),
+	.B1(n_10901),
+	.C1(n_10455),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g675977 (
+	.A1(n_10033),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [405]),
+	.B1(n_9430),
+	.B2(n_9011),
+	.C1(n_10453),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g675978 (
+	.A1(n_10033),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [404]),
+	.B1(n_9430),
+	.B2(n_9007),
+	.C1(n_10452),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g675979 (
+	.A1(n_9010),
+	.A2(n_9423),
+	.B1(n_10894),
+	.C1(n_10451),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g675980 (
+	.A1(n_9006),
+	.A2(n_9423),
+	.B1(n_10893),
+	.C1(n_10450),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g675981 (
+	.A1(n_9004),
+	.A2(n_9433),
+	.B1(n_10890),
+	.C1(n_10449),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g675982 (
+	.A1(n_8970),
+	.A2(n_9433),
+	.B1(n_10889),
+	.C1(n_10448),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g675983 (
+	.A1(n_9010),
+	.A2(n_9433),
+	.B1(n_10447),
+	.C1(n_10888),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g675984 (
+	.A1(n_9006),
+	.A2(n_9433),
+	.B1(n_10446),
+	.C1(n_10887),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g675985 (
+	.A1(n_9004),
+	.A2(n_9397),
+	.B1(n_10882),
+	.C1(n_10445),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g675986 (
+	.A1(n_8970),
+	.A2(n_9397),
+	.B1(n_10881),
+	.C1(n_10444),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g675987 (
+	.A1(n_9010),
+	.A2(n_9397),
+	.B1(n_10874),
+	.C1(n_10443),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g675988 (
+	.A1(n_9006),
+	.A2(n_9397),
+	.B1(n_10873),
+	.C1(n_10442),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g675989 (
+	.A1(n_9004),
+	.A2(n_9395),
+	.B1(n_10866),
+	.C1(n_10441),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g675990 (
+	.A1(n_8970),
+	.A2(n_9395),
+	.B1(n_10865),
+	.C1(n_10440),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g675991 (
+	.A1(n_9010),
+	.A2(n_9395),
+	.B1(n_10864),
+	.C1(n_10439),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g675992 (
+	.A1(n_9006),
+	.A2(n_9395),
+	.B1(n_10863),
+	.C1(n_10438),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g675993 (
+	.A1(n_9010),
+	.A2(n_9427),
+	.B1(n_10861),
+	.C1(n_10437),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g675994 (
+	.A1(n_9006),
+	.A2(n_9427),
+	.B1(n_10860),
+	.C1(n_10436),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g675995 (
+	.A1(n_9004),
+	.A2(n_9427),
+	.B1(n_10859),
+	.C1(n_10435),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g675996 (
+	.A1(n_8970),
+	.A2(n_9427),
+	.B1(n_10858),
+	.C1(n_10434),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g675997 (
+	.A1(n_9010),
+	.A2(n_9415),
+	.B1(n_10433),
+	.C1(n_10857),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g675998 (
+	.A1(n_9006),
+	.A2(n_9415),
+	.B1(n_10432),
+	.C1(n_10856),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g675999 (
+	.A1(n_9004),
+	.A2(n_9423),
+	.B1(n_10843),
+	.C1(n_10431),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g676000 (
+	.A1(n_8970),
+	.A2(n_9423),
+	.B1(n_10842),
+	.C1(n_10430),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676001 (
+	.A1(n_10045),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [259]),
+	.B1(n_9406),
+	.B2(n_8963),
+	.C1(n_10429),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676002 (
+	.A1(n_10045),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [258]),
+	.B1(n_9406),
+	.B2(n_8959),
+	.C1(n_10428),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676003 (
+	.A1(n_10037),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [261]),
+	.B1(n_9407),
+	.B2(n_8963),
+	.C1(n_10427),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676004 (
+	.A1(n_10037),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [260]),
+	.B1(n_9407),
+	.B2(n_8959),
+	.C1(n_10426),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676005 (
+	.A1(n_9986),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [3]),
+	.B1(n_9410),
+	.B2(n_8963),
+	.C1(n_10425),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676006 (
+	.A1(n_9986),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [2]),
+	.B1(n_9410),
+	.B2(n_8959),
+	.C1(n_10424),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676007 (
+	.A1(n_9968),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [5]),
+	.B1(n_9413),
+	.B2(n_8963),
+	.C1(n_10423),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676008 (
+	.A1(n_9968),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [4]),
+	.B1(n_9413),
+	.B2(n_8959),
+	.C1(n_10422),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676009 (
+	.A(n_10973),
+	.B(n_10636),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676010 (
+	.A(n_10972),
+	.B(n_10635),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676011 (
+	.A(n_10971),
+	.B(n_10634),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676012 (
+	.A(n_10970),
+	.B(n_10633),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676013 (
+	.A(n_10969),
+	.B(n_10632),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676014 (
+	.A(n_10968),
+	.B(n_10631),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676015 (
+	.A(n_10967),
+	.B(n_10630),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676016 (
+	.A(n_10966),
+	.B(n_10629),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676017 (
+	.A(n_10965),
+	.B(n_10644),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676018 (
+	.A(n_10964),
+	.B(n_10643),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676019 (
+	.A(n_10963),
+	.B(n_10642),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676020 (
+	.A(n_10962),
+	.B(n_10641),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676021 (
+	.A(n_10961),
+	.B(n_10640),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676022 (
+	.A(n_10960),
+	.B(n_10639),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676023 (
+	.A(n_10959),
+	.B(n_10638),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676024 (
+	.A(n_10958),
+	.B(n_10637),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g676025 (
+	.A1(n_9002),
+	.A2(n_9580),
+	.B1(n_10421),
+	.C1(n_10904),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g676026 (
+	.A1(n_8964),
+	.A2(n_9580),
+	.B1(n_10420),
+	.C1(n_10903),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g676027 (
+	.A1(n_9002),
+	.A2(n_9592),
+	.B1(n_10419),
+	.C1(n_10847),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g676028 (
+	.A1(n_8964),
+	.A2(n_9592),
+	.B1(n_10418),
+	.C1(n_10846),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g676029 (
+	.A1(n_9002),
+	.A2(n_9590),
+	.B1(n_10417),
+	.C1(n_10837),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g676030 (
+	.A1(n_8964),
+	.A2(n_9590),
+	.B1(n_10416),
+	.C1(n_10836),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g676031 (
+	.A1(n_9002),
+	.A2(n_9586),
+	.B1(n_10415),
+	.C1(n_10830),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g676032 (
+	.A1(n_8964),
+	.A2(n_9586),
+	.B1(n_10414),
+	.C1(n_10829),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g676033 (
+	.A1(n_9002),
+	.A2(n_9405),
+	.B1(n_10413),
+	.C1(n_10900),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g676034 (
+	.A1(n_8964),
+	.A2(n_9405),
+	.B1(n_10412),
+	.C1(n_10899),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g676035 (
+	.A1(n_9002),
+	.A2(n_9399),
+	.B1(n_10411),
+	.C1(n_10876),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g676036 (
+	.A1(n_8964),
+	.A2(n_9399),
+	.B1(n_10410),
+	.C1(n_10875),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g676037 (
+	.A1(n_9002),
+	.A2(n_9401),
+	.B1(n_10868),
+	.C1(n_10409),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g676038 (
+	.A1(n_8964),
+	.A2(n_9401),
+	.B1(n_10867),
+	.C1(n_10408),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g676039 (
+	.A1(n_9002),
+	.A2(n_9403),
+	.B1(n_10851),
+	.C1(n_10407),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g676040 (
+	.A1(n_8964),
+	.A2(n_9403),
+	.B1(n_10850),
+	.C1(n_10406),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676041 (
+	.A(n_10957),
+	.B(n_10660),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676042 (
+	.A(n_10956),
+	.B(n_10659),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676043 (
+	.A(n_10955),
+	.B(n_10658),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676044 (
+	.A(n_10954),
+	.B(n_10657),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676045 (
+	.A(n_10953),
+	.B(n_10656),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676046 (
+	.A(n_10952),
+	.B(n_10655),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676047 (
+	.A(n_10951),
+	.B(n_10654),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676048 (
+	.A(n_10950),
+	.B(n_10653),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676049 (
+	.A1(n_9996),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [65]),
+	.B1(n_9409),
+	.B2(n_9005),
+	.C1(n_10405),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676050 (
+	.A1(n_9996),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [64]),
+	.B1(n_9409),
+	.B2(n_8971),
+	.C1(n_10404),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676051 (
+	.A1(n_9994),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [129]),
+	.B1(n_9409),
+	.B2(n_9011),
+	.C1(n_10403),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676052 (
+	.A1(n_9994),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [128]),
+	.B1(n_9409),
+	.B2(n_9007),
+	.C1(n_10402),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676053 (
+	.A1(n_9978),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [321]),
+	.B1(n_9412),
+	.B2(n_9005),
+	.C1(n_10401),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676054 (
+	.A1(n_9978),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [320]),
+	.B1(n_9412),
+	.B2(n_8971),
+	.C1(n_10400),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676055 (
+	.A1(n_9977),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [385]),
+	.B1(n_9412),
+	.B2(n_9011),
+	.C1(n_10399),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676056 (
+	.A1(n_9977),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [384]),
+	.B1(n_9412),
+	.B2(n_9007),
+	.C1(n_10398),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676057 (
+	.A(n_10949),
+	.B(n_10668),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676058 (
+	.A(n_10948),
+	.B(n_10667),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676059 (
+	.A(n_10947),
+	.B(n_10666),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676060 (
+	.A(n_10946),
+	.B(n_10665),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676061 (
+	.A(n_10945),
+	.B(n_10664),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676062 (
+	.A(n_10944),
+	.B(n_10663),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676063 (
+	.A(n_10943),
+	.B(n_10662),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676064 (
+	.A(n_10942),
+	.B(n_10661),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676065 (
+	.A1(n_10050),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [487]),
+	.B1(n_9588),
+	.B2(n_8973),
+	.C1(n_10397),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676066 (
+	.A1(n_10050),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [486]),
+	.B1(n_9588),
+	.B2(n_8975),
+	.C1(n_10396),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676067 (
+	.A1(n_10022),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [231]),
+	.B1(n_9587),
+	.B2(n_8973),
+	.C1(n_10395),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676068 (
+	.A1(n_10022),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [230]),
+	.B1(n_9587),
+	.B2(n_8975),
+	.C1(n_10394),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676069 (
+	.A1(n_10013),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [87]),
+	.B1(n_9417),
+	.B2(n_9005),
+	.C1(n_10393),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676070 (
+	.A1(n_10013),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [86]),
+	.B1(n_9417),
+	.B2(n_8971),
+	.C1(n_10392),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676071 (
+	.A1(n_10009),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [151]),
+	.B1(n_9417),
+	.B2(n_9011),
+	.C1(n_10391),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676072 (
+	.A1(n_10009),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [150]),
+	.B1(n_9417),
+	.B2(n_9007),
+	.C1(n_10390),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676073 (
+	.A1(n_10005),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [143]),
+	.B1(n_9425),
+	.B2(n_9011),
+	.C1(n_10389),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676074 (
+	.A1(n_10005),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [142]),
+	.B1(n_9425),
+	.B2(n_9007),
+	.C1(n_10388),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676075 (
+	.A1(n_10004),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [79]),
+	.B1(n_9425),
+	.B2(n_9005),
+	.C1(n_10387),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676076 (
+	.A1(n_10004),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [78]),
+	.B1(n_9425),
+	.B2(n_8971),
+	.C1(n_10386),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676077 (
+	.A1(n_9991),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [343]),
+	.B1(n_9419),
+	.B2(n_9005),
+	.C1(n_10385),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676078 (
+	.A1(n_9991),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [342]),
+	.B1(n_9419),
+	.B2(n_8971),
+	.C1(n_10384),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676079 (
+	.A1(n_9989),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [407]),
+	.B1(n_9419),
+	.B2(n_9011),
+	.C1(n_10383),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676080 (
+	.A1(n_9989),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [406]),
+	.B1(n_9419),
+	.B2(n_9007),
+	.C1(n_10382),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676081 (
+	.A1(n_9975),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [335]),
+	.B1(n_9421),
+	.B2(n_9005),
+	.C1(n_10381),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676082 (
+	.A1(n_9975),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [334]),
+	.B1(n_9421),
+	.B2(n_8971),
+	.C1(n_10380),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676083 (
+	.A1(n_9963),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [399]),
+	.B1(n_9421),
+	.B2(n_9011),
+	.C1(n_10379),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676084 (
+	.A1(n_9963),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [398]),
+	.B1(n_9421),
+	.B2(n_9007),
+	.C1(n_10378),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676085 (
+	.A1(n_10021),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [7]),
+	.B1(n_9587),
+	.B2(n_8963),
+	.C1(n_10377),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676086 (
+	.A1(n_10021),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [6]),
+	.B1(n_9587),
+	.B2(n_8959),
+	.C1(n_10376),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676087 (
+	.A1(n_9959),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [263]),
+	.B1(n_9588),
+	.B2(n_8963),
+	.C1(n_10375),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676088 (
+	.A1(n_9959),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [262]),
+	.B1(n_9588),
+	.B2(n_8959),
+	.C1(n_10374),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676089 (
+	.A1(n_9962),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [223]),
+	.B1(n_9577),
+	.B2(n_9003),
+	.C1(n_10373),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676090 (
+	.A1(n_9962),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [222]),
+	.B1(n_9577),
+	.B2(n_8965),
+	.C1(n_10372),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676091 (
+	.A1(n_9954),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [479]),
+	.B1(n_8140),
+	.B2(n_9003),
+	.C1(n_10371),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676092 (
+	.A1(n_9954),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [478]),
+	.B1(n_8140),
+	.B2(n_8965),
+	.C1(n_10370),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676093 (
+	.A1(n_10046),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [211]),
+	.B1(n_9428),
+	.B2(n_9003),
+	.C1(n_10369),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676094 (
+	.A1(n_10046),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [210]),
+	.B1(n_9428),
+	.B2(n_8965),
+	.C1(n_10368),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676095 (
+	.A1(n_10043),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [469]),
+	.B1(n_9430),
+	.B2(n_9003),
+	.C1(n_10367),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676096 (
+	.A1(n_10043),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [468]),
+	.B1(n_9430),
+	.B2(n_8965),
+	.C1(n_10366),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676097 (
+	.A(n_11085),
+	.B(n_10364),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676098 (
+	.A(n_11086),
+	.B(n_10365),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676099 (
+	.A(n_11080),
+	.B(n_10363),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676100 (
+	.A(n_11079),
+	.B(n_10362),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676101 (
+	.A(n_11060),
+	.B(n_10361),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676102 (
+	.A(n_11059),
+	.B(n_10360),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676103 (
+	.A(n_11023),
+	.B(n_10359),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676104 (
+	.A(n_11022),
+	.B(n_10358),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676105 (
+	.A(n_11009),
+	.B(n_10357),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676106 (
+	.A(n_11008),
+	.B(n_10356),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676107 (
+	.A(n_10995),
+	.B(n_10355),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676108 (
+	.A(n_10994),
+	.B(n_10354),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676109 (
+	.A1(n_10044),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [387]),
+	.B1(n_9406),
+	.B2(n_9011),
+	.C1(n_10353),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676110 (
+	.A1(n_10044),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [386]),
+	.B1(n_9406),
+	.B2(n_9007),
+	.C1(n_10352),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676111 (
+	.A1(n_10040),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [323]),
+	.B1(n_9406),
+	.B2(n_9005),
+	.C1(n_10351),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676112 (
+	.A1(n_10040),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [322]),
+	.B1(n_9406),
+	.B2(n_8971),
+	.C1(n_10350),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676113 (
+	.A1(n_10031),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [388]),
+	.B1(n_9407),
+	.B2(n_9007),
+	.C1(n_10348),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676114 (
+	.A1(n_10031),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [389]),
+	.B1(n_9407),
+	.B2(n_9011),
+	.C1(n_10349),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676115 (
+	.A1(n_10030),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [325]),
+	.B1(n_9407),
+	.B2(n_9005),
+	.C1(n_10347),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676116 (
+	.A1(n_10030),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [324]),
+	.B1(n_9407),
+	.B2(n_8971),
+	.C1(n_10346),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676117 (
+	.A1(n_9985),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [67]),
+	.B1(n_9410),
+	.B2(n_9005),
+	.C1(n_10345),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676118 (
+	.A1(n_9985),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [66]),
+	.B1(n_9410),
+	.B2(n_8971),
+	.C1(n_10344),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676119 (
+	.A1(n_9983),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [131]),
+	.B1(n_9410),
+	.B2(n_9011),
+	.C1(n_10343),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676120 (
+	.A1(n_9983),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [130]),
+	.B1(n_9410),
+	.B2(n_9007),
+	.C1(n_10342),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676121 (
+	.A1(n_9972),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [69]),
+	.B1(n_9413),
+	.B2(n_9005),
+	.C1(n_10341),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676122 (
+	.A1(n_9972),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [68]),
+	.B1(n_9413),
+	.B2(n_8971),
+	.C1(n_10340),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676123 (
+	.A1(n_9970),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [133]),
+	.B1(n_9413),
+	.B2(n_9011),
+	.C1(n_10339),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676124 (
+	.A1(n_9970),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [132]),
+	.B1(n_9413),
+	.B2(n_9007),
+	.C1(n_10338),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g676125 (
+	.A1(n_9002),
+	.A2(n_9584),
+	.B1(n_10505),
+	.C1(n_10886),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g676126 (
+	.A1(n_8964),
+	.A2(n_9584),
+	.B1(n_10504),
+	.C1(n_10885),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g676127 (
+	.A1(n_9002),
+	.A2(n_9582),
+	.B1(n_10503),
+	.C1(n_10884),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g676128 (
+	.A1(n_8964),
+	.A2(n_9582),
+	.B1(n_10502),
+	.C1(n_10883),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676129 (
+	.A1(n_10002),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [192]),
+	.B1(n_9409),
+	.B2(n_8965),
+	.C1(n_10336),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676130 (
+	.A1(n_10002),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [193]),
+	.B1(n_9409),
+	.B2(n_9003),
+	.C1(n_10337),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676131 (
+	.A1(n_9976),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [449]),
+	.B1(n_9412),
+	.B2(n_9003),
+	.C1(n_10335),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676132 (
+	.A1(n_9976),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [448]),
+	.B1(n_9412),
+	.B2(n_8965),
+	.C1(n_10334),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676133 (
+	.A(n_10941),
+	.B(n_10752),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676134 (
+	.A(n_10940),
+	.B(n_10751),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676135 (
+	.A(n_10939),
+	.B(n_10750),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676136 (
+	.A(n_10938),
+	.B(n_10749),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676137 (
+	.A(n_10937),
+	.B(n_10748),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676138 (
+	.A(n_10936),
+	.B(n_10747),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676139 (
+	.A(n_10935),
+	.B(n_10746),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676140 (
+	.A(n_10934),
+	.B(n_10745),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676141 (
+	.A(n_10933),
+	.B(n_10744),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676142 (
+	.A(n_10932),
+	.B(n_10743),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676143 (
+	.A(n_10931),
+	.B(n_10742),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676144 (
+	.A(n_10930),
+	.B(n_10741),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676145 (
+	.A(n_10929),
+	.B(n_10740),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676146 (
+	.A(n_10928),
+	.B(n_10739),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676147 (
+	.A(n_10927),
+	.B(n_10738),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676148 (
+	.A(n_10926),
+	.B(n_10737),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676149 (
+	.A(n_10925),
+	.B(n_10768),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676150 (
+	.A(n_10924),
+	.B(n_10767),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676151 (
+	.A(n_10923),
+	.B(n_10766),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676152 (
+	.A(n_10922),
+	.B(n_10765),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676153 (
+	.A(n_10921),
+	.B(n_10764),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676154 (
+	.A(n_10920),
+	.B(n_10763),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676155 (
+	.A(n_10919),
+	.B(n_10762),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676156 (
+	.A(n_10918),
+	.B(n_10761),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676157 (
+	.A(n_10917),
+	.B(n_10760),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676158 (
+	.A(n_10916),
+	.B(n_10759),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676159 (
+	.A(n_10915),
+	.B(n_10758),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676160 (
+	.A(n_10914),
+	.B(n_10757),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676161 (
+	.A(n_10913),
+	.B(n_10756),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676162 (
+	.A(n_10912),
+	.B(n_10755),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676163 (
+	.A(n_10911),
+	.B(n_10754),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676164 (
+	.A(n_11028),
+	.B(n_10753),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g676165 (
+	.A1(n_8313),
+	.A2(n_10176),
+	.B1(n_9004),
+	.B2(n_9584),
+	.C1(n_10628),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g676166 (
+	.A1(n_6087),
+	.A2(n_10176),
+	.B1(n_8970),
+	.B2(n_9584),
+	.C1(n_10627),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g676167 (
+	.A1(n_8327),
+	.A2(n_10175),
+	.B1(n_9010),
+	.B2(n_9584),
+	.C1(n_10626),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g676168 (
+	.A1(n_6249),
+	.A2(n_10175),
+	.B1(n_9006),
+	.B2(n_9584),
+	.C1(n_10625),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g676169 (
+	.A1(n_8335),
+	.A2(n_10174),
+	.B1(n_9010),
+	.B2(n_9582),
+	.C1(n_10624),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g676170 (
+	.A1(n_6106),
+	.A2(n_10174),
+	.B1(n_9006),
+	.B2(n_9582),
+	.C1(n_10623),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g676171 (
+	.A1(n_8232),
+	.A2(n_10173),
+	.B1(n_9004),
+	.B2(n_9582),
+	.C1(n_10622),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g676172 (
+	.A1(n_6221),
+	.A2(n_10173),
+	.B1(n_8970),
+	.B2(n_9582),
+	.C1(n_10621),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676173 (
+	.A1(n_10051),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [463]),
+	.B1(n_9421),
+	.B2(n_9003),
+	.C1(n_10333),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676174 (
+	.A1(n_10051),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [462]),
+	.B1(n_9421),
+	.B2(n_8965),
+	.C1(n_10332),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676175 (
+	.A1(n_10016),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [207]),
+	.B1(n_9425),
+	.B2(n_9003),
+	.C1(n_10331),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676176 (
+	.A1(n_10016),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [206]),
+	.B1(n_9425),
+	.B2(n_8965),
+	.C1(n_10330),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676177 (
+	.A1(n_10007),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [215]),
+	.B1(n_9417),
+	.B2(n_9003),
+	.C1(n_10329),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676178 (
+	.A1(n_10007),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [214]),
+	.B1(n_9417),
+	.B2(n_8965),
+	.C1(n_10328),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676179 (
+	.A1(n_9993),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [470]),
+	.B1(n_9419),
+	.B2(n_8965),
+	.C1(n_10326),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676180 (
+	.A1(n_9993),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [471]),
+	.B1(n_9419),
+	.B2(n_9003),
+	.C1(n_10327),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676181 (
+	.A1(n_10026),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [135]),
+	.B1(n_9587),
+	.B2(n_9011),
+	.C1(n_10325),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676182 (
+	.A1(n_10026),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [134]),
+	.B1(n_9587),
+	.B2(n_9007),
+	.C1(n_10324),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676183 (
+	.A1(n_10017),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [71]),
+	.B1(n_9587),
+	.B2(n_9005),
+	.C1(n_10323),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676184 (
+	.A1(n_10017),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [70]),
+	.B1(n_9587),
+	.B2(n_8971),
+	.C1(n_10322),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676185 (
+	.A1(n_10001),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [327]),
+	.B1(n_9588),
+	.B2(n_9005),
+	.C1(n_10321),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676186 (
+	.A1(n_10001),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [326]),
+	.B1(n_9588),
+	.B2(n_8971),
+	.C1(n_10320),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676187 (
+	.A1(n_9997),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [391]),
+	.B1(n_9588),
+	.B2(n_9011),
+	.C1(n_10319),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676188 (
+	.A1(n_9997),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [390]),
+	.B1(n_9588),
+	.B2(n_9007),
+	.C1(n_10318),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676189 (
+	.A1(n_10042),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [451]),
+	.B1(n_9406),
+	.B2(n_9003),
+	.C1(n_10317),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676190 (
+	.A1(n_10042),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [450]),
+	.B1(n_9406),
+	.B2(n_8965),
+	.C1(n_10316),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676191 (
+	.A1(n_10034),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [453]),
+	.B1(n_9407),
+	.B2(n_9003),
+	.C1(n_10315),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676192 (
+	.A1(n_10034),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [452]),
+	.B1(n_9407),
+	.B2(n_8965),
+	.C1(n_10314),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676193 (
+	.A1(n_9987),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [195]),
+	.B1(n_9410),
+	.B2(n_9003),
+	.C1(n_10313),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676194 (
+	.A1(n_9987),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [194]),
+	.B1(n_9410),
+	.B2(n_8965),
+	.C1(n_10312),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676195 (
+	.A1(n_9967),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [197]),
+	.B1(n_9413),
+	.B2(n_9003),
+	.C1(n_10311),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676196 (
+	.A1(n_9967),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [196]),
+	.B1(n_9413),
+	.B2(n_8965),
+	.C1(n_10310),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g676197 (
+	.A1(n_8221),
+	.A2(n_10286),
+	.B1(n_9009),
+	.B2(n_9405),
+	.C1(n_10804),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g676198 (
+	.A1(n_6297),
+	.A2(n_10286),
+	.B1(n_8961),
+	.B2(n_9405),
+	.C1(n_10803),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g676199 (
+	.A1(n_8225),
+	.A2(n_10285),
+	.B1(n_9009),
+	.B2(n_9399),
+	.C1(n_10802),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g676200 (
+	.A1(n_6254),
+	.A2(n_10285),
+	.B1(n_8961),
+	.B2(n_9399),
+	.C1(n_10801),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g676201 (
+	.A1(n_8328),
+	.A2(n_10284),
+	.B1(n_9009),
+	.B2(n_9401),
+	.C1(n_10800),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g676202 (
+	.A1(n_6119),
+	.A2(n_10284),
+	.B1(n_8961),
+	.B2(n_9401),
+	.C1(n_10799),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g676203 (
+	.A1(n_8241),
+	.A2(n_10283),
+	.B1(n_9009),
+	.B2(n_9403),
+	.C1(n_10798),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g676204 (
+	.A1(n_6054),
+	.A2(n_10283),
+	.B1(n_8961),
+	.B2(n_9403),
+	.C1(n_10797),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g676205 (
+	.A1(n_8325),
+	.A2(n_10290),
+	.B1(n_9009),
+	.B2(n_9592),
+	.C1(n_10812),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g676206 (
+	.A1(n_6146),
+	.A2(n_10290),
+	.B1(n_8961),
+	.B2(n_9592),
+	.C1(n_10811),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g676207 (
+	.A1(n_8319),
+	.A2(n_10289),
+	.B1(n_9009),
+	.B2(n_9580),
+	.C1(n_10809),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g676208 (
+	.A1(n_6276),
+	.A2(n_10289),
+	.B1(n_8961),
+	.B2(n_9580),
+	.C1(n_10810),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g676209 (
+	.A1(n_8240),
+	.A2(n_10288),
+	.B1(n_9009),
+	.B2(n_9590),
+	.C1(n_10808),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g676210 (
+	.A1(n_8217),
+	.A2(n_10288),
+	.B1(n_8961),
+	.B2(n_9590),
+	.C1(n_10807),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g676211 (
+	.A1(n_8314),
+	.A2(n_10287),
+	.B1(n_9009),
+	.B2(n_9586),
+	.C1(n_10806),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g676212 (
+	.A1(n_8228),
+	.A2(n_10287),
+	.B1(n_8961),
+	.B2(n_9586),
+	.C1(n_10805),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g676213 (
+	.A1(n_8308),
+	.A2(n_10238),
+	.B1(n_8962),
+	.B2(n_9582),
+	.C1(n_10728),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g676214 (
+	.A1(n_6212),
+	.A2(n_10238),
+	.B1(n_8958),
+	.B2(n_9582),
+	.C1(n_10727),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g676215 (
+	.A1(n_8223),
+	.A2(n_10237),
+	.B1(n_8962),
+	.B2(n_9584),
+	.C1(n_10726),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g676216 (
+	.A1(n_6095),
+	.A2(n_10237),
+	.B1(n_8958),
+	.B2(n_9584),
+	.C1(n_10725),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g676217 (
+	.A1(n_8233),
+	.A2(n_10282),
+	.B1(n_8972),
+	.B2(n_9582),
+	.C1(n_10732),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g676218 (
+	.A1(n_6187),
+	.A2(n_10282),
+	.B1(n_8974),
+	.B2(n_9582),
+	.C1(n_10731),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g676219 (
+	.A1(n_8229),
+	.A2(n_10281),
+	.B1(n_8972),
+	.B2(n_9584),
+	.C1(n_10730),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g676220 (
+	.A1(n_6207),
+	.A2(n_10281),
+	.B1(n_8974),
+	.B2(n_9584),
+	.C1(n_10729),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676221 (
+	.A1(n_10019),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [199]),
+	.B1(n_9587),
+	.B2(n_9003),
+	.C1(n_10309),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676222 (
+	.A1(n_10019),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [198]),
+	.B1(n_9587),
+	.B2(n_8965),
+	.C1(n_10308),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676223 (
+	.A1(n_9999),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [455]),
+	.B1(n_9588),
+	.B2(n_9003),
+	.C1(n_10307),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676224 (
+	.A1(n_9999),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [454]),
+	.B1(n_9588),
+	.B2(n_8965),
+	.C1(n_10306),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g676225 (
+	.A1(n_8331),
+	.A2(n_10294),
+	.B1(n_8998),
+	.B2(n_9584),
+	.C1(n_10796),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g676226 (
+	.A1(n_6245),
+	.A2(n_10294),
+	.B1(n_8994),
+	.B2(n_9584),
+	.C1(n_10795),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g676227 (
+	.A1(n_8211),
+	.A2(n_10293),
+	.B1(n_9000),
+	.B2(n_9584),
+	.C1(n_10794),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g676228 (
+	.A1(n_6053),
+	.A2(n_10293),
+	.B1(n_8996),
+	.B2(n_9584),
+	.C1(n_10793),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g676229 (
+	.A1(n_8243),
+	.A2(n_10292),
+	.B1(n_8998),
+	.B2(n_9582),
+	.C1(n_10792),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g676230 (
+	.A1(n_6253),
+	.A2(n_10292),
+	.B1(n_8994),
+	.B2(n_9582),
+	.C1(n_10791),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g676231 (
+	.A1(n_8334),
+	.A2(n_10291),
+	.B1(n_9000),
+	.B2(n_9582),
+	.C1(n_10790),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g676232 (
+	.A1(n_6118),
+	.A2(n_10291),
+	.B1(n_8996),
+	.B2(n_9582),
+	.C1(n_10789),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g676233 (
+	.A(n_10305),
+	.B(n_8572),
+	.C(brqrv_top_brqrv_dec_tlu_mcgc_int[9]),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_clk_enable_grp[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g676234 (
+	.A1(n_8320),
+	.A2(n_10296),
+	.B1(n_9009),
+	.B2(n_9582),
+	.C1(n_10816),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g676235 (
+	.A1(n_6071),
+	.A2(n_10296),
+	.B1(n_8961),
+	.B2(n_9582),
+	.C1(n_10815),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g676236 (
+	.A1(n_8235),
+	.A2(n_10295),
+	.B1(n_9009),
+	.B2(n_9584),
+	.C1(n_10814),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g676237 (
+	.A1(n_6100),
+	.A2(n_10295),
+	.B1(n_8961),
+	.B2(n_9584),
+	.C1(n_10813),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g676238 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [16]),
+	.B(n_8414),
+	.C(n_11139),
+	.Y(n_11142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g676239 (
+	.A1(n_9004),
+	.A2(n_9415),
+	.B1(n_10902),
+	.C1(n_10456),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g676240 (
+	.A1(n_10038),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [340]),
+	.B1(n_9430),
+	.B2(n_8971),
+	.C1(n_10454),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g676241 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [19]),
+	.A2(n_8145),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [20]),
+	.B2(n_10299),
+	.Y(n_11145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g676242 (
+	.A_N(n_11139),
+	.B(n_8415),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [16]),
+	.X(n_11144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676243 (
+	.A1(n_10183),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [109]),
+	.B1(n_9394),
+	.B2(n_9001),
+	.Y(n_11138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676244 (
+	.A(n_10909),
+	.B(n_8908),
+	.Y(n_30931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g676245 (
+	.A(n_9231),
+	.B(n_8694),
+	.C(n_10128),
+	.Y(n_11137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676246 (
+	.A1(n_10056),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [98]),
+	.B1(n_9410),
+	.B2(n_8997),
+	.Y(n_11136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g676247 (
+	.A1(n_8394),
+	.A2(n_32357),
+	.B1(n_9058),
+	.C1(n_10301),
+	.Y(n_11135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g676248 (
+	.A1(n_9142),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [27]),
+	.B1(n_9925),
+	.C1(n_10300),
+	.Y(n_11134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31o_1 g676249 (
+	.A1(n_10127),
+	.A2(n_9931),
+	.A3(n_9200),
+	.B1(n_10136),
+	.X(n_30994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g676250 (
+	.A1(n_9086),
+	.A2(n_30518),
+	.B1(n_9084),
+	.B2(n_9056),
+	.C1(n_10122),
+	.Y(n_11133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a311oi_1 g676251 (
+	.A1(n_9926),
+	.A2(n_8297),
+	.A3(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [9]),
+	.B1(n_8446),
+	.C1(n_9097),
+	.Y(n_11132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g676252 (
+	.A(n_9732),
+	.B(n_8878),
+	.C(n_8644),
+	.D(n_9938),
+	.Y(n_11131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g676253 (
+	.A1(n_8203),
+	.A2(brqrv_top_brqrv_dec_tlu_ext_int_freeze),
+	.B1(n_8198),
+	.B2(brqrv_top_brqrv_dec_tlu_minstret_enable),
+	.C1(n_10304),
+	.Y(n_11130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g676254 (
+	.A(n_9572),
+	.B(n_9930),
+	.C(n_9316),
+	.D(n_9314),
+	.Y(n_30897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o311ai_1 g676255 (
+	.A1(n_8365),
+	.A2(n_31067),
+	.A3(n_8399),
+	.B1(n_9201),
+	.C1(n_10817),
+	.Y(n_30902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o311ai_1 g676256 (
+	.A1(n_8367),
+	.A2(n_32264),
+	.A3(n_8399),
+	.B1(n_9202),
+	.C1(n_10818),
+	.Y(n_30899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g676257 (
+	.A1(n_9626),
+	.A2(n_8846),
+	.A3(n_8428),
+	.B1(n_10823),
+	.Y(n_364298_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g676258 (
+	.A1(n_8259),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[31]),
+	.B1(n_9112),
+	.C1(n_10827),
+	.D1(n_9731),
+	.Y(n_11129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676259 (
+	.A1(n_10056),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [99]),
+	.B1(n_9410),
+	.B2(n_9001),
+	.Y(n_11128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g676260 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpme3[5]),
+	.A2(n_9943),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpme3[3]),
+	.B2(n_10134),
+	.Y(n_11127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676261 (
+	.A1(n_10171),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [303]),
+	.B1(n_9421),
+	.B2(n_9008),
+	.Y(n_11126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676262 (
+	.A1(n_10171),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [302]),
+	.B1(n_9421),
+	.B2(n_8960),
+	.Y(n_11125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676263 (
+	.A1(n_10097),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [431]),
+	.B1(n_9421),
+	.B2(n_8999),
+	.Y(n_11124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676264 (
+	.A1(n_10097),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [430]),
+	.B1(n_9421),
+	.B2(n_8995),
+	.Y(n_11123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676265 (
+	.A1(n_10207),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [179]),
+	.B1(n_9428),
+	.B2(n_8999),
+	.Y(n_11122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676266 (
+	.A1(n_10207),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [178]),
+	.B1(n_9428),
+	.B2(n_8995),
+	.Y(n_11121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676267 (
+	.A1(n_10205),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [115]),
+	.B1(n_9428),
+	.B2(n_9001),
+	.Y(n_11120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676268 (
+	.A1(n_10205),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [114]),
+	.B1(n_9428),
+	.B2(n_8997),
+	.Y(n_11119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676269 (
+	.A1(n_10107),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [243]),
+	.B1(n_9428),
+	.B2(n_8973),
+	.Y(n_11118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676270 (
+	.A1(n_10107),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [242]),
+	.B1(n_9428),
+	.B2(n_8975),
+	.Y(n_11117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676271 (
+	.A1(n_10203),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [437]),
+	.B1(n_9430),
+	.B2(n_8999),
+	.Y(n_11116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676272 (
+	.A1(n_10071),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [19]),
+	.B1(n_9428),
+	.B2(n_8963),
+	.Y(n_11115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676273 (
+	.A1(n_10071),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [18]),
+	.B1(n_9428),
+	.B2(n_8959),
+	.Y(n_11114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676274 (
+	.A1(n_10203),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [436]),
+	.B1(n_9430),
+	.B2(n_8995),
+	.Y(n_11113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676275 (
+	.A1(n_10061),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [355]),
+	.B1(n_9406),
+	.B2(n_9001),
+	.Y(n_11112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676276 (
+	.A1(n_10061),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [354]),
+	.B1(n_9406),
+	.B2(n_8997),
+	.Y(n_11111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676277 (
+	.A1(n_10060),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [419]),
+	.B1(n_9406),
+	.B2(n_8999),
+	.Y(n_11110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676278 (
+	.A1(n_10060),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [418]),
+	.B1(n_9406),
+	.B2(n_8995),
+	.Y(n_11109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676279 (
+	.A1(n_10201),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [373]),
+	.B1(n_9430),
+	.B2(n_9001),
+	.Y(n_11108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676280 (
+	.A1(n_10201),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [372]),
+	.B1(n_9430),
+	.B2(n_8997),
+	.Y(n_11107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676281 (
+	.A1(n_10106),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [501]),
+	.B1(n_9430),
+	.B2(n_8973),
+	.Y(n_11106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676282 (
+	.A1(n_10140),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [291]),
+	.B1(n_9406),
+	.B2(n_9008),
+	.Y(n_11105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676283 (
+	.A1(n_10140),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [290]),
+	.B1(n_9406),
+	.B2(n_8960),
+	.Y(n_11104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676284 (
+	.A1(n_10106),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [500]),
+	.B1(n_9430),
+	.B2(n_8975),
+	.Y(n_11103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676285 (
+	.A1(n_10199),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [181]),
+	.B1(n_9414),
+	.B2(n_8999),
+	.Y(n_11102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676286 (
+	.A1(n_10199),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [180]),
+	.B1(n_9414),
+	.B2(n_8995),
+	.Y(n_11101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676287 (
+	.A1(n_10197),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [117]),
+	.B1(n_9414),
+	.B2(n_9001),
+	.Y(n_11100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676288 (
+	.A1(n_10197),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [116]),
+	.B1(n_9414),
+	.B2(n_8997),
+	.Y(n_11099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676289 (
+	.A1(n_10139),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [293]),
+	.B1(n_9407),
+	.B2(n_9008),
+	.Y(n_11098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676290 (
+	.A1(n_10139),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [292]),
+	.B1(n_9407),
+	.B2(n_8960),
+	.Y(n_11097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676291 (
+	.A1(n_10070),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [269]),
+	.B1(n_9422),
+	.B2(n_8963),
+	.Y(n_11096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676292 (
+	.A1(n_10070),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [268]),
+	.B1(n_9422),
+	.B2(n_8959),
+	.Y(n_11095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676293 (
+	.A1(n_10059),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [421]),
+	.B1(n_9407),
+	.B2(n_8999),
+	.Y(n_11094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676294 (
+	.A1(n_10059),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [420]),
+	.B1(n_9407),
+	.B2(n_8995),
+	.Y(n_11093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676295 (
+	.A1(n_10058),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [357]),
+	.B1(n_9407),
+	.B2(n_9001),
+	.Y(n_11092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676296 (
+	.A1(n_10058),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [356]),
+	.B1(n_9407),
+	.B2(n_8997),
+	.Y(n_11091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676297 (
+	.A1(n_10105),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [493]),
+	.B1(n_9422),
+	.B2(n_8973),
+	.Y(n_11090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676298 (
+	.A1(n_10105),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [492]),
+	.B1(n_9422),
+	.B2(n_8975),
+	.Y(n_11089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676299 (
+	.A1(n_10069),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [277]),
+	.B1(n_9430),
+	.B2(n_8963),
+	.Y(n_11088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676300 (
+	.A1(n_10069),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [276]),
+	.B1(n_9430),
+	.B2(n_8959),
+	.Y(n_11087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676301 (
+	.A1(n_10029),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [461]),
+	.B1(n_9422),
+	.B2(n_9003),
+	.Y(n_11086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676302 (
+	.A1(n_10029),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [460]),
+	.B1(n_9422),
+	.B2(n_8965),
+	.Y(n_11085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676303 (
+	.A1(n_10195),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [371]),
+	.B1(n_9432),
+	.B2(n_9001),
+	.Y(n_11084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676304 (
+	.A1(n_10195),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [370]),
+	.B1(n_9432),
+	.B2(n_8997),
+	.Y(n_11083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676305 (
+	.A1(n_10193),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [435]),
+	.B1(n_9432),
+	.B2(n_8999),
+	.Y(n_11082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676306 (
+	.A1(n_10193),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [434]),
+	.B1(n_9432),
+	.B2(n_8995),
+	.Y(n_11081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676307 (
+	.A1(n_10027),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [467]),
+	.B1(n_9432),
+	.B2(n_9003),
+	.Y(n_11080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676308 (
+	.A1(n_10027),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [466]),
+	.B1(n_9432),
+	.B2(n_8965),
+	.Y(n_11079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676309 (
+	.A1(n_10104),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [499]),
+	.B1(n_9432),
+	.B2(n_8973),
+	.Y(n_11078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676310 (
+	.A1(n_10104),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [498]),
+	.B1(n_9432),
+	.B2(n_8975),
+	.Y(n_11077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676311 (
+	.A1(n_10068),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [275]),
+	.B1(n_9432),
+	.B2(n_8963),
+	.Y(n_11076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676312 (
+	.A1(n_10068),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [274]),
+	.B1(n_9432),
+	.B2(n_8959),
+	.Y(n_11075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676313 (
+	.A1(n_10020),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [39]),
+	.B1(n_9587),
+	.B2(n_9008),
+	.Y(n_11074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676314 (
+	.A1(n_10020),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [38]),
+	.B1(n_9587),
+	.B2(n_8960),
+	.Y(n_11073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676315 (
+	.A1(n_10096),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [175]),
+	.B1(n_9425),
+	.B2(n_8999),
+	.Y(n_11072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676316 (
+	.A1(n_10096),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [174]),
+	.B1(n_9425),
+	.B2(n_8995),
+	.Y(n_11071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676317 (
+	.A1(n_10095),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [183]),
+	.B1(n_9417),
+	.B2(n_8999),
+	.Y(n_11070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676318 (
+	.A1(n_10095),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [182]),
+	.B1(n_9417),
+	.B2(n_8995),
+	.Y(n_11069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676319 (
+	.A1(n_10169),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [55]),
+	.B1(n_9417),
+	.B2(n_9008),
+	.Y(n_11068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676320 (
+	.A1(n_10169),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [54]),
+	.B1(n_9417),
+	.B2(n_8960),
+	.Y(n_11067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676321 (
+	.A1(n_10191),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [363]),
+	.B1(n_9396),
+	.B2(n_9001),
+	.Y(n_11066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676322 (
+	.A1(n_10191),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [362]),
+	.B1(n_9396),
+	.B2(n_8997),
+	.Y(n_11065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676323 (
+	.A1(n_10094),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [119]),
+	.B1(n_9417),
+	.B2(n_9001),
+	.Y(n_11064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676324 (
+	.A1(n_10094),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [118]),
+	.B1(n_9417),
+	.B2(n_8997),
+	.Y(n_11063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676325 (
+	.A1(n_10189),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [427]),
+	.B1(n_9396),
+	.B2(n_8999),
+	.Y(n_11062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676326 (
+	.A1(n_10189),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [426]),
+	.B1(n_9396),
+	.B2(n_8995),
+	.Y(n_11061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676327 (
+	.A1(n_10006),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [459]),
+	.B1(n_9396),
+	.B2(n_9003),
+	.Y(n_11060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676328 (
+	.A1(n_10006),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [458]),
+	.B1(n_9396),
+	.B2(n_8965),
+	.Y(n_11059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676329 (
+	.A1(n_10093),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [111]),
+	.B1(n_9425),
+	.B2(n_9001),
+	.Y(n_11058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676330 (
+	.A1(n_10093),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [110]),
+	.B1(n_9425),
+	.B2(n_8997),
+	.Y(n_11057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676331 (
+	.A1(n_10103),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [491]),
+	.B1(n_9396),
+	.B2(n_8973),
+	.Y(n_11056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676332 (
+	.A1(n_10103),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [490]),
+	.B1(n_9396),
+	.B2(n_8975),
+	.Y(n_11055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676333 (
+	.A1(n_10167),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [47]),
+	.B1(n_9425),
+	.B2(n_9008),
+	.Y(n_11054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676334 (
+	.A1(n_10167),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [46]),
+	.B1(n_9425),
+	.B2(n_8960),
+	.Y(n_11053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676335 (
+	.A1(n_10067),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [267]),
+	.B1(n_9396),
+	.B2(n_8963),
+	.Y(n_11052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676336 (
+	.A1(n_10067),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [266]),
+	.B1(n_9396),
+	.B2(n_8959),
+	.Y(n_11051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676337 (
+	.A1(n_10003),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [295]),
+	.B1(n_9588),
+	.B2(n_9008),
+	.Y(n_11050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676338 (
+	.A1(n_10003),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [294]),
+	.B1(n_9588),
+	.B2(n_8960),
+	.Y(n_11049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676339 (
+	.A1(n_10235),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [33]),
+	.B1(n_9409),
+	.B2(n_9008),
+	.Y(n_11048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676340 (
+	.A1(n_10235),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [32]),
+	.B1(n_9409),
+	.B2(n_8960),
+	.Y(n_11047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676341 (
+	.A1(n_10147),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [97]),
+	.B1(n_9409),
+	.B2(n_9001),
+	.Y(n_11046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676342 (
+	.A1(n_10147),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [96]),
+	.B1(n_9409),
+	.B2(n_8997),
+	.Y(n_11045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676343 (
+	.A1(n_10187),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [429]),
+	.B1(n_9422),
+	.B2(n_8999),
+	.Y(n_11044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676344 (
+	.A1(n_10187),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [428]),
+	.B1(n_9422),
+	.B2(n_8995),
+	.Y(n_11043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676345 (
+	.A1(n_10145),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [161]),
+	.B1(n_9409),
+	.B2(n_8999),
+	.Y(n_11042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676346 (
+	.A1(n_10145),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [160]),
+	.B1(n_9409),
+	.B2(n_8995),
+	.Y(n_11041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676347 (
+	.A1(n_10185),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [365]),
+	.B1(n_9422),
+	.B2(n_9001),
+	.Y(n_11040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676348 (
+	.A1(n_10185),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [364]),
+	.B1(n_9422),
+	.B2(n_8997),
+	.Y(n_11039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676349 (
+	.A1(n_10165),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [311]),
+	.B1(n_9419),
+	.B2(n_9008),
+	.Y(n_11038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676350 (
+	.A1(n_10165),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [310]),
+	.B1(n_9419),
+	.B2(n_8960),
+	.Y(n_11037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676351 (
+	.A1(n_10092),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [375]),
+	.B1(n_9419),
+	.B2(n_9001),
+	.Y(n_11036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676352 (
+	.A1(n_10092),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [374]),
+	.B1(n_9419),
+	.B2(n_8997),
+	.Y(n_11035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676353 (
+	.A1(n_10091),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [439]),
+	.B1(n_9419),
+	.B2(n_8999),
+	.Y(n_11034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676354 (
+	.A1(n_10091),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [438]),
+	.B1(n_9419),
+	.B2(n_8995),
+	.Y(n_11033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676355 (
+	.A1(n_10057),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [163]),
+	.B1(n_9410),
+	.B2(n_8999),
+	.Y(n_11032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676356 (
+	.A1(n_10057),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [162]),
+	.B1(n_9410),
+	.B2(n_8995),
+	.Y(n_11031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676357 (
+	.A1(n_10138),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [35]),
+	.B1(n_9410),
+	.B2(n_9008),
+	.Y(n_11030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676358 (
+	.A1(n_10138),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [34]),
+	.B1(n_9410),
+	.B2(n_8960),
+	.Y(n_11029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g676359 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [19]),
+	.B(n_8186),
+	.C(n_10298),
+	.Y(n_11141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g676360 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [6]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [7]),
+	.C(n_10119),
+	.Y(n_11140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g676361 (
+	.A_N(n_10298),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [20]),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [19]),
+	.Y(n_11139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676362 (
+	.A1(n_10265),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [124]),
+	.B1(n_9585),
+	.B2(n_8997),
+	.Y(n_11028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676363 (
+	.A1(n_10102),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [237]),
+	.B1(n_9394),
+	.B2(n_8973),
+	.Y(n_11027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676364 (
+	.A1(n_10102),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [236]),
+	.B1(n_9394),
+	.B2(n_8975),
+	.Y(n_11026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676365 (
+	.A1(n_10066),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [13]),
+	.B1(n_9394),
+	.B2(n_8963),
+	.Y(n_11025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676366 (
+	.A1(n_10066),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [12]),
+	.B1(n_9394),
+	.B2(n_8959),
+	.Y(n_11024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676367 (
+	.A1(n_9980),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [205]),
+	.B1(n_9394),
+	.B2(n_9003),
+	.Y(n_11023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676368 (
+	.A1(n_9980),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [204]),
+	.B1(n_9394),
+	.B2(n_8965),
+	.Y(n_11022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676369 (
+	.A1(n_10181),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [173]),
+	.B1(n_9394),
+	.B2(n_8999),
+	.Y(n_11021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676370 (
+	.A1(n_10181),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [172]),
+	.B1(n_9394),
+	.B2(n_8995),
+	.Y(n_11020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676371 (
+	.A1(n_10143),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [353]),
+	.B1(n_9412),
+	.B2(n_9001),
+	.Y(n_11019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676372 (
+	.A1(n_10143),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [352]),
+	.B1(n_9412),
+	.B2(n_8997),
+	.Y(n_11018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676373 (
+	.A1(n_10141),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [417]),
+	.B1(n_9412),
+	.B2(n_8999),
+	.Y(n_11017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676374 (
+	.A1(n_10141),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [416]),
+	.B1(n_9412),
+	.B2(n_8995),
+	.Y(n_11016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676375 (
+	.A1(n_10233),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [289]),
+	.B1(n_9412),
+	.B2(n_9008),
+	.Y(n_11015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676376 (
+	.A1(n_10233),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [288]),
+	.B1(n_9412),
+	.B2(n_8960),
+	.Y(n_11014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676377 (
+	.A1(n_10090),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [367]),
+	.B1(n_9421),
+	.B2(n_9001),
+	.Y(n_11013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676378 (
+	.A1(n_10090),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [366]),
+	.B1(n_9421),
+	.B2(n_8997),
+	.Y(n_11012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676379 (
+	.A1(n_10179),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [171]),
+	.B1(n_9426),
+	.B2(n_8999),
+	.Y(n_11011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676380 (
+	.A1(n_10179),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [170]),
+	.B1(n_9426),
+	.B2(n_8995),
+	.Y(n_11010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676381 (
+	.A1(n_9974),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [203]),
+	.B1(n_9426),
+	.B2(n_9003),
+	.Y(n_11009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676382 (
+	.A1(n_9974),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [202]),
+	.B1(n_9426),
+	.B2(n_8965),
+	.Y(n_11008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676383 (
+	.A1(n_10065),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [11]),
+	.B1(n_9426),
+	.B2(n_8963),
+	.Y(n_11007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676384 (
+	.A1(n_10065),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [10]),
+	.B1(n_9426),
+	.B2(n_8959),
+	.Y(n_11006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676385 (
+	.A1(n_10055),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [165]),
+	.B1(n_9413),
+	.B2(n_8999),
+	.Y(n_11005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676386 (
+	.A1(n_10055),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [164]),
+	.B1(n_9413),
+	.B2(n_8995),
+	.Y(n_11004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676387 (
+	.A1(n_10101),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [235]),
+	.B1(n_9426),
+	.B2(n_8973),
+	.Y(n_11003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676388 (
+	.A1(n_10101),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [234]),
+	.B1(n_9426),
+	.B2(n_8975),
+	.Y(n_11002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676389 (
+	.A1(n_10177),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [107]),
+	.B1(n_9426),
+	.B2(n_9001),
+	.Y(n_11001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676390 (
+	.A1(n_10177),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [106]),
+	.B1(n_9426),
+	.B2(n_8997),
+	.Y(n_11000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676391 (
+	.A1(n_10137),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [37]),
+	.B1(n_9413),
+	.B2(n_9008),
+	.Y(n_10999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676392 (
+	.A1(n_10137),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [36]),
+	.B1(n_9413),
+	.B2(n_8960),
+	.Y(n_10998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676393 (
+	.A1(n_10054),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [101]),
+	.B1(n_9413),
+	.B2(n_9001),
+	.Y(n_10997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676394 (
+	.A1(n_10054),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [100]),
+	.B1(n_9413),
+	.B2(n_8997),
+	.Y(n_10996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676395 (
+	.A1(n_9966),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [213]),
+	.B1(n_9414),
+	.B2(n_9003),
+	.Y(n_10995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676396 (
+	.A1(n_9966),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [212]),
+	.B1(n_9414),
+	.B2(n_8965),
+	.Y(n_10994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676397 (
+	.A1(n_10064),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [21]),
+	.B1(n_9414),
+	.B2(n_8963),
+	.Y(n_10993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676398 (
+	.A1(n_10064),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [20]),
+	.B1(n_9414),
+	.B2(n_8959),
+	.Y(n_10992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676399 (
+	.A1(n_10215),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [191]),
+	.B1(n_9577),
+	.B2(n_8999),
+	.Y(n_10991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676400 (
+	.A1(n_10215),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [190]),
+	.B1(n_9577),
+	.B2(n_8995),
+	.Y(n_10990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676401 (
+	.A1(n_10100),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [245]),
+	.B1(n_9414),
+	.B2(n_8973),
+	.Y(n_10989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676402 (
+	.A1(n_10100),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [244]),
+	.B1(n_9414),
+	.B2(n_8975),
+	.Y(n_10988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676403 (
+	.A1(n_10297),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [255]),
+	.B1(n_9577),
+	.B2(n_8973),
+	.Y(n_10987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676404 (
+	.A1(n_10297),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [254]),
+	.B1(n_9577),
+	.B2(n_8975),
+	.Y(n_10986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676405 (
+	.A1(n_10213),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [127]),
+	.B1(n_9577),
+	.B2(n_9001),
+	.Y(n_10985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676406 (
+	.A1(n_10213),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [126]),
+	.B1(n_9577),
+	.B2(n_8997),
+	.Y(n_10984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676407 (
+	.A1(n_10073),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [31]),
+	.B1(n_9577),
+	.B2(n_8963),
+	.Y(n_10983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676408 (
+	.A1(n_10073),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [30]),
+	.B1(n_9577),
+	.B2(n_8959),
+	.Y(n_10982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676409 (
+	.A1(n_10211),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [383]),
+	.B1(n_8140),
+	.B2(n_9001),
+	.Y(n_10981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676410 (
+	.A1(n_10211),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [382]),
+	.B1(n_8140),
+	.B2(n_8997),
+	.Y(n_10980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676411 (
+	.A1(n_10209),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [447]),
+	.B1(n_8140),
+	.B2(n_8999),
+	.Y(n_10979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676412 (
+	.A1(n_10209),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [446]),
+	.B1(n_8140),
+	.B2(n_8995),
+	.Y(n_10978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676413 (
+	.A1(n_10108),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [511]),
+	.B1(n_8140),
+	.B2(n_8973),
+	.Y(n_10977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676414 (
+	.A1(n_10108),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [510]),
+	.B1(n_8140),
+	.B2(n_8975),
+	.Y(n_10976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676415 (
+	.A1(n_10072),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [287]),
+	.B1(n_8140),
+	.B2(n_8963),
+	.Y(n_10975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676416 (
+	.A1(n_10072),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [286]),
+	.B1(n_8140),
+	.B2(n_8959),
+	.Y(n_10974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676417 (
+	.A1(n_10155),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [273]),
+	.B1(n_9404),
+	.B2(n_8963),
+	.Y(n_10973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676418 (
+	.A1(n_10155),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [272]),
+	.B1(n_9404),
+	.B2(n_8959),
+	.Y(n_10972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676419 (
+	.A1(n_10153),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [17]),
+	.B1(n_9398),
+	.B2(n_8963),
+	.Y(n_10971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676420 (
+	.A1(n_10153),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [16]),
+	.B1(n_9398),
+	.B2(n_8959),
+	.Y(n_10970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676421 (
+	.A1(n_10151),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [265]),
+	.B1(n_9400),
+	.B2(n_8963),
+	.Y(n_10969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676422 (
+	.A1(n_10151),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [264]),
+	.B1(n_9400),
+	.B2(n_8959),
+	.Y(n_10968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676423 (
+	.A1(n_10149),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [9]),
+	.B1(n_9402),
+	.B2(n_8963),
+	.Y(n_10967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676424 (
+	.A1(n_10149),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [8]),
+	.B1(n_9402),
+	.B2(n_8959),
+	.Y(n_10966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676425 (
+	.A1(n_10157),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [28]),
+	.B1(n_9585),
+	.B2(n_8959),
+	.Y(n_10965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676426 (
+	.A1(n_10163),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [27]),
+	.B1(n_9591),
+	.B2(n_8963),
+	.Y(n_10964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676427 (
+	.A1(n_10163),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [26]),
+	.B1(n_9591),
+	.B2(n_8959),
+	.Y(n_10963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676428 (
+	.A1(n_10161),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [283]),
+	.B1(n_9579),
+	.B2(n_8963),
+	.Y(n_10962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676429 (
+	.A1(n_10161),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [282]),
+	.B1(n_9579),
+	.B2(n_8959),
+	.Y(n_10961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676430 (
+	.A1(n_10159),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [285]),
+	.B1(n_9589),
+	.B2(n_8963),
+	.Y(n_10960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676431 (
+	.A1(n_10159),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [284]),
+	.B1(n_9589),
+	.B2(n_8959),
+	.Y(n_10959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676432 (
+	.A1(n_10157),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [29]),
+	.B1(n_9585),
+	.B2(n_8963),
+	.Y(n_10958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676433 (
+	.A1(n_10223),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [497]),
+	.B1(n_9404),
+	.B2(n_8973),
+	.Y(n_10957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676434 (
+	.A1(n_10223),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [496]),
+	.B1(n_9404),
+	.B2(n_8975),
+	.Y(n_10956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676435 (
+	.A1(n_10221),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [241]),
+	.B1(n_9398),
+	.B2(n_8973),
+	.Y(n_10955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676436 (
+	.A1(n_10221),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [240]),
+	.B1(n_9398),
+	.B2(n_8975),
+	.Y(n_10954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676437 (
+	.A1(n_10219),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [489]),
+	.B1(n_9400),
+	.B2(n_8973),
+	.Y(n_10953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676438 (
+	.A1(n_10219),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [488]),
+	.B1(n_9400),
+	.B2(n_8975),
+	.Y(n_10952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676439 (
+	.A1(n_10217),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [233]),
+	.B1(n_9402),
+	.B2(n_8973),
+	.Y(n_10951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676440 (
+	.A1(n_10217),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [232]),
+	.B1(n_9402),
+	.B2(n_8975),
+	.Y(n_10950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676441 (
+	.A1(n_10231),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [251]),
+	.B1(n_9591),
+	.B2(n_8973),
+	.Y(n_10949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676442 (
+	.A1(n_10231),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [250]),
+	.B1(n_9591),
+	.B2(n_8975),
+	.Y(n_10948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676443 (
+	.A1(n_10229),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [507]),
+	.B1(n_9579),
+	.B2(n_8973),
+	.Y(n_10947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676444 (
+	.A1(n_10229),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [506]),
+	.B1(n_9579),
+	.B2(n_8975),
+	.Y(n_10946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676445 (
+	.A1(n_10227),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [509]),
+	.B1(n_9589),
+	.B2(n_8973),
+	.Y(n_10945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676446 (
+	.A1(n_10227),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [508]),
+	.B1(n_9589),
+	.B2(n_8975),
+	.Y(n_10944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676447 (
+	.A1(n_10225),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [253]),
+	.B1(n_9585),
+	.B2(n_8973),
+	.Y(n_10943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676448 (
+	.A1(n_10225),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [252]),
+	.B1(n_9585),
+	.B2(n_8975),
+	.Y(n_10942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676449 (
+	.A1(n_10263),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [433]),
+	.B1(n_9404),
+	.B2(n_8999),
+	.Y(n_10941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676450 (
+	.A1(n_10263),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [432]),
+	.B1(n_9404),
+	.B2(n_8995),
+	.Y(n_10940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676451 (
+	.A1(n_10261),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [369]),
+	.B1(n_9404),
+	.B2(n_9001),
+	.Y(n_10939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676452 (
+	.A1(n_10261),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [368]),
+	.B1(n_9404),
+	.B2(n_8997),
+	.Y(n_10938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676453 (
+	.A1(n_10259),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [113]),
+	.B1(n_9398),
+	.B2(n_9001),
+	.Y(n_10937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676454 (
+	.A1(n_10259),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [112]),
+	.B1(n_9398),
+	.B2(n_8997),
+	.Y(n_10936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676455 (
+	.A1(n_10257),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [177]),
+	.B1(n_9398),
+	.B2(n_8999),
+	.Y(n_10935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676456 (
+	.A1(n_10257),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [176]),
+	.B1(n_9398),
+	.B2(n_8995),
+	.Y(n_10934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676457 (
+	.A1(n_10255),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [361]),
+	.B1(n_9400),
+	.B2(n_9001),
+	.Y(n_10933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676458 (
+	.A1(n_10255),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [360]),
+	.B1(n_9400),
+	.B2(n_8997),
+	.Y(n_10932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676459 (
+	.A1(n_10253),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [425]),
+	.B1(n_9400),
+	.B2(n_8999),
+	.Y(n_10931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676460 (
+	.A1(n_10253),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [424]),
+	.B1(n_9400),
+	.B2(n_8995),
+	.Y(n_10930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676461 (
+	.A1(n_10251),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [105]),
+	.B1(n_9402),
+	.B2(n_9001),
+	.Y(n_10929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676462 (
+	.A1(n_10251),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [104]),
+	.B1(n_9402),
+	.B2(n_8997),
+	.Y(n_10928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676463 (
+	.A1(n_10249),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [169]),
+	.B1(n_9402),
+	.B2(n_8999),
+	.Y(n_10927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676464 (
+	.A1(n_10249),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [168]),
+	.B1(n_9402),
+	.B2(n_8995),
+	.Y(n_10926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676465 (
+	.A1(n_10279),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [443]),
+	.B1(n_9579),
+	.B2(n_8999),
+	.Y(n_10925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676466 (
+	.A1(n_10279),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [442]),
+	.B1(n_9579),
+	.B2(n_8995),
+	.Y(n_10924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676467 (
+	.A1(n_10277),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [379]),
+	.B1(n_9579),
+	.B2(n_9001),
+	.Y(n_10923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676468 (
+	.A1(n_10277),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [378]),
+	.B1(n_9579),
+	.B2(n_8997),
+	.Y(n_10922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676469 (
+	.A1(n_10275),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [187]),
+	.B1(n_9591),
+	.B2(n_8999),
+	.Y(n_10921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676470 (
+	.A1(n_10275),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [186]),
+	.B1(n_9591),
+	.B2(n_8995),
+	.Y(n_10920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676471 (
+	.A1(n_10273),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [123]),
+	.B1(n_9591),
+	.B2(n_9001),
+	.Y(n_10919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676472 (
+	.A1(n_10273),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [122]),
+	.B1(n_9591),
+	.B2(n_8997),
+	.Y(n_10918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676473 (
+	.A1(n_10271),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [381]),
+	.B1(n_9589),
+	.B2(n_9001),
+	.Y(n_10917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676474 (
+	.A1(n_10271),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [380]),
+	.B1(n_9589),
+	.B2(n_8997),
+	.Y(n_10916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676475 (
+	.A1(n_10269),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [445]),
+	.B1(n_9589),
+	.B2(n_8999),
+	.Y(n_10915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676476 (
+	.A1(n_10269),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [444]),
+	.B1(n_9589),
+	.B2(n_8995),
+	.Y(n_10914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676477 (
+	.A1(n_10267),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [189]),
+	.B1(n_9585),
+	.B2(n_8999),
+	.Y(n_10913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676478 (
+	.A1(n_10267),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [188]),
+	.B1(n_9585),
+	.B2(n_8995),
+	.Y(n_10912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676479 (
+	.A1(n_10265),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [125]),
+	.B1(n_9585),
+	.B2(n_9001),
+	.Y(n_10911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g676480 (
+	.A1(n_10183),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [108]),
+	.B1(n_9394),
+	.B2(n_8997),
+	.Y(n_10910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g676481 (
+	.A(n_8145),
+	.Y(n_10906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676482 (
+	.A(n_10074),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [336]),
+	.Y(n_10905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676483 (
+	.A(n_10048),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [475]),
+	.Y(n_10904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676484 (
+	.A(n_10048),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [474]),
+	.Y(n_10903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676485 (
+	.A(n_10039),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [85]),
+	.Y(n_10902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676486 (
+	.A(n_10039),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [84]),
+	.Y(n_10901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676487 (
+	.A(n_10036),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [465]),
+	.Y(n_10900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676488 (
+	.A(n_10036),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [464]),
+	.Y(n_10899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676489 (
+	.A(n_10089),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [411]),
+	.Y(n_10898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676490 (
+	.A(n_10089),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [410]),
+	.Y(n_10897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676491 (
+	.A(n_10081),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [401]),
+	.Y(n_10896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676492 (
+	.A(n_10081),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [400]),
+	.Y(n_10895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676493 (
+	.A(n_10032),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [397]),
+	.Y(n_10894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676494 (
+	.A(n_10032),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [396]),
+	.Y(n_10893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676495 (
+	.A(n_10088),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [347]),
+	.Y(n_10892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676496 (
+	.A(n_10088),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [346]),
+	.Y(n_10891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g676497 (
+	.A(n_9075),
+	.B(n_10110),
+	.Y(n_32252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676498 (
+	.A(n_10025),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [339]),
+	.Y(n_10890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676499 (
+	.A(n_10025),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [338]),
+	.Y(n_10889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676500 (
+	.A(n_10024),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [403]),
+	.Y(n_10888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676501 (
+	.A(n_10024),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [402]),
+	.Y(n_10887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676502 (
+	.A(n_10099),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [217]),
+	.Y(n_10886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676503 (
+	.A(n_10099),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [216]),
+	.Y(n_10885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676504 (
+	.A(n_10098),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [473]),
+	.Y(n_10884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676505 (
+	.A(n_10098),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [472]),
+	.Y(n_10883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676506 (
+	.A(n_10023),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [331]),
+	.Y(n_10882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676507 (
+	.A(n_10023),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [330]),
+	.Y(n_10881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676508 (
+	.A(n_10080),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [81]),
+	.Y(n_10880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676509 (
+	.A(n_10080),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [80]),
+	.Y(n_10879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676510 (
+	.A(n_10079),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [145]),
+	.Y(n_10878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676511 (
+	.A(n_10079),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [144]),
+	.Y(n_10877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676512 (
+	.A(n_10012),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [209]),
+	.Y(n_10876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676513 (
+	.A(n_10012),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [208]),
+	.Y(n_10875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676514 (
+	.A(n_10011),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [395]),
+	.Y(n_10874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676515 (
+	.A(n_10011),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [394]),
+	.Y(n_10873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676516 (
+	.A(n_10078),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [329]),
+	.Y(n_10872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676517 (
+	.A(n_10078),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [328]),
+	.Y(n_10871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676518 (
+	.A(n_10077),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [393]),
+	.Y(n_10870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676519 (
+	.A(n_10077),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [392]),
+	.Y(n_10869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676520 (
+	.A(n_9988),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [457]),
+	.Y(n_10868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676521 (
+	.A(n_9988),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [456]),
+	.Y(n_10867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676522 (
+	.A(n_9984),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [77]),
+	.Y(n_10866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676523 (
+	.A(n_9984),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [76]),
+	.Y(n_10865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676524 (
+	.A(n_9981),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [141]),
+	.Y(n_10864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676525 (
+	.A(n_9981),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [140]),
+	.Y(n_10863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676526 (
+	.A1(n_8992),
+	.A2(n_9779),
+	.B1_N(n_10020),
+	.Y(n_10862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676527 (
+	.A(n_9973),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [139]),
+	.Y(n_10861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676528 (
+	.A(n_9973),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [138]),
+	.Y(n_10860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676529 (
+	.A(n_9971),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [75]),
+	.Y(n_10859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676530 (
+	.A(n_9971),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [74]),
+	.Y(n_10858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676531 (
+	.A(n_9965),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [149]),
+	.Y(n_10857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676532 (
+	.A(n_9965),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [148]),
+	.Y(n_10856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676533 (
+	.A(n_10076),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [73]),
+	.Y(n_10855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676534 (
+	.A(n_10076),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [72]),
+	.Y(n_10854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676535 (
+	.A(n_10075),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [137]),
+	.Y(n_10853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676536 (
+	.A(n_10075),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [136]),
+	.Y(n_10852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676537 (
+	.A(n_9960),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [201]),
+	.Y(n_10851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676538 (
+	.A(n_9960),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [200]),
+	.Y(n_10850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676539 (
+	.A(n_10087),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [91]),
+	.Y(n_10849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676540 (
+	.A(n_10087),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [90]),
+	.Y(n_10848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676541 (
+	.A(n_9958),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [219]),
+	.Y(n_10847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676542 (
+	.A(n_9958),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [218]),
+	.Y(n_10846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676543 (
+	.A(n_10086),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [155]),
+	.Y(n_10845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676544 (
+	.A(n_10086),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [154]),
+	.Y(n_10844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676545 (
+	.A(n_9957),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [333]),
+	.Y(n_10843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676546 (
+	.A(n_9957),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [332]),
+	.Y(n_10842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676547 (
+	.A(n_10085),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [349]),
+	.Y(n_10841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676548 (
+	.A(n_10085),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [348]),
+	.Y(n_10840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676549 (
+	.A(n_10084),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [413]),
+	.Y(n_10839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676550 (
+	.A(n_10084),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [412]),
+	.Y(n_10838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676551 (
+	.A(n_9953),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [477]),
+	.Y(n_10837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676552 (
+	.A(n_9953),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [476]),
+	.Y(n_10836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676553 (
+	.A(n_10083),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [157]),
+	.Y(n_10835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676554 (
+	.A(n_10083),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [156]),
+	.Y(n_10834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676555 (
+	.A(n_10082),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [93]),
+	.Y(n_10833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676556 (
+	.A(n_10082),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [92]),
+	.Y(n_10832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676557 (
+	.A(n_10074),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [337]),
+	.Y(n_10831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676558 (
+	.A(n_9952),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [221]),
+	.Y(n_10830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g676559 (
+	.A(n_9952),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [220]),
+	.Y(n_10829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676560 (
+	.A1(n_8979),
+	.A2(n_9763),
+	.B1_N(n_10090),
+	.Y(n_10828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g676561 (
+	.A1(n_9625),
+	.A2(n_9138),
+	.A3(n_31408),
+	.B1(n_9107),
+	.Y(n_10827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g676562 (
+	.A1(n_9275),
+	.A2(n_8290),
+	.A3(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [18]),
+	.B1(n_10123),
+	.Y(n_10826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676563 (
+	.A1(n_9180),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_timer[1]),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_timer[2]),
+	.Y(n_357120_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676564 (
+	.A1(n_9226),
+	.A2(n_9932),
+	.B1_N(n_10136),
+	.Y(n_30995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676565 (
+	.A1(n_8981),
+	.A2(n_9773),
+	.B1_N(n_10090),
+	.Y(n_10825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g676566 (
+	.A1(n_9744),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_is_sideeffects_r),
+	.B1(n_31532),
+	.C1(n_31408),
+	.Y(n_364823_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111o_1 g676567 (
+	.A1(n_9935),
+	.A2(brqrv_top_brqrv_dec_decode_any_csr_d),
+	.B1(n_8462),
+	.C1(n_9077),
+	.D1(n_9247),
+	.X(n_10824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g676568 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme6[5]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme6[0]),
+	.C(n_9944),
+	.Y(n_10823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676569 (
+	.A1(n_8983),
+	.A2(n_9835),
+	.B1_N(n_10091),
+	.Y(n_10822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g676570 (
+	.A(n_8830),
+	.B(n_8827),
+	.C(n_8838),
+	.D(n_9624),
+	.Y(n_10821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676571 (
+	.A1(n_9088),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt),
+	.B1(n_10131),
+	.Y(n_10820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676572 (
+	.A1(n_9087),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt),
+	.B1(n_10130),
+	.Y(n_10819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g676573 (
+	.A1(n_9628),
+	.A2(n_9102),
+	.A3(n_8793),
+	.B1(n_9311),
+	.Y(n_10818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g676574 (
+	.A1(n_9627),
+	.A2(n_9101),
+	.A3(n_8794),
+	.B1(n_9343),
+	.Y(n_10817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676575 (
+	.A1(n_8992),
+	.A2(n_9916),
+	.B1(n_10296),
+	.Y(n_10816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676576 (
+	.A1(n_8993),
+	.A2(n_9915),
+	.B1(n_10296),
+	.Y(n_10815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676577 (
+	.A1(n_8992),
+	.A2(n_9914),
+	.B1(n_10295),
+	.Y(n_10814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676578 (
+	.A1(n_8993),
+	.A2(n_9913),
+	.B1(n_10295),
+	.Y(n_10813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g676579 (
+	.A1(n_9618),
+	.A2(n_8507),
+	.A3(brqrv_top_brqrv_dec_tlu_mhpme5[5]),
+	.B1(n_9318),
+	.Y(n_369086_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676580 (
+	.A1(n_8992),
+	.A2(n_9815),
+	.B1(n_10290),
+	.Y(n_10812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676581 (
+	.A1(n_8993),
+	.A2(n_9814),
+	.B1(n_10290),
+	.Y(n_10811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676582 (
+	.A1(n_8993),
+	.A2(n_9846),
+	.B1(n_10289),
+	.Y(n_10810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676583 (
+	.A1(n_8992),
+	.A2(n_9847),
+	.B1(n_10289),
+	.Y(n_10809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676584 (
+	.A1(n_8992),
+	.A2(n_9831),
+	.B1(n_10288),
+	.Y(n_10808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676585 (
+	.A1(n_8993),
+	.A2(n_9832),
+	.B1(n_10288),
+	.Y(n_10807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676586 (
+	.A1(n_8992),
+	.A2(n_9830),
+	.B1(n_10287),
+	.Y(n_10806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676587 (
+	.A1(n_8993),
+	.A2(n_9809),
+	.B1(n_10287),
+	.Y(n_10805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676588 (
+	.A1(n_8992),
+	.A2(n_9853),
+	.B1(n_10286),
+	.Y(n_10804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676589 (
+	.A1(n_8993),
+	.A2(n_9852),
+	.B1(n_10286),
+	.Y(n_10803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676590 (
+	.A1(n_8992),
+	.A2(n_9774),
+	.B1(n_10285),
+	.Y(n_10802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676591 (
+	.A1(n_8993),
+	.A2(n_9833),
+	.B1(n_10285),
+	.Y(n_10801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676592 (
+	.A1(n_8992),
+	.A2(n_9829),
+	.B1(n_10284),
+	.Y(n_10800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676593 (
+	.A1(n_8993),
+	.A2(n_9828),
+	.B1(n_10284),
+	.Y(n_10799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676594 (
+	.A1(n_8992),
+	.A2(n_9817),
+	.B1(n_10283),
+	.Y(n_10798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676595 (
+	.A1(n_8993),
+	.A2(n_9816),
+	.B1(n_10283),
+	.Y(n_10797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676596 (
+	.A1(n_8985),
+	.A2(n_9914),
+	.B1(n_10294),
+	.Y(n_10796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676597 (
+	.A1(n_8983),
+	.A2(n_9913),
+	.B1(n_10294),
+	.Y(n_10795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676598 (
+	.A1(n_8981),
+	.A2(n_9914),
+	.B1(n_10293),
+	.Y(n_10794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676599 (
+	.A1(n_8979),
+	.A2(n_9913),
+	.B1(n_10293),
+	.Y(n_10793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676600 (
+	.A1(n_8985),
+	.A2(n_9916),
+	.B1(n_10292),
+	.Y(n_10792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676601 (
+	.A1(n_8983),
+	.A2(n_9915),
+	.B1(n_10292),
+	.Y(n_10791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676602 (
+	.A1(n_8981),
+	.A2(n_9916),
+	.B1(n_10291),
+	.Y(n_10790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676603 (
+	.A1(n_8979),
+	.A2(n_9915),
+	.B1(n_10291),
+	.Y(n_10789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676604 (
+	.A1(n_8992),
+	.A2(n_9821),
+	.B1(n_10248),
+	.Y(n_10788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676605 (
+	.A1(n_8993),
+	.A2(n_9819),
+	.B1(n_10248),
+	.Y(n_10787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676606 (
+	.A1(n_8992),
+	.A2(n_9813),
+	.B1(n_10247),
+	.Y(n_10786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676607 (
+	.A1(n_8993),
+	.A2(n_9811),
+	.B1(n_10247),
+	.Y(n_10785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676608 (
+	.A1(n_8992),
+	.A2(n_9851),
+	.B1(n_10246),
+	.Y(n_10784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676609 (
+	.A1(n_8993),
+	.A2(n_9849),
+	.B1(n_10246),
+	.Y(n_10783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676610 (
+	.A1(n_8992),
+	.A2(n_9841),
+	.B1(n_10245),
+	.Y(n_10782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676611 (
+	.A1(n_8993),
+	.A2(n_9840),
+	.B1(n_10245),
+	.Y(n_10781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676612 (
+	.A1(n_8992),
+	.A2(n_9845),
+	.B1(n_10244),
+	.Y(n_10780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676613 (
+	.A1(n_8993),
+	.A2(n_9843),
+	.B1(n_10244),
+	.Y(n_10779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676614 (
+	.A1(n_8992),
+	.A2(n_9839),
+	.B1(n_10243),
+	.Y(n_10778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676615 (
+	.A1(n_8993),
+	.A2(n_9838),
+	.B1(n_10243),
+	.Y(n_10777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676616 (
+	.A1(n_8992),
+	.A2(n_9837),
+	.B1(n_10242),
+	.Y(n_10776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676617 (
+	.A1(n_8993),
+	.A2(n_9836),
+	.B1(n_10242),
+	.Y(n_10775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676618 (
+	.A1(n_8992),
+	.A2(n_9827),
+	.B1(n_10241),
+	.Y(n_10774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676619 (
+	.A1(n_8993),
+	.A2(n_9826),
+	.B1(n_10241),
+	.Y(n_10773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676620 (
+	.A1(n_8992),
+	.A2(n_9825),
+	.B1(n_10240),
+	.Y(n_10772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676621 (
+	.A1(n_8993),
+	.A2(n_9824),
+	.B1(n_10240),
+	.Y(n_10771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676622 (
+	.A1(n_8992),
+	.A2(n_9823),
+	.B1(n_10239),
+	.Y(n_10770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676623 (
+	.A1(n_8993),
+	.A2(n_9822),
+	.B1(n_10239),
+	.Y(n_10769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676624 (
+	.A1(n_8985),
+	.A2(n_9847),
+	.B1(n_10280),
+	.Y(n_10768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676625 (
+	.A1(n_8983),
+	.A2(n_9846),
+	.B1(n_10280),
+	.Y(n_10767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676626 (
+	.A1(n_8981),
+	.A2(n_9847),
+	.B1(n_10278),
+	.Y(n_10766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676627 (
+	.A1(n_8979),
+	.A2(n_9846),
+	.B1(n_10278),
+	.Y(n_10765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676628 (
+	.A1(n_8985),
+	.A2(n_9815),
+	.B1(n_10276),
+	.Y(n_10764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676629 (
+	.A1(n_8983),
+	.A2(n_9814),
+	.B1(n_10276),
+	.Y(n_10763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676630 (
+	.A1(n_8981),
+	.A2(n_9815),
+	.B1(n_10274),
+	.Y(n_10762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676631 (
+	.A1(n_8979),
+	.A2(n_9814),
+	.B1(n_10274),
+	.Y(n_10761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676632 (
+	.A1(n_8981),
+	.A2(n_9831),
+	.B1(n_10272),
+	.Y(n_10760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676633 (
+	.A1(n_8979),
+	.A2(n_9832),
+	.B1(n_10272),
+	.Y(n_10759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676634 (
+	.A1(n_8985),
+	.A2(n_9831),
+	.B1(n_10270),
+	.Y(n_10758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676635 (
+	.A1(n_8983),
+	.A2(n_9832),
+	.B1(n_10270),
+	.Y(n_10757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676636 (
+	.A1(n_8985),
+	.A2(n_9830),
+	.B1(n_10268),
+	.Y(n_10756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676637 (
+	.A1(n_8983),
+	.A2(n_9809),
+	.B1(n_10268),
+	.Y(n_10755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676638 (
+	.A1(n_8981),
+	.A2(n_9830),
+	.B1(n_10266),
+	.Y(n_10754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676639 (
+	.A1(n_8979),
+	.A2(n_9809),
+	.B1(n_10266),
+	.Y(n_10753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676640 (
+	.A1(n_8985),
+	.A2(n_9853),
+	.B1(n_10264),
+	.Y(n_10752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676641 (
+	.A1(n_8983),
+	.A2(n_9852),
+	.B1(n_10264),
+	.Y(n_10751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676642 (
+	.A1(n_8981),
+	.A2(n_9853),
+	.B1(n_10262),
+	.Y(n_10750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676643 (
+	.A1(n_8979),
+	.A2(n_9852),
+	.B1(n_10262),
+	.Y(n_10749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676644 (
+	.A1(n_8981),
+	.A2(n_9774),
+	.B1(n_10260),
+	.Y(n_10748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676645 (
+	.A1(n_8979),
+	.A2(n_9833),
+	.B1(n_10260),
+	.Y(n_10747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676646 (
+	.A1(n_8985),
+	.A2(n_9774),
+	.B1(n_10258),
+	.Y(n_10746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676647 (
+	.A1(n_8983),
+	.A2(n_9833),
+	.B1(n_10258),
+	.Y(n_10745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676648 (
+	.A1(n_8981),
+	.A2(n_9829),
+	.B1(n_10256),
+	.Y(n_10744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676649 (
+	.A1(n_8979),
+	.A2(n_9828),
+	.B1(n_10256),
+	.Y(n_10743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676650 (
+	.A1(n_8985),
+	.A2(n_9829),
+	.B1(n_10254),
+	.Y(n_10742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676651 (
+	.A1(n_8983),
+	.A2(n_9828),
+	.B1(n_10254),
+	.Y(n_10741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676652 (
+	.A1(n_8981),
+	.A2(n_9817),
+	.B1(n_10252),
+	.Y(n_10740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676653 (
+	.A1(n_8979),
+	.A2(n_9816),
+	.B1(n_10252),
+	.Y(n_10739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676654 (
+	.A1(n_8985),
+	.A2(n_9817),
+	.B1(n_10250),
+	.Y(n_10738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676655 (
+	.A1(n_8983),
+	.A2(n_9816),
+	.B1(n_10250),
+	.Y(n_10737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676656 (
+	.A1(n_8992),
+	.A2(n_9861),
+	.B1(n_10236),
+	.Y(n_10736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676657 (
+	.A1(n_8993),
+	.A2(n_9859),
+	.B1(n_10236),
+	.Y(n_10735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676658 (
+	.A1(n_8992),
+	.A2(n_9857),
+	.B1(n_10234),
+	.Y(n_10734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676659 (
+	.A1(n_8993),
+	.A2(n_9855),
+	.B1(n_10234),
+	.Y(n_10733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676660 (
+	.A1(n_8977),
+	.A2(n_9916),
+	.B1(n_10282),
+	.Y(n_10732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676661 (
+	.A1(n_8987),
+	.A2(n_9915),
+	.B1(n_10282),
+	.Y(n_10731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676662 (
+	.A1(n_8977),
+	.A2(n_9914),
+	.B1(n_10281),
+	.Y(n_10730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676663 (
+	.A1(n_8987),
+	.A2(n_9913),
+	.B1(n_10281),
+	.Y(n_10729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676664 (
+	.A1(n_8991),
+	.A2(n_9916),
+	.B1(n_10238),
+	.Y(n_10728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676665 (
+	.A1(n_8989),
+	.A2(n_9915),
+	.B1(n_10238),
+	.Y(n_10727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676666 (
+	.A1(n_8991),
+	.A2(n_9914),
+	.B1(n_10237),
+	.Y(n_10726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676667 (
+	.A1(n_8989),
+	.A2(n_9913),
+	.B1(n_10237),
+	.Y(n_10725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676668 (
+	.A1(n_8992),
+	.A2(n_9773),
+	.B1(n_10172),
+	.Y(n_10724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676669 (
+	.A1(n_8993),
+	.A2(n_9763),
+	.B1(n_10172),
+	.Y(n_10723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676670 (
+	.A1(n_8992),
+	.A2(n_9757),
+	.B1(n_10170),
+	.Y(n_10722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676671 (
+	.A1(n_8993),
+	.A2(n_9776),
+	.B1(n_10170),
+	.Y(n_10721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676672 (
+	.A1(n_8992),
+	.A2(n_9752),
+	.B1(n_10168),
+	.Y(n_10720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676673 (
+	.A1(n_8993),
+	.A2(n_9754),
+	.B1(n_10168),
+	.Y(n_10719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676674 (
+	.A1(n_8992),
+	.A2(n_9778),
+	.B1(n_10166),
+	.Y(n_10718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676675 (
+	.A1(n_8993),
+	.A2(n_9835),
+	.B1(n_10166),
+	.Y(n_10717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676676 (
+	.A1(n_8985),
+	.A2(n_9821),
+	.B1(n_10216),
+	.Y(n_10716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676677 (
+	.A1(n_8983),
+	.A2(n_9819),
+	.B1(n_10216),
+	.Y(n_10715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676678 (
+	.A1(n_8981),
+	.A2(n_9821),
+	.B1(n_10214),
+	.Y(n_10714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676679 (
+	.A1(n_8979),
+	.A2(n_9819),
+	.B1(n_10214),
+	.Y(n_10713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676680 (
+	.A1(n_8981),
+	.A2(n_9813),
+	.B1(n_10212),
+	.Y(n_10712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676681 (
+	.A1(n_8979),
+	.A2(n_9811),
+	.B1(n_10212),
+	.Y(n_10711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676682 (
+	.A1(n_8985),
+	.A2(n_9813),
+	.B1(n_10210),
+	.Y(n_10710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676683 (
+	.A1(n_8983),
+	.A2(n_9811),
+	.B1(n_10210),
+	.Y(n_10709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676684 (
+	.A1(n_8985),
+	.A2(n_9851),
+	.B1(n_10208),
+	.Y(n_10708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676685 (
+	.A1(n_8983),
+	.A2(n_9849),
+	.B1(n_10208),
+	.Y(n_10707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676686 (
+	.A1(n_8981),
+	.A2(n_9851),
+	.B1(n_10206),
+	.Y(n_10706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676687 (
+	.A1(n_8979),
+	.A2(n_9849),
+	.B1(n_10206),
+	.Y(n_10705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676688 (
+	.A1(n_8985),
+	.A2(n_9845),
+	.B1(n_10204),
+	.Y(n_10704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676689 (
+	.A1(n_8983),
+	.A2(n_9843),
+	.B1(n_10204),
+	.Y(n_10703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676690 (
+	.A1(n_8981),
+	.A2(n_9845),
+	.B1(n_10202),
+	.Y(n_10702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676691 (
+	.A1(n_8979),
+	.A2(n_9843),
+	.B1(n_10202),
+	.Y(n_10701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676692 (
+	.A1(n_8985),
+	.A2(n_9823),
+	.B1(n_10200),
+	.Y(n_10700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676693 (
+	.A1(n_8983),
+	.A2(n_9822),
+	.B1(n_10200),
+	.Y(n_10699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676694 (
+	.A1(n_8981),
+	.A2(n_9823),
+	.B1(n_10198),
+	.Y(n_10698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676695 (
+	.A1(n_8979),
+	.A2(n_9822),
+	.B1(n_10198),
+	.Y(n_10697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676696 (
+	.A1(n_8981),
+	.A2(n_9839),
+	.B1(n_10196),
+	.Y(n_10696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676697 (
+	.A1(n_8979),
+	.A2(n_9838),
+	.B1(n_10196),
+	.Y(n_10695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676698 (
+	.A1(n_8985),
+	.A2(n_9839),
+	.B1(n_10194),
+	.Y(n_10694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676699 (
+	.A1(n_8983),
+	.A2(n_9838),
+	.B1(n_10194),
+	.Y(n_10693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676700 (
+	.A1(n_8981),
+	.A2(n_9837),
+	.B1(n_10192),
+	.Y(n_10692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676701 (
+	.A1(n_8979),
+	.A2(n_9836),
+	.B1(n_10192),
+	.Y(n_10691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676702 (
+	.A1(n_8985),
+	.A2(n_9837),
+	.B1(n_10190),
+	.Y(n_10690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676703 (
+	.A1(n_8983),
+	.A2(n_9836),
+	.B1(n_10190),
+	.Y(n_10689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676704 (
+	.A1(n_8985),
+	.A2(n_9841),
+	.B1(n_10188),
+	.Y(n_10688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676705 (
+	.A1(n_8983),
+	.A2(n_9840),
+	.B1(n_10188),
+	.Y(n_10687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676706 (
+	.A1(n_8981),
+	.A2(n_9841),
+	.B1(n_10186),
+	.Y(n_10686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676707 (
+	.A1(n_8979),
+	.A2(n_9840),
+	.B1(n_10186),
+	.Y(n_10685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676708 (
+	.A1(n_8981),
+	.A2(n_9827),
+	.B1(n_10184),
+	.Y(n_10684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676709 (
+	.A1(n_8979),
+	.A2(n_9826),
+	.B1(n_10184),
+	.Y(n_10683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676710 (
+	.A1(n_8985),
+	.A2(n_9827),
+	.B1(n_10182),
+	.Y(n_10682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676711 (
+	.A1(n_8983),
+	.A2(n_9826),
+	.B1(n_10182),
+	.Y(n_10681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676712 (
+	.A1(n_8985),
+	.A2(n_9825),
+	.B1(n_10180),
+	.Y(n_10680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676713 (
+	.A1(n_8983),
+	.A2(n_9824),
+	.B1(n_10180),
+	.Y(n_10679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676714 (
+	.A1(n_8981),
+	.A2(n_9825),
+	.B1(n_10178),
+	.Y(n_10678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676715 (
+	.A1(n_8979),
+	.A2(n_9824),
+	.B1(n_10178),
+	.Y(n_10677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676716 (
+	.A1(n_8992),
+	.A2(n_9789),
+	.B1_N(n_10140),
+	.Y(n_10676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676717 (
+	.A1(n_8993),
+	.A2(n_9791),
+	.B1_N(n_10140),
+	.Y(n_10675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676718 (
+	.A1(n_8992),
+	.A2(n_9793),
+	.B1_N(n_10139),
+	.Y(n_10674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676719 (
+	.A1(n_8993),
+	.A2(n_9795),
+	.B1_N(n_10139),
+	.Y(n_10673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676720 (
+	.A1(n_8992),
+	.A2(n_9797),
+	.B1_N(n_10138),
+	.Y(n_10672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676721 (
+	.A1(n_8993),
+	.A2(n_9799),
+	.B1_N(n_10138),
+	.Y(n_10671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676722 (
+	.A1(n_8992),
+	.A2(n_9801),
+	.B1_N(n_10137),
+	.Y(n_10670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676723 (
+	.A1(n_8993),
+	.A2(n_9803),
+	.B1_N(n_10137),
+	.Y(n_10669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676724 (
+	.A1(n_8977),
+	.A2(n_9815),
+	.B1(n_10232),
+	.Y(n_10668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676725 (
+	.A1(n_8987),
+	.A2(n_9814),
+	.B1(n_10232),
+	.Y(n_10667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676726 (
+	.A1(n_8977),
+	.A2(n_9847),
+	.B1(n_10230),
+	.Y(n_10666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676727 (
+	.A1(n_8987),
+	.A2(n_9846),
+	.B1(n_10230),
+	.Y(n_10665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676728 (
+	.A1(n_8977),
+	.A2(n_9831),
+	.B1(n_10228),
+	.Y(n_10664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676729 (
+	.A1(n_8987),
+	.A2(n_9832),
+	.B1(n_10228),
+	.Y(n_10663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676730 (
+	.A1(n_8977),
+	.A2(n_9830),
+	.B1(n_10226),
+	.Y(n_10662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676731 (
+	.A1(n_8987),
+	.A2(n_9809),
+	.B1(n_10226),
+	.Y(n_10661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676732 (
+	.A1(n_8977),
+	.A2(n_9853),
+	.B1(n_10224),
+	.Y(n_10660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676733 (
+	.A1(n_8987),
+	.A2(n_9852),
+	.B1(n_10224),
+	.Y(n_10659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676734 (
+	.A1(n_8977),
+	.A2(n_9774),
+	.B1(n_10222),
+	.Y(n_10658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676735 (
+	.A1(n_8987),
+	.A2(n_9833),
+	.B1(n_10222),
+	.Y(n_10657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676736 (
+	.A1(n_8977),
+	.A2(n_9829),
+	.B1(n_10220),
+	.Y(n_10656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676737 (
+	.A1(n_8987),
+	.A2(n_9828),
+	.B1(n_10220),
+	.Y(n_10655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676738 (
+	.A1(n_8977),
+	.A2(n_9817),
+	.B1(n_10218),
+	.Y(n_10654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676739 (
+	.A1(n_8987),
+	.A2(n_9816),
+	.B1(n_10218),
+	.Y(n_10653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676740 (
+	.A1(n_8981),
+	.A2(n_9861),
+	.B1(n_10148),
+	.Y(n_10652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676741 (
+	.A1(n_8979),
+	.A2(n_9859),
+	.B1(n_10148),
+	.Y(n_10651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676742 (
+	.A1(n_8985),
+	.A2(n_9861),
+	.B1(n_10146),
+	.Y(n_10650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676743 (
+	.A1(n_8983),
+	.A2(n_9859),
+	.B1(n_10146),
+	.Y(n_10649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676744 (
+	.A1(n_8981),
+	.A2(n_9857),
+	.B1(n_10144),
+	.Y(n_10648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676745 (
+	.A1(n_8979),
+	.A2(n_9855),
+	.B1(n_10144),
+	.Y(n_10647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676746 (
+	.A1(n_8985),
+	.A2(n_9857),
+	.B1(n_10142),
+	.Y(n_10646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676747 (
+	.A1(n_8983),
+	.A2(n_9855),
+	.B1(n_10142),
+	.Y(n_10645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676748 (
+	.A1(n_8989),
+	.A2(n_9809),
+	.B1(n_10158),
+	.Y(n_10644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676749 (
+	.A1(n_8991),
+	.A2(n_9815),
+	.B1(n_10164),
+	.Y(n_10643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676750 (
+	.A1(n_8989),
+	.A2(n_9814),
+	.B1(n_10164),
+	.Y(n_10642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676751 (
+	.A1(n_8991),
+	.A2(n_9847),
+	.B1(n_10162),
+	.Y(n_10641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676752 (
+	.A1(n_8989),
+	.A2(n_9846),
+	.B1(n_10162),
+	.Y(n_10640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676753 (
+	.A1(n_8991),
+	.A2(n_9831),
+	.B1(n_10160),
+	.Y(n_10639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676754 (
+	.A1(n_8989),
+	.A2(n_9832),
+	.B1(n_10160),
+	.Y(n_10638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676755 (
+	.A1(n_8991),
+	.A2(n_9830),
+	.B1(n_10158),
+	.Y(n_10637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676756 (
+	.A1(n_8991),
+	.A2(n_9853),
+	.B1(n_10156),
+	.Y(n_10636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676757 (
+	.A1(n_8989),
+	.A2(n_9852),
+	.B1(n_10156),
+	.Y(n_10635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676758 (
+	.A1(n_8991),
+	.A2(n_9774),
+	.B1(n_10154),
+	.Y(n_10634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676759 (
+	.A1(n_8989),
+	.A2(n_9833),
+	.B1(n_10154),
+	.Y(n_10633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676760 (
+	.A1(n_8991),
+	.A2(n_9829),
+	.B1(n_10152),
+	.Y(n_10632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676761 (
+	.A1(n_8989),
+	.A2(n_9828),
+	.B1(n_10152),
+	.Y(n_10631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676762 (
+	.A1(n_8991),
+	.A2(n_9817),
+	.B1(n_10150),
+	.Y(n_10630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676763 (
+	.A1(n_8989),
+	.A2(n_9816),
+	.B1(n_10150),
+	.Y(n_10629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676764 (
+	.A1(n_8957),
+	.A2(n_9914),
+	.B1(n_10176),
+	.Y(n_10628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676765 (
+	.A1(n_8955),
+	.A2(n_9913),
+	.B1(n_10176),
+	.Y(n_10627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676766 (
+	.A1(n_8953),
+	.A2(n_9914),
+	.B1(n_10175),
+	.Y(n_10626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676767 (
+	.A1(n_8951),
+	.A2(n_9913),
+	.B1(n_10175),
+	.Y(n_10625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676768 (
+	.A1(n_8953),
+	.A2(n_9916),
+	.B1(n_10174),
+	.Y(n_10624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676769 (
+	.A1(n_8951),
+	.A2(n_9915),
+	.B1(n_10174),
+	.Y(n_10623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676770 (
+	.A1(n_8957),
+	.A2(n_9916),
+	.B1(n_10173),
+	.Y(n_10622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g676771 (
+	.A1(n_8955),
+	.A2(n_9915),
+	.B1(n_10173),
+	.Y(n_10621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676772 (
+	.A1(n_8985),
+	.A2(n_9773),
+	.B1_N(n_10097),
+	.Y(n_10620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676773 (
+	.A1(n_8983),
+	.A2(n_9763),
+	.B1_N(n_10097),
+	.Y(n_10619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676774 (
+	.A1(n_8985),
+	.A2(n_9752),
+	.B1_N(n_10096),
+	.Y(n_10618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676775 (
+	.A1(n_8983),
+	.A2(n_9754),
+	.B1_N(n_10096),
+	.Y(n_10617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676776 (
+	.A1(n_8985),
+	.A2(n_9757),
+	.B1_N(n_10095),
+	.Y(n_10616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676777 (
+	.A1(n_8983),
+	.A2(n_9776),
+	.B1_N(n_10095),
+	.Y(n_10615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676778 (
+	.A1(n_8981),
+	.A2(n_9757),
+	.B1_N(n_10094),
+	.Y(n_10614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676779 (
+	.A1(n_8979),
+	.A2(n_9776),
+	.B1_N(n_10094),
+	.Y(n_10613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676780 (
+	.A1(n_8981),
+	.A2(n_9752),
+	.B1_N(n_10093),
+	.Y(n_10612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676781 (
+	.A1(n_8979),
+	.A2(n_9754),
+	.B1_N(n_10093),
+	.Y(n_10611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676782 (
+	.A1(n_8981),
+	.A2(n_9778),
+	.B1_N(n_10092),
+	.Y(n_10610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676783 (
+	.A1(n_8979),
+	.A2(n_9835),
+	.B1_N(n_10092),
+	.Y(n_10609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676784 (
+	.A1(n_8985),
+	.A2(n_9778),
+	.B1_N(n_10091),
+	.Y(n_10608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g676785 (
+	.A(n_31395),
+	.B(n_10136),
+	.Y(n_10909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676786 (
+	.A1(n_9949),
+	.A2(n_9746),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.Y(n_10908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g676787 (
+	.A(n_9948),
+	.B(n_8281),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [6]),
+	.Y(n_10907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676789 (
+	.A1(n_8993),
+	.A2(n_9781),
+	.B1_N(n_10020),
+	.Y(n_10606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676790 (
+	.A1(n_8992),
+	.A2(n_9783),
+	.B1_N(n_10003),
+	.Y(n_10605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676791 (
+	.A1(n_8993),
+	.A2(n_9785),
+	.B1_N(n_10003),
+	.Y(n_10604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676792 (
+	.A1(n_8977),
+	.A2(n_9821),
+	.B1_N(n_10297),
+	.Y(n_10603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676793 (
+	.A1(n_8987),
+	.A2(n_9819),
+	.B1_N(n_10297),
+	.Y(n_10602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676794 (
+	.A1(n_8977),
+	.A2(n_9813),
+	.B1_N(n_10108),
+	.Y(n_10601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676795 (
+	.A1(n_8987),
+	.A2(n_9811),
+	.B1_N(n_10108),
+	.Y(n_10600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676796 (
+	.A1(n_8977),
+	.A2(n_9851),
+	.B1_N(n_10107),
+	.Y(n_10599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676797 (
+	.A1(n_8987),
+	.A2(n_9849),
+	.B1_N(n_10107),
+	.Y(n_10598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676798 (
+	.A1(n_8977),
+	.A2(n_9845),
+	.B1_N(n_10106),
+	.Y(n_10597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676799 (
+	.A1(n_8987),
+	.A2(n_9843),
+	.B1_N(n_10106),
+	.Y(n_10596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676800 (
+	.A1(n_8977),
+	.A2(n_9841),
+	.B1_N(n_10105),
+	.Y(n_10595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676801 (
+	.A1(n_8987),
+	.A2(n_9840),
+	.B1_N(n_10105),
+	.Y(n_10594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676802 (
+	.A1(n_8977),
+	.A2(n_9839),
+	.B1_N(n_10104),
+	.Y(n_10593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676803 (
+	.A1(n_8987),
+	.A2(n_9838),
+	.B1_N(n_10104),
+	.Y(n_10592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676804 (
+	.A1(n_8977),
+	.A2(n_9837),
+	.B1_N(n_10103),
+	.Y(n_10591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676805 (
+	.A1(n_8987),
+	.A2(n_9836),
+	.B1_N(n_10103),
+	.Y(n_10590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676806 (
+	.A1(n_8977),
+	.A2(n_9827),
+	.B1_N(n_10102),
+	.Y(n_10589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676807 (
+	.A1(n_8987),
+	.A2(n_9826),
+	.B1_N(n_10102),
+	.Y(n_10588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676808 (
+	.A1(n_8977),
+	.A2(n_9825),
+	.B1_N(n_10101),
+	.Y(n_10587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676809 (
+	.A1(n_8987),
+	.A2(n_9824),
+	.B1_N(n_10101),
+	.Y(n_10586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676810 (
+	.A1(n_8977),
+	.A2(n_9823),
+	.B1_N(n_10100),
+	.Y(n_10585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676811 (
+	.A1(n_8987),
+	.A2(n_9822),
+	.B1_N(n_10100),
+	.Y(n_10584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676812 (
+	.A1(n_8981),
+	.A2(n_9789),
+	.B1_N(n_10061),
+	.Y(n_10583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676813 (
+	.A1(n_8979),
+	.A2(n_9791),
+	.B1_N(n_10061),
+	.Y(n_10582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676814 (
+	.A1(n_8985),
+	.A2(n_9789),
+	.B1_N(n_10060),
+	.Y(n_10581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676815 (
+	.A1(n_8983),
+	.A2(n_9791),
+	.B1_N(n_10060),
+	.Y(n_10580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676816 (
+	.A1(n_8985),
+	.A2(n_9793),
+	.B1_N(n_10059),
+	.Y(n_10579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676817 (
+	.A1(n_8983),
+	.A2(n_9795),
+	.B1_N(n_10059),
+	.Y(n_10578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676818 (
+	.A1(n_8981),
+	.A2(n_9793),
+	.B1_N(n_10058),
+	.Y(n_10577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676819 (
+	.A1(n_8979),
+	.A2(n_9795),
+	.B1_N(n_10058),
+	.Y(n_10576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676820 (
+	.A1(n_8985),
+	.A2(n_9797),
+	.B1_N(n_10057),
+	.Y(n_10575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676821 (
+	.A1(n_8983),
+	.A2(n_9799),
+	.B1_N(n_10057),
+	.Y(n_10574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676822 (
+	.A1(n_8981),
+	.A2(n_9797),
+	.B1_N(n_10056),
+	.Y(n_10573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676823 (
+	.A1(n_8979),
+	.A2(n_9799),
+	.B1_N(n_10056),
+	.Y(n_10572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676824 (
+	.A1(n_8985),
+	.A2(n_9801),
+	.B1_N(n_10055),
+	.Y(n_10571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676825 (
+	.A1(n_8983),
+	.A2(n_9803),
+	.B1_N(n_10055),
+	.Y(n_10570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676826 (
+	.A1(n_8981),
+	.A2(n_9801),
+	.B1_N(n_10054),
+	.Y(n_10569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676827 (
+	.A1(n_8979),
+	.A2(n_9803),
+	.B1_N(n_10054),
+	.Y(n_10568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676828 (
+	.A1(n_8991),
+	.A2(n_9821),
+	.B1_N(n_10073),
+	.Y(n_10567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676829 (
+	.A1(n_8989),
+	.A2(n_9819),
+	.B1_N(n_10073),
+	.Y(n_10566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676830 (
+	.A1(n_8991),
+	.A2(n_9813),
+	.B1_N(n_10072),
+	.Y(n_10565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676831 (
+	.A1(n_8989),
+	.A2(n_9811),
+	.B1_N(n_10072),
+	.Y(n_10564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676832 (
+	.A1(n_8991),
+	.A2(n_9851),
+	.B1_N(n_10071),
+	.Y(n_10563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676833 (
+	.A1(n_8989),
+	.A2(n_9849),
+	.B1_N(n_10071),
+	.Y(n_10562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676834 (
+	.A1(n_8991),
+	.A2(n_9841),
+	.B1_N(n_10070),
+	.Y(n_10561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676835 (
+	.A1(n_8989),
+	.A2(n_9840),
+	.B1_N(n_10070),
+	.Y(n_10560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676836 (
+	.A1(n_8991),
+	.A2(n_9845),
+	.B1_N(n_10069),
+	.Y(n_10559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676837 (
+	.A1(n_8989),
+	.A2(n_9843),
+	.B1_N(n_10069),
+	.Y(n_10558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676838 (
+	.A1(n_8991),
+	.A2(n_9839),
+	.B1_N(n_10068),
+	.Y(n_10557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676839 (
+	.A1(n_8989),
+	.A2(n_9838),
+	.B1_N(n_10068),
+	.Y(n_10556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676840 (
+	.A1(n_8991),
+	.A2(n_9837),
+	.B1_N(n_10067),
+	.Y(n_10555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676841 (
+	.A1(n_8989),
+	.A2(n_9836),
+	.B1_N(n_10067),
+	.Y(n_10554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676842 (
+	.A1(n_8991),
+	.A2(n_9827),
+	.B1_N(n_10066),
+	.Y(n_10553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676843 (
+	.A1(n_8989),
+	.A2(n_9826),
+	.B1_N(n_10066),
+	.Y(n_10552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676844 (
+	.A1(n_8991),
+	.A2(n_9825),
+	.B1_N(n_10065),
+	.Y(n_10551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676845 (
+	.A1(n_8989),
+	.A2(n_9824),
+	.B1_N(n_10065),
+	.Y(n_10550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676846 (
+	.A1(n_8991),
+	.A2(n_9823),
+	.B1_N(n_10064),
+	.Y(n_10549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676847 (
+	.A1(n_8989),
+	.A2(n_9822),
+	.B1_N(n_10064),
+	.Y(n_10548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g676848 (
+	.A(n_9245),
+	.B(n_9224),
+	.C(n_9937),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_5424),
+	.Y(n_10547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g676849 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[12]),
+	.A2(n_8156),
+	.B1(n_8619),
+	.C1(n_8889),
+	.D1(n_10118),
+	.Y(n_10546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g676850 (
+	.A1(n_8926),
+	.A2(n_9608),
+	.B1(n_9089),
+	.B2(n_9133),
+	.C1(n_9372),
+	.C2(n_9194),
+	.Y(n_364288_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g676851 (
+	.A1(n_9125),
+	.A2(n_9607),
+	.B1(n_9116),
+	.B2(n_9073),
+	.C1(n_9373),
+	.C2(n_9132),
+	.Y(n_364293_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676852 (
+	.A1(n_9860),
+	.A2(n_8976),
+	.B1(n_10063),
+	.Y(n_10545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676853 (
+	.A1(n_9858),
+	.A2(n_8986),
+	.B1(n_10063),
+	.Y(n_10544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676854 (
+	.A1(n_9856),
+	.A2(n_8976),
+	.B1(n_10062),
+	.Y(n_10543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676855 (
+	.A1(n_9854),
+	.A2(n_8986),
+	.B1(n_10062),
+	.Y(n_10542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676856 (
+	.A1(n_8953),
+	.A2(n_9847),
+	.B1_N(n_10089),
+	.Y(n_10541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676857 (
+	.A1(n_8951),
+	.A2(n_9846),
+	.B1_N(n_10089),
+	.Y(n_10540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676858 (
+	.A1(n_8957),
+	.A2(n_9847),
+	.B1_N(n_10088),
+	.Y(n_10539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676859 (
+	.A1(n_8955),
+	.A2(n_9846),
+	.B1_N(n_10088),
+	.Y(n_10538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676860 (
+	.A1(n_8957),
+	.A2(n_9815),
+	.B1_N(n_10087),
+	.Y(n_10537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676861 (
+	.A1(n_8955),
+	.A2(n_9814),
+	.B1_N(n_10087),
+	.Y(n_10536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676862 (
+	.A1(n_8953),
+	.A2(n_9815),
+	.B1_N(n_10086),
+	.Y(n_10535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676863 (
+	.A1(n_8951),
+	.A2(n_9814),
+	.B1_N(n_10086),
+	.Y(n_10534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676864 (
+	.A1(n_8957),
+	.A2(n_9831),
+	.B1_N(n_10085),
+	.Y(n_10533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676865 (
+	.A1(n_8955),
+	.A2(n_9832),
+	.B1_N(n_10085),
+	.Y(n_10532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676866 (
+	.A1(n_8953),
+	.A2(n_9831),
+	.B1_N(n_10084),
+	.Y(n_10531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676867 (
+	.A1(n_8951),
+	.A2(n_9832),
+	.B1_N(n_10084),
+	.Y(n_10530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676868 (
+	.A1(n_8953),
+	.A2(n_9830),
+	.B1_N(n_10083),
+	.Y(n_10529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676869 (
+	.A1(n_8951),
+	.A2(n_9809),
+	.B1_N(n_10083),
+	.Y(n_10528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676870 (
+	.A1(n_8957),
+	.A2(n_9830),
+	.B1_N(n_10082),
+	.Y(n_10527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676871 (
+	.A1(n_8955),
+	.A2(n_9809),
+	.B1_N(n_10082),
+	.Y(n_10526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676872 (
+	.A1(n_8957),
+	.A2(n_9853),
+	.B1_N(n_10074),
+	.Y(n_10525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676873 (
+	.A1(n_8955),
+	.A2(n_9852),
+	.B1_N(n_10074),
+	.Y(n_10524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676874 (
+	.A1(n_8953),
+	.A2(n_9853),
+	.B1_N(n_10081),
+	.Y(n_10523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676875 (
+	.A1(n_8951),
+	.A2(n_9852),
+	.B1_N(n_10081),
+	.Y(n_10522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676876 (
+	.A1(n_8957),
+	.A2(n_9774),
+	.B1_N(n_10080),
+	.Y(n_10521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676877 (
+	.A1(n_8955),
+	.A2(n_9833),
+	.B1_N(n_10080),
+	.Y(n_10520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676878 (
+	.A1(n_8953),
+	.A2(n_9774),
+	.B1_N(n_10079),
+	.Y(n_10519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676879 (
+	.A1(n_8951),
+	.A2(n_9833),
+	.B1_N(n_10079),
+	.Y(n_10518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676880 (
+	.A1(n_8957),
+	.A2(n_9829),
+	.B1_N(n_10078),
+	.Y(n_10517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676881 (
+	.A1(n_8955),
+	.A2(n_9828),
+	.B1_N(n_10078),
+	.Y(n_10516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676882 (
+	.A1(n_8953),
+	.A2(n_9829),
+	.B1_N(n_10077),
+	.Y(n_10515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676883 (
+	.A1(n_8951),
+	.A2(n_9828),
+	.B1_N(n_10077),
+	.Y(n_10514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676884 (
+	.A1(n_8957),
+	.A2(n_9817),
+	.B1_N(n_10076),
+	.Y(n_10513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676885 (
+	.A1(n_8955),
+	.A2(n_9816),
+	.B1_N(n_10076),
+	.Y(n_10512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676886 (
+	.A1(n_8953),
+	.A2(n_9817),
+	.B1_N(n_10075),
+	.Y(n_10511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676887 (
+	.A1(n_8951),
+	.A2(n_9816),
+	.B1_N(n_10075),
+	.Y(n_10510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676888 (
+	.A1(n_9860),
+	.A2(n_8990),
+	.B1(n_10000),
+	.Y(n_10509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676889 (
+	.A1(n_9858),
+	.A2(n_8988),
+	.B1(n_10000),
+	.Y(n_10508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676890 (
+	.A1(n_9856),
+	.A2(n_8990),
+	.B1(n_9979),
+	.Y(n_10507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676891 (
+	.A1(n_9854),
+	.A2(n_8988),
+	.B1(n_9979),
+	.Y(n_10506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676892 (
+	.A1(n_8969),
+	.A2(n_9914),
+	.B1_N(n_10099),
+	.Y(n_10505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676893 (
+	.A1(n_8967),
+	.A2(n_9913),
+	.B1_N(n_10099),
+	.Y(n_10504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676894 (
+	.A1(n_8969),
+	.A2(n_9916),
+	.B1_N(n_10098),
+	.Y(n_10503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676895 (
+	.A1(n_8967),
+	.A2(n_9915),
+	.B1_N(n_10098),
+	.Y(n_10502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676896 (
+	.A1(n_9772),
+	.A2(n_8976),
+	.B1(n_10052),
+	.Y(n_10501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676897 (
+	.A1(n_9762),
+	.A2(n_8986),
+	.B1(n_10052),
+	.Y(n_10500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676898 (
+	.A1(n_9751),
+	.A2(n_8976),
+	.B1(n_10014),
+	.Y(n_10499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676899 (
+	.A1(n_9753),
+	.A2(n_8986),
+	.B1(n_10014),
+	.Y(n_10498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676900 (
+	.A1(n_9756),
+	.A2(n_8976),
+	.B1(n_10010),
+	.Y(n_10497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676901 (
+	.A1(n_9775),
+	.A2(n_8986),
+	.B1(n_10010),
+	.Y(n_10496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676902 (
+	.A1(n_9777),
+	.A2(n_8976),
+	.B1(n_9992),
+	.Y(n_10495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676903 (
+	.A1(n_9834),
+	.A2(n_8986),
+	.B1(n_9992),
+	.Y(n_10494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676904 (
+	.A1(n_9780),
+	.A2(n_8980),
+	.B1(n_10028),
+	.Y(n_10493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676905 (
+	.A1(n_9782),
+	.A2(n_8978),
+	.B1(n_10028),
+	.Y(n_10492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676906 (
+	.A1(n_9780),
+	.A2(n_8984),
+	.B1(n_10018),
+	.Y(n_10491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676907 (
+	.A1(n_9782),
+	.A2(n_8982),
+	.B1(n_10018),
+	.Y(n_10490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676908 (
+	.A1(n_9784),
+	.A2(n_8984),
+	.B1(n_9998),
+	.Y(n_10489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676909 (
+	.A1(n_9786),
+	.A2(n_8982),
+	.B1(n_9998),
+	.Y(n_10488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676910 (
+	.A1(n_9784),
+	.A2(n_8980),
+	.B1(n_9995),
+	.Y(n_10487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676911 (
+	.A1(n_9786),
+	.A2(n_8978),
+	.B1(n_9995),
+	.Y(n_10486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676912 (
+	.A1(n_9772),
+	.A2(n_8990),
+	.B1(n_10053),
+	.Y(n_10485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676913 (
+	.A1(n_9762),
+	.A2(n_8988),
+	.B1(n_10053),
+	.Y(n_10484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676914 (
+	.A1(n_9751),
+	.A2(n_8990),
+	.B1(n_10015),
+	.Y(n_10483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676915 (
+	.A1(n_9753),
+	.A2(n_8988),
+	.B1(n_10015),
+	.Y(n_10482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676916 (
+	.A1(n_9756),
+	.A2(n_8990),
+	.B1(n_10008),
+	.Y(n_10481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676917 (
+	.A1(n_9775),
+	.A2(n_8988),
+	.B1(n_10008),
+	.Y(n_10480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676918 (
+	.A1(n_9777),
+	.A2(n_8990),
+	.B1(n_9990),
+	.Y(n_10479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676919 (
+	.A1(n_9834),
+	.A2(n_8988),
+	.B1(n_9990),
+	.Y(n_10478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676920 (
+	.A1(n_9788),
+	.A2(n_8976),
+	.B1(n_10041),
+	.Y(n_10477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676921 (
+	.A1(n_9790),
+	.A2(n_8986),
+	.B1(n_10041),
+	.Y(n_10476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676922 (
+	.A1(n_9792),
+	.A2(n_8976),
+	.B1(n_10035),
+	.Y(n_10475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676923 (
+	.A1(n_9794),
+	.A2(n_8986),
+	.B1(n_10035),
+	.Y(n_10474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676924 (
+	.A1(n_9796),
+	.A2(n_8976),
+	.B1(n_9982),
+	.Y(n_10473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676925 (
+	.A1(n_9798),
+	.A2(n_8986),
+	.B1(n_9982),
+	.Y(n_10472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676926 (
+	.A1(n_9800),
+	.A2(n_8976),
+	.B1(n_9969),
+	.Y(n_10471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676927 (
+	.A1(n_9802),
+	.A2(n_8986),
+	.B1(n_9969),
+	.Y(n_10470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676928 (
+	.A1(n_9820),
+	.A2(n_8956),
+	.B1(n_9964),
+	.Y(n_10469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676929 (
+	.A1(n_9818),
+	.A2(n_8954),
+	.B1(n_9964),
+	.Y(n_10468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676930 (
+	.A1(n_9820),
+	.A2(n_8952),
+	.B1(n_9961),
+	.Y(n_10467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676931 (
+	.A1(n_9818),
+	.A2(n_8950),
+	.B1(n_9961),
+	.Y(n_10466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676932 (
+	.A1(n_9812),
+	.A2(n_8956),
+	.B1(n_9956),
+	.Y(n_10465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676933 (
+	.A1(n_9810),
+	.A2(n_8954),
+	.B1(n_9956),
+	.Y(n_10464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676934 (
+	.A1(n_9812),
+	.A2(n_8952),
+	.B1(n_9955),
+	.Y(n_10463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676935 (
+	.A1(n_9810),
+	.A2(n_8950),
+	.B1(n_9955),
+	.Y(n_10462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676936 (
+	.A1(n_9850),
+	.A2(n_8956),
+	.B1(n_10049),
+	.Y(n_10461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676937 (
+	.A1(n_9848),
+	.A2(n_8954),
+	.B1(n_10049),
+	.Y(n_10460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676938 (
+	.A1(n_9850),
+	.A2(n_8952),
+	.B1(n_10047),
+	.Y(n_10459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676939 (
+	.A1(n_9848),
+	.A2(n_8950),
+	.B1(n_10047),
+	.Y(n_10458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676940 (
+	.A1(n_9844),
+	.A2(n_8956),
+	.B1(n_10038),
+	.Y(n_10457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676941 (
+	.A1(n_8957),
+	.A2(n_9823),
+	.B1_N(n_10039),
+	.Y(n_10456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676942 (
+	.A1(n_8955),
+	.A2(n_9822),
+	.B1_N(n_10039),
+	.Y(n_10455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676943 (
+	.A1(n_9842),
+	.A2(n_8954),
+	.B1(n_10038),
+	.Y(n_10454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676944 (
+	.A1(n_9844),
+	.A2(n_8952),
+	.B1(n_10033),
+	.Y(n_10453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676945 (
+	.A1(n_9842),
+	.A2(n_8950),
+	.B1(n_10033),
+	.Y(n_10452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676946 (
+	.A1(n_8953),
+	.A2(n_9841),
+	.B1_N(n_10032),
+	.Y(n_10451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676947 (
+	.A1(n_8951),
+	.A2(n_9840),
+	.B1_N(n_10032),
+	.Y(n_10450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676948 (
+	.A1(n_8957),
+	.A2(n_9839),
+	.B1_N(n_10025),
+	.Y(n_10449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676949 (
+	.A1(n_8955),
+	.A2(n_9838),
+	.B1_N(n_10025),
+	.Y(n_10448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676950 (
+	.A1(n_8953),
+	.A2(n_9839),
+	.B1_N(n_10024),
+	.Y(n_10447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676951 (
+	.A1(n_8951),
+	.A2(n_9838),
+	.B1_N(n_10024),
+	.Y(n_10446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676952 (
+	.A1(n_8957),
+	.A2(n_9837),
+	.B1_N(n_10023),
+	.Y(n_10445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676953 (
+	.A1(n_8955),
+	.A2(n_9836),
+	.B1_N(n_10023),
+	.Y(n_10444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676954 (
+	.A1(n_8953),
+	.A2(n_9837),
+	.B1_N(n_10011),
+	.Y(n_10443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676955 (
+	.A1(n_8951),
+	.A2(n_9836),
+	.B1_N(n_10011),
+	.Y(n_10442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676956 (
+	.A1(n_8957),
+	.A2(n_9827),
+	.B1_N(n_9984),
+	.Y(n_10441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676957 (
+	.A1(n_8955),
+	.A2(n_9826),
+	.B1_N(n_9984),
+	.Y(n_10440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676958 (
+	.A1(n_8953),
+	.A2(n_9827),
+	.B1_N(n_9981),
+	.Y(n_10439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676959 (
+	.A1(n_8951),
+	.A2(n_9826),
+	.B1_N(n_9981),
+	.Y(n_10438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676960 (
+	.A1(n_8953),
+	.A2(n_9825),
+	.B1_N(n_9973),
+	.Y(n_10437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676961 (
+	.A1(n_8951),
+	.A2(n_9824),
+	.B1_N(n_9973),
+	.Y(n_10436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676962 (
+	.A1(n_8957),
+	.A2(n_9825),
+	.B1_N(n_9971),
+	.Y(n_10435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676963 (
+	.A1(n_8955),
+	.A2(n_9824),
+	.B1_N(n_9971),
+	.Y(n_10434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676964 (
+	.A1(n_8953),
+	.A2(n_9823),
+	.B1_N(n_9965),
+	.Y(n_10433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676965 (
+	.A1(n_8951),
+	.A2(n_9822),
+	.B1_N(n_9965),
+	.Y(n_10432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676966 (
+	.A1(n_8957),
+	.A2(n_9841),
+	.B1_N(n_9957),
+	.Y(n_10431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676967 (
+	.A1(n_8955),
+	.A2(n_9840),
+	.B1_N(n_9957),
+	.Y(n_10430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676968 (
+	.A1(n_9788),
+	.A2(n_8990),
+	.B1(n_10045),
+	.Y(n_10429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676969 (
+	.A1(n_9790),
+	.A2(n_8988),
+	.B1(n_10045),
+	.Y(n_10428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676970 (
+	.A1(n_9792),
+	.A2(n_8990),
+	.B1(n_10037),
+	.Y(n_10427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676971 (
+	.A1(n_9794),
+	.A2(n_8988),
+	.B1(n_10037),
+	.Y(n_10426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676972 (
+	.A1(n_9796),
+	.A2(n_8990),
+	.B1(n_9986),
+	.Y(n_10425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676973 (
+	.A1(n_9798),
+	.A2(n_8988),
+	.B1(n_9986),
+	.Y(n_10424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676974 (
+	.A1(n_9800),
+	.A2(n_8990),
+	.B1(n_9968),
+	.Y(n_10423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676975 (
+	.A1(n_9802),
+	.A2(n_8988),
+	.B1(n_9968),
+	.Y(n_10422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676976 (
+	.A1(n_8969),
+	.A2(n_9847),
+	.B1_N(n_10048),
+	.Y(n_10421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676977 (
+	.A1(n_8967),
+	.A2(n_9846),
+	.B1_N(n_10048),
+	.Y(n_10420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676978 (
+	.A1(n_8969),
+	.A2(n_9815),
+	.B1_N(n_9958),
+	.Y(n_10419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676979 (
+	.A1(n_8967),
+	.A2(n_9814),
+	.B1_N(n_9958),
+	.Y(n_10418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676980 (
+	.A1(n_8969),
+	.A2(n_9831),
+	.B1_N(n_9953),
+	.Y(n_10417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676981 (
+	.A1(n_8967),
+	.A2(n_9832),
+	.B1_N(n_9953),
+	.Y(n_10416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676982 (
+	.A1(n_8969),
+	.A2(n_9830),
+	.B1_N(n_9952),
+	.Y(n_10415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676983 (
+	.A1(n_8967),
+	.A2(n_9809),
+	.B1_N(n_9952),
+	.Y(n_10414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676984 (
+	.A1(n_8969),
+	.A2(n_9853),
+	.B1_N(n_10036),
+	.Y(n_10413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676985 (
+	.A1(n_8967),
+	.A2(n_9852),
+	.B1_N(n_10036),
+	.Y(n_10412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676986 (
+	.A1(n_8969),
+	.A2(n_9774),
+	.B1_N(n_10012),
+	.Y(n_10411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676987 (
+	.A1(n_8967),
+	.A2(n_9833),
+	.B1_N(n_10012),
+	.Y(n_10410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676988 (
+	.A1(n_8969),
+	.A2(n_9829),
+	.B1_N(n_9988),
+	.Y(n_10409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676989 (
+	.A1(n_8967),
+	.A2(n_9828),
+	.B1_N(n_9988),
+	.Y(n_10408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676990 (
+	.A1(n_8969),
+	.A2(n_9817),
+	.B1_N(n_9960),
+	.Y(n_10407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g676991 (
+	.A1(n_8967),
+	.A2(n_9816),
+	.B1_N(n_9960),
+	.Y(n_10406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676992 (
+	.A1(n_9860),
+	.A2(n_8956),
+	.B1(n_9996),
+	.Y(n_10405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676993 (
+	.A1(n_9858),
+	.A2(n_8954),
+	.B1(n_9996),
+	.Y(n_10404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676994 (
+	.A1(n_9860),
+	.A2(n_8952),
+	.B1(n_9994),
+	.Y(n_10403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676995 (
+	.A1(n_9858),
+	.A2(n_8950),
+	.B1(n_9994),
+	.Y(n_10402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676996 (
+	.A1(n_9856),
+	.A2(n_8956),
+	.B1(n_9978),
+	.Y(n_10401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676997 (
+	.A1(n_9854),
+	.A2(n_8954),
+	.B1(n_9978),
+	.Y(n_10400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676998 (
+	.A1(n_9856),
+	.A2(n_8952),
+	.B1(n_9977),
+	.Y(n_10399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g676999 (
+	.A1(n_9854),
+	.A2(n_8950),
+	.B1(n_9977),
+	.Y(n_10398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677000 (
+	.A1(n_9784),
+	.A2(n_8976),
+	.B1(n_10050),
+	.Y(n_10397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677001 (
+	.A1(n_9786),
+	.A2(n_8986),
+	.B1(n_10050),
+	.Y(n_10396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677002 (
+	.A1(n_9780),
+	.A2(n_8976),
+	.B1(n_10022),
+	.Y(n_10395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677003 (
+	.A1(n_9782),
+	.A2(n_8986),
+	.B1(n_10022),
+	.Y(n_10394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677004 (
+	.A1(n_9756),
+	.A2(n_8956),
+	.B1(n_10013),
+	.Y(n_10393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677005 (
+	.A1(n_9775),
+	.A2(n_8954),
+	.B1(n_10013),
+	.Y(n_10392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677006 (
+	.A1(n_9756),
+	.A2(n_8952),
+	.B1(n_10009),
+	.Y(n_10391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677007 (
+	.A1(n_9775),
+	.A2(n_8950),
+	.B1(n_10009),
+	.Y(n_10390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677008 (
+	.A1(n_9751),
+	.A2(n_8952),
+	.B1(n_10005),
+	.Y(n_10389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677009 (
+	.A1(n_9753),
+	.A2(n_8950),
+	.B1(n_10005),
+	.Y(n_10388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677010 (
+	.A1(n_9751),
+	.A2(n_8956),
+	.B1(n_10004),
+	.Y(n_10387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677011 (
+	.A1(n_9753),
+	.A2(n_8954),
+	.B1(n_10004),
+	.Y(n_10386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677012 (
+	.A1(n_9777),
+	.A2(n_8956),
+	.B1(n_9991),
+	.Y(n_10385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677013 (
+	.A1(n_9834),
+	.A2(n_8954),
+	.B1(n_9991),
+	.Y(n_10384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677014 (
+	.A1(n_9777),
+	.A2(n_8952),
+	.B1(n_9989),
+	.Y(n_10383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677015 (
+	.A1(n_9834),
+	.A2(n_8950),
+	.B1(n_9989),
+	.Y(n_10382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677016 (
+	.A1(n_9772),
+	.A2(n_8956),
+	.B1(n_9975),
+	.Y(n_10381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677017 (
+	.A1(n_9762),
+	.A2(n_8954),
+	.B1(n_9975),
+	.Y(n_10380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677018 (
+	.A1(n_9772),
+	.A2(n_8952),
+	.B1(n_9963),
+	.Y(n_10379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677019 (
+	.A1(n_9762),
+	.A2(n_8950),
+	.B1(n_9963),
+	.Y(n_10378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677020 (
+	.A1(n_9780),
+	.A2(n_8990),
+	.B1(n_10021),
+	.Y(n_10377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677021 (
+	.A1(n_9782),
+	.A2(n_8988),
+	.B1(n_10021),
+	.Y(n_10376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677022 (
+	.A1(n_9784),
+	.A2(n_8990),
+	.B1(n_9959),
+	.Y(n_10375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677023 (
+	.A1(n_9786),
+	.A2(n_8988),
+	.B1(n_9959),
+	.Y(n_10374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677024 (
+	.A1(n_9820),
+	.A2(n_8968),
+	.B1(n_9962),
+	.Y(n_10373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677025 (
+	.A1(n_9818),
+	.A2(n_8966),
+	.B1(n_9962),
+	.Y(n_10372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677026 (
+	.A1(n_9812),
+	.A2(n_8968),
+	.B1(n_9954),
+	.Y(n_10371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677027 (
+	.A1(n_9810),
+	.A2(n_8966),
+	.B1(n_9954),
+	.Y(n_10370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677028 (
+	.A1(n_9850),
+	.A2(n_8968),
+	.B1(n_10046),
+	.Y(n_10369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677029 (
+	.A1(n_9848),
+	.A2(n_8966),
+	.B1(n_10046),
+	.Y(n_10368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677030 (
+	.A1(n_9844),
+	.A2(n_8968),
+	.B1(n_10043),
+	.Y(n_10367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677031 (
+	.A1(n_9842),
+	.A2(n_8966),
+	.B1(n_10043),
+	.Y(n_10366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g677032 (
+	.A1(n_8969),
+	.A2(n_9841),
+	.B1_N(n_10029),
+	.Y(n_10365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g677033 (
+	.A1(n_8967),
+	.A2(n_9840),
+	.B1_N(n_10029),
+	.Y(n_10364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g677034 (
+	.A1(n_8969),
+	.A2(n_9839),
+	.B1_N(n_10027),
+	.Y(n_10363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g677035 (
+	.A1(n_8967),
+	.A2(n_9838),
+	.B1_N(n_10027),
+	.Y(n_10362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g677036 (
+	.A1(n_8969),
+	.A2(n_9837),
+	.B1_N(n_10006),
+	.Y(n_10361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g677037 (
+	.A1(n_8967),
+	.A2(n_9836),
+	.B1_N(n_10006),
+	.Y(n_10360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g677038 (
+	.A1(n_8969),
+	.A2(n_9827),
+	.B1_N(n_9980),
+	.Y(n_10359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g677039 (
+	.A1(n_8967),
+	.A2(n_9826),
+	.B1_N(n_9980),
+	.Y(n_10358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g677040 (
+	.A1(n_8969),
+	.A2(n_9825),
+	.B1_N(n_9974),
+	.Y(n_10357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g677041 (
+	.A1(n_8967),
+	.A2(n_9824),
+	.B1_N(n_9974),
+	.Y(n_10356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g677042 (
+	.A1(n_8969),
+	.A2(n_9823),
+	.B1_N(n_9966),
+	.Y(n_10355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g677043 (
+	.A1(n_8967),
+	.A2(n_9822),
+	.B1_N(n_9966),
+	.Y(n_10354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677044 (
+	.A1(n_9788),
+	.A2(n_8952),
+	.B1(n_10044),
+	.Y(n_10353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677045 (
+	.A1(n_9790),
+	.A2(n_8950),
+	.B1(n_10044),
+	.Y(n_10352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677046 (
+	.A1(n_9788),
+	.A2(n_8956),
+	.B1(n_10040),
+	.Y(n_10351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677047 (
+	.A1(n_9790),
+	.A2(n_8954),
+	.B1(n_10040),
+	.Y(n_10350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677048 (
+	.A1(n_9792),
+	.A2(n_8952),
+	.B1(n_10031),
+	.Y(n_10349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677049 (
+	.A1(n_9794),
+	.A2(n_8950),
+	.B1(n_10031),
+	.Y(n_10348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677050 (
+	.A1(n_9792),
+	.A2(n_8956),
+	.B1(n_10030),
+	.Y(n_10347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677051 (
+	.A1(n_9794),
+	.A2(n_8954),
+	.B1(n_10030),
+	.Y(n_10346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677052 (
+	.A1(n_9796),
+	.A2(n_8956),
+	.B1(n_9985),
+	.Y(n_10345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677053 (
+	.A1(n_9798),
+	.A2(n_8954),
+	.B1(n_9985),
+	.Y(n_10344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677054 (
+	.A1(n_9796),
+	.A2(n_8952),
+	.B1(n_9983),
+	.Y(n_10343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677055 (
+	.A1(n_9798),
+	.A2(n_8950),
+	.B1(n_9983),
+	.Y(n_10342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677056 (
+	.A1(n_9800),
+	.A2(n_8956),
+	.B1(n_9972),
+	.Y(n_10341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677057 (
+	.A1(n_9802),
+	.A2(n_8954),
+	.B1(n_9972),
+	.Y(n_10340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677058 (
+	.A1(n_9800),
+	.A2(n_8952),
+	.B1(n_9970),
+	.Y(n_10339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677059 (
+	.A1(n_9802),
+	.A2(n_8950),
+	.B1(n_9970),
+	.Y(n_10338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677060 (
+	.A1(n_9860),
+	.A2(n_8968),
+	.B1(n_10002),
+	.Y(n_10337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677061 (
+	.A1(n_9858),
+	.A2(n_8966),
+	.B1(n_10002),
+	.Y(n_10336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677062 (
+	.A1(n_9856),
+	.A2(n_8968),
+	.B1(n_9976),
+	.Y(n_10335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677063 (
+	.A1(n_9854),
+	.A2(n_8966),
+	.B1(n_9976),
+	.Y(n_10334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677064 (
+	.A1(n_9772),
+	.A2(n_8968),
+	.B1(n_10051),
+	.Y(n_10333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677065 (
+	.A1(n_9762),
+	.A2(n_8966),
+	.B1(n_10051),
+	.Y(n_10332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677066 (
+	.A1(n_9751),
+	.A2(n_8968),
+	.B1(n_10016),
+	.Y(n_10331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677067 (
+	.A1(n_9753),
+	.A2(n_8966),
+	.B1(n_10016),
+	.Y(n_10330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677068 (
+	.A1(n_9756),
+	.A2(n_8968),
+	.B1(n_10007),
+	.Y(n_10329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677069 (
+	.A1(n_9775),
+	.A2(n_8966),
+	.B1(n_10007),
+	.Y(n_10328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677070 (
+	.A1(n_9777),
+	.A2(n_8968),
+	.B1(n_9993),
+	.Y(n_10327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677071 (
+	.A1(n_9834),
+	.A2(n_8966),
+	.B1(n_9993),
+	.Y(n_10326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677072 (
+	.A1(n_9780),
+	.A2(n_8952),
+	.B1(n_10026),
+	.Y(n_10325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677073 (
+	.A1(n_9782),
+	.A2(n_8950),
+	.B1(n_10026),
+	.Y(n_10324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677074 (
+	.A1(n_9780),
+	.A2(n_8956),
+	.B1(n_10017),
+	.Y(n_10323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677075 (
+	.A1(n_9782),
+	.A2(n_8954),
+	.B1(n_10017),
+	.Y(n_10322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677076 (
+	.A1(n_9784),
+	.A2(n_8956),
+	.B1(n_10001),
+	.Y(n_10321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677077 (
+	.A1(n_9786),
+	.A2(n_8954),
+	.B1(n_10001),
+	.Y(n_10320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677078 (
+	.A1(n_9784),
+	.A2(n_8952),
+	.B1(n_9997),
+	.Y(n_10319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677079 (
+	.A1(n_9786),
+	.A2(n_8950),
+	.B1(n_9997),
+	.Y(n_10318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677080 (
+	.A1(n_9788),
+	.A2(n_8968),
+	.B1(n_10042),
+	.Y(n_10317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677081 (
+	.A1(n_9790),
+	.A2(n_8966),
+	.B1(n_10042),
+	.Y(n_10316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677082 (
+	.A1(n_9792),
+	.A2(n_8968),
+	.B1(n_10034),
+	.Y(n_10315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677083 (
+	.A1(n_9794),
+	.A2(n_8966),
+	.B1(n_10034),
+	.Y(n_10314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677084 (
+	.A1(n_9796),
+	.A2(n_8968),
+	.B1(n_9987),
+	.Y(n_10313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677085 (
+	.A1(n_9798),
+	.A2(n_8966),
+	.B1(n_9987),
+	.Y(n_10312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677086 (
+	.A1(n_9800),
+	.A2(n_8968),
+	.B1(n_9967),
+	.Y(n_10311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677087 (
+	.A1(n_9802),
+	.A2(n_8966),
+	.B1(n_9967),
+	.Y(n_10310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677088 (
+	.A1(n_9780),
+	.A2(n_8968),
+	.B1(n_10019),
+	.Y(n_10309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677089 (
+	.A1(n_9782),
+	.A2(n_8966),
+	.B1(n_10019),
+	.Y(n_10308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677090 (
+	.A1(n_9784),
+	.A2(n_8968),
+	.B1(n_9999),
+	.Y(n_10307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677091 (
+	.A1(n_9786),
+	.A2(n_8966),
+	.B1(n_9999),
+	.Y(n_10306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a311oi_1 g677092 (
+	.A1(n_9769),
+	.A2(n_8788),
+	.A3(n_8499),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[7]),
+	.C1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[5]),
+	.Y(n_10305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677093 (
+	.A1(n_8825),
+	.A2(brqrv_top_brqrv_dec_tlu_mcyclel_cout_f),
+	.B1(n_10117),
+	.Y(n_10304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g677094 (
+	.A(n_9951),
+	.B(n_9244),
+	.Y(n_10303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g677095 (
+	.A1(n_9190),
+	.A2(n_9951),
+	.B1(n_9191),
+	.Y(n_10607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677097 (
+	.A(n_10280),
+	.Y(n_10279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677098 (
+	.A(n_10278),
+	.Y(n_10277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677099 (
+	.A(n_10276),
+	.Y(n_10275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677100 (
+	.A(n_10274),
+	.Y(n_10273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677101 (
+	.A(n_10272),
+	.Y(n_10271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677102 (
+	.A(n_10270),
+	.Y(n_10269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677103 (
+	.A(n_10268),
+	.Y(n_10267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677104 (
+	.A(n_10266),
+	.Y(n_10265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677105 (
+	.A(n_10264),
+	.Y(n_10263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677106 (
+	.A(n_10262),
+	.Y(n_10261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677107 (
+	.A(n_10260),
+	.Y(n_10259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677108 (
+	.A(n_10258),
+	.Y(n_10257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677109 (
+	.A(n_10256),
+	.Y(n_10255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677110 (
+	.A(n_10254),
+	.Y(n_10253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677111 (
+	.A(n_10252),
+	.Y(n_10251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677112 (
+	.A(n_10250),
+	.Y(n_10249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677113 (
+	.A(n_10236),
+	.Y(n_10235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677114 (
+	.A(n_10234),
+	.Y(n_10233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677115 (
+	.A(n_10232),
+	.Y(n_10231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677116 (
+	.A(n_10230),
+	.Y(n_10229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677117 (
+	.A(n_10228),
+	.Y(n_10227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677118 (
+	.A(n_10226),
+	.Y(n_10225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677119 (
+	.A(n_10224),
+	.Y(n_10223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677120 (
+	.A(n_10222),
+	.Y(n_10221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677121 (
+	.A(n_10220),
+	.Y(n_10219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677122 (
+	.A(n_10218),
+	.Y(n_10217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677123 (
+	.A(n_10216),
+	.Y(n_10215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677124 (
+	.A(n_10214),
+	.Y(n_10213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677125 (
+	.A(n_10212),
+	.Y(n_10211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677126 (
+	.A(n_10210),
+	.Y(n_10209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677127 (
+	.A(n_10208),
+	.Y(n_10207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677128 (
+	.A(n_10206),
+	.Y(n_10205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677129 (
+	.A(n_10204),
+	.Y(n_10203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677130 (
+	.A(n_10202),
+	.Y(n_10201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677131 (
+	.A(n_10200),
+	.Y(n_10199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677132 (
+	.A(n_10198),
+	.Y(n_10197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677133 (
+	.A(n_10196),
+	.Y(n_10195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677134 (
+	.A(n_10194),
+	.Y(n_10193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677135 (
+	.A(n_10192),
+	.Y(n_10191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677136 (
+	.A(n_10190),
+	.Y(n_10189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677137 (
+	.A(n_10188),
+	.Y(n_10187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677138 (
+	.A(n_10186),
+	.Y(n_10185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677139 (
+	.A(n_10184),
+	.Y(n_10183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677140 (
+	.A(n_10182),
+	.Y(n_10181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677141 (
+	.A(n_10180),
+	.Y(n_10179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677142 (
+	.A(n_10178),
+	.Y(n_10177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677143 (
+	.A(n_10172),
+	.Y(n_10171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677144 (
+	.A(n_10170),
+	.Y(n_10169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677145 (
+	.A(n_10168),
+	.Y(n_10167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677146 (
+	.A(n_10166),
+	.Y(n_10165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677147 (
+	.A(n_10164),
+	.Y(n_10163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677148 (
+	.A(n_10162),
+	.Y(n_10161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677149 (
+	.A(n_10160),
+	.Y(n_10159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677150 (
+	.A(n_10158),
+	.Y(n_10157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677151 (
+	.A(n_10156),
+	.Y(n_10155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677152 (
+	.A(n_10154),
+	.Y(n_10153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677153 (
+	.A(n_10152),
+	.Y(n_10151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677154 (
+	.A(n_10150),
+	.Y(n_10149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677155 (
+	.A(n_10148),
+	.Y(n_10147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677156 (
+	.A(n_10146),
+	.Y(n_10145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677157 (
+	.A(n_10144),
+	.Y(n_10143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677158 (
+	.A(n_10142),
+	.Y(n_10141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g677159 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [21]),
+	.B_N(n_8120),
+	.Y(n_10135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g677160 (
+	.A(n_9933),
+	.B(n_8429),
+	.Y(n_10134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g677161 (
+	.A1(n_31085),
+	.A2(n_9340),
+	.B1(n_31410),
+	.C1(n_9227),
+	.Y(n_10133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o311ai_1 g677162 (
+	.A1(n_8301),
+	.A2(n_31424),
+	.A3(n_9130),
+	.B1(n_31405),
+	.C1(n_9694),
+	.Y(n_10132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677163 (
+	.A(n_9043),
+	.B(n_9942),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6864 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g677164 (
+	.A1(n_31083),
+	.A2(n_9250),
+	.A3(n_9331),
+	.B1(n_31812),
+	.Y(n_10131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677165 (
+	.A(n_9038),
+	.B(n_9942),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6862 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677166 (
+	.A(n_9041),
+	.B(n_9941),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6859 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677167 (
+	.A(n_9168),
+	.B(n_9939),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6873 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g677168 (
+	.A1(n_31081),
+	.A2(n_9233),
+	.A3(n_9322),
+	.B1(n_31804),
+	.Y(n_10130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677169 (
+	.A(n_9043),
+	.B(n_9941),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6865 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677170 (
+	.A(n_9041),
+	.B(n_9942),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6858 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677171 (
+	.A(n_9166),
+	.B(n_9939),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6871 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677172 (
+	.A(n_9172),
+	.B(n_9939),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6869 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677173 (
+	.A(n_9176),
+	.B(n_9939),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6867 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677174 (
+	.A(n_9176),
+	.B(n_9940),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6866 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677175 (
+	.A(n_9037),
+	.B(n_9942),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6860 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677176 (
+	.A(n_9037),
+	.B(n_9941),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6861 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677177 (
+	.A(n_9172),
+	.B(n_9940),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6868 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677178 (
+	.A(n_9038),
+	.B(n_9941),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6863 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677179 (
+	.A(n_9168),
+	.B(n_9940),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6872 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677180 (
+	.A(n_9166),
+	.B(n_9940),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6870 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 g677181 (
+	.A1(n_8453),
+	.A2(n_8535),
+	.A3(n_8830),
+	.A4(n_9243),
+	.B1(n_8254),
+	.Y(n_10129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32o_1 g677182 (
+	.A1(n_9303),
+	.A2(n_8805),
+	.A3(n_8405),
+	.B1(n_9378),
+	.B2(n_9132),
+	.X(n_31107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g677183 (
+	.A1(n_8272),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[79]),
+	.B1(n_8913),
+	.C1(n_8904),
+	.D1(n_9928),
+	.Y(n_10128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g677184 (
+	.A1(n_9610),
+	.A2(n_9189),
+	.B1(n_9614),
+	.B2(n_9193),
+	.X(n_32255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g677185 (
+	.A(n_9315),
+	.B(n_8788),
+	.C(n_8500),
+	.D(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[13]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_config_reg_we), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g677186 (
+	.A(n_9323),
+	.B(n_9308),
+	.C(n_9370),
+	.D(n_9605),
+	.Y(n_10127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g677187 (
+	.A1_N(n_32264),
+	.A2_N(n_9615),
+	.B1(n_9067),
+	.B2(n_9093),
+	.Y(n_276407_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g677188 (
+	.A(n_8827),
+	.B(n_8933),
+	.C(n_8829),
+	.D(n_9335),
+	.X(n_10126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g677190 (
+	.A_N(n_9124),
+	.B(n_9743),
+	.C(n_8928),
+	.Y(n_10125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g677191 (
+	.A1(n_8273),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[4]),
+	.B1(n_8872),
+	.C1(n_8891),
+	.D1(n_9929),
+	.Y(n_10124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677192 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [27]),
+	.A2(n_8741),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [31]),
+	.B2(n_8718),
+	.C1(n_9945),
+	.Y(n_10123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32a_1 g677193 (
+	.A1(n_8490),
+	.A2(n_32254),
+	.A3(n_8399),
+	.B1(n_8255),
+	.B2(n_9745),
+	.X(n_10122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677194 (
+	.A1(n_9611),
+	.A2(n_8366),
+	.B1(n_9609),
+	.B2(n_9060),
+	.Y(n_276403_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g677195 (
+	.A(n_9923),
+	.B(n_9451),
+	.C(n_9309),
+	.Y(n_10121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g677196 (
+	.A(n_9330),
+	.B(n_8537),
+	.C(n_8536),
+	.D(n_8542),
+	.Y(n_10120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g677197 (
+	.A(n_9948),
+	.B(n_8189),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [4]),
+	.Y(n_10119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g677198 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[14]),
+	.A2(n_8276),
+	.B1(n_9198),
+	.C1(n_9617),
+	.Y(n_10118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g677199 (
+	.A1(brqrv_top_brqrv_dec_tlu_mcyclel_cout_f),
+	.A2(n_8825),
+	.B1(n_8886),
+	.C1(n_9094),
+	.D1(n_9623),
+	.Y(n_10117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g677200 (
+	.A1(n_9769),
+	.A2(n_8773),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[17]),
+	.C1(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[11]),
+	.Y(n_10116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g677201 (
+	.A1(n_9769),
+	.A2(n_8785),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[27]),
+	.C1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[49]),
+	.Y(n_10115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g677202 (
+	.A1(n_9769),
+	.A2(n_8781),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[25]),
+	.C1(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[15]),
+	.Y(n_10114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g677203 (
+	.A1(n_9769),
+	.A2(n_8124),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[33]),
+	.C1(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[19]),
+	.Y(n_10113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g677204 (
+	.A1(n_9769),
+	.A2(n_8779),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[41]),
+	.C1(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[23]),
+	.Y(n_10112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g677205 (
+	.A1(n_9769),
+	.A2(n_8775),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[57]),
+	.C1(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[31]),
+	.Y(n_10111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677206 (
+	.A1(n_9619),
+	.A2(n_8493),
+	.B1(n_9620),
+	.B2(n_8489),
+	.Y(n_10110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677207 (
+	.A1(n_9613),
+	.A2(n_8364),
+	.B1(n_9606),
+	.B2(n_9061),
+	.Y(n_276345_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g677208 (
+	.A1_N(n_31067),
+	.A2_N(n_9612),
+	.B1(n_9066),
+	.B2(n_9090),
+	.Y(n_355334_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g677209 (
+	.A1(n_9769),
+	.A2(n_8777),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[9]),
+	.C1(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[7]),
+	.Y(n_10109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g677210 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [21]),
+	.B(n_9742),
+	.C_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [22]),
+	.Y(n_10302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g677211 (
+	.A(n_32353),
+	.B(n_9095),
+	.C(n_9947),
+	.Y(n_10301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g677212 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [25]),
+	.A2(n_9241),
+	.B1_N(n_9950),
+	.Y(n_10300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g677213 (
+	.A_N(n_8432),
+	.B(n_8120),
+	.Y(n_10299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g677214 (
+	.A_N(n_9742),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [22]),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [21]),
+	.Y(n_10298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677215 (
+	.A1(n_9902),
+	.A2(n_9266),
+	.B1(n_9577),
+	.B2(n_8765),
+	.Y(n_10297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677216 (
+	.A1(n_9260),
+	.A2(n_9869),
+	.B1(n_8761),
+	.B2(n_9582),
+	.Y(n_10296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677217 (
+	.A1(n_9260),
+	.A2(n_9873),
+	.B1(n_8761),
+	.B2(n_9584),
+	.Y(n_10295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677218 (
+	.A1(n_9264),
+	.A2(n_9873),
+	.B1(n_8767),
+	.B2(n_9584),
+	.Y(n_10294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677219 (
+	.A1(n_9254),
+	.A2(n_9873),
+	.B1(n_8757),
+	.B2(n_9584),
+	.Y(n_10293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677220 (
+	.A1(n_9264),
+	.A2(n_9869),
+	.B1(n_8767),
+	.B2(n_9582),
+	.Y(n_10292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677221 (
+	.A1(n_9254),
+	.A2(n_9869),
+	.B1(n_8757),
+	.B2(n_9582),
+	.Y(n_10291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677222 (
+	.A1(n_9260),
+	.A2(n_9912),
+	.B1(n_8761),
+	.B2(n_9592),
+	.Y(n_10290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677223 (
+	.A1(n_9260),
+	.A2(n_9808),
+	.B1(n_8761),
+	.B2(n_9580),
+	.Y(n_10289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677224 (
+	.A1(n_9260),
+	.A2(n_9761),
+	.B1(n_8761),
+	.B2(n_9590),
+	.Y(n_10288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677225 (
+	.A1(n_9260),
+	.A2(n_9748),
+	.B1(n_8761),
+	.B2(n_9586),
+	.Y(n_10287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677226 (
+	.A1(n_9260),
+	.A2(n_9750),
+	.B1(n_8761),
+	.B2(n_9405),
+	.Y(n_10286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677227 (
+	.A1(n_9260),
+	.A2(n_9877),
+	.B1(n_8761),
+	.B2(n_9399),
+	.Y(n_10285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677228 (
+	.A1(n_9260),
+	.A2(n_9888),
+	.B1(n_8761),
+	.B2(n_9401),
+	.Y(n_10284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677229 (
+	.A1(n_9260),
+	.A2(n_9910),
+	.B1(n_8761),
+	.B2(n_9403),
+	.Y(n_10283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677230 (
+	.A1(n_9265),
+	.A2(n_9869),
+	.B1(n_8764),
+	.B2(n_9582),
+	.Y(n_10282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677231 (
+	.A1(n_9265),
+	.A2(n_9873),
+	.B1(n_8764),
+	.B2(n_9584),
+	.Y(n_10281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677232 (
+	.A1(n_9264),
+	.A2(n_9808),
+	.B1(n_8767),
+	.B2(n_9580),
+	.Y(n_10280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677233 (
+	.A1(n_9254),
+	.A2(n_9808),
+	.B1(n_8757),
+	.B2(n_9580),
+	.Y(n_10278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677234 (
+	.A1(n_9264),
+	.A2(n_9912),
+	.B1(n_8767),
+	.B2(n_9592),
+	.Y(n_10276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677235 (
+	.A1(n_9254),
+	.A2(n_9912),
+	.B1(n_8757),
+	.B2(n_9592),
+	.Y(n_10274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677236 (
+	.A1(n_9254),
+	.A2(n_9761),
+	.B1(n_8757),
+	.B2(n_9590),
+	.Y(n_10272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677237 (
+	.A1(n_9264),
+	.A2(n_9761),
+	.B1(n_8767),
+	.B2(n_9590),
+	.Y(n_10270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677238 (
+	.A1(n_9264),
+	.A2(n_9748),
+	.B1(n_8767),
+	.B2(n_9586),
+	.Y(n_10268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677239 (
+	.A1(n_9254),
+	.A2(n_9748),
+	.B1(n_8757),
+	.B2(n_9586),
+	.Y(n_10266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677240 (
+	.A1(n_9264),
+	.A2(n_9750),
+	.B1(n_8767),
+	.B2(n_9405),
+	.Y(n_10264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677241 (
+	.A1(n_9254),
+	.A2(n_9750),
+	.B1(n_8757),
+	.B2(n_9405),
+	.Y(n_10262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677242 (
+	.A1(n_9254),
+	.A2(n_9877),
+	.B1(n_8757),
+	.B2(n_9399),
+	.Y(n_10260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677243 (
+	.A1(n_9264),
+	.A2(n_9877),
+	.B1(n_8767),
+	.B2(n_9399),
+	.Y(n_10258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677244 (
+	.A1(n_9254),
+	.A2(n_9888),
+	.B1(n_8757),
+	.B2(n_9401),
+	.Y(n_10256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677245 (
+	.A1(n_9264),
+	.A2(n_9888),
+	.B1(n_8767),
+	.B2(n_9401),
+	.Y(n_10254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677246 (
+	.A1(n_9254),
+	.A2(n_9910),
+	.B1(n_8757),
+	.B2(n_9403),
+	.Y(n_10252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677247 (
+	.A1(n_9264),
+	.A2(n_9910),
+	.B1(n_8767),
+	.B2(n_9403),
+	.Y(n_10250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677248 (
+	.A1(n_9260),
+	.A2(n_9901),
+	.B1(n_8761),
+	.B2(n_9576),
+	.Y(n_10248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677249 (
+	.A1(n_9260),
+	.A2(n_9917),
+	.B1(n_8761),
+	.B2(n_9578),
+	.Y(n_10247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677250 (
+	.A1(n_9260),
+	.A2(n_9805),
+	.B1(n_8761),
+	.B2(n_9429),
+	.Y(n_10246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677251 (
+	.A1(n_9260),
+	.A2(n_9867),
+	.B1(n_8761),
+	.B2(n_9423),
+	.Y(n_10245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677252 (
+	.A1(n_9260),
+	.A2(n_9863),
+	.B1(n_8761),
+	.B2(n_9431),
+	.Y(n_10244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677253 (
+	.A1(n_9260),
+	.A2(n_9870),
+	.B1(n_8761),
+	.B2(n_9433),
+	.Y(n_10243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677254 (
+	.A1(n_9260),
+	.A2(n_9874),
+	.B1(n_8761),
+	.B2(n_9397),
+	.Y(n_10242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677255 (
+	.A1(n_9260),
+	.A2(n_9890),
+	.B1(n_8761),
+	.B2(n_9395),
+	.Y(n_10241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677256 (
+	.A1(n_9260),
+	.A2(n_9896),
+	.B1(n_8761),
+	.B2(n_9427),
+	.Y(n_10240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677257 (
+	.A1(n_9260),
+	.A2(n_9899),
+	.B1(n_8761),
+	.B2(n_9415),
+	.Y(n_10239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677258 (
+	.A1(n_9256),
+	.A2(n_9869),
+	.B1(n_8759),
+	.B2(n_9582),
+	.Y(n_10238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677259 (
+	.A1(n_9256),
+	.A2(n_9873),
+	.B1(n_8759),
+	.B2(n_9584),
+	.Y(n_10237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677260 (
+	.A1(n_9260),
+	.A2(n_9883),
+	.B1(n_8761),
+	.B2(n_9408),
+	.Y(n_10236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677261 (
+	.A1(n_9260),
+	.A2(n_9892),
+	.B1(n_8761),
+	.B2(n_9411),
+	.Y(n_10234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677262 (
+	.A1(n_9265),
+	.A2(n_9912),
+	.B1(n_8764),
+	.B2(n_9592),
+	.Y(n_10232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677263 (
+	.A1(n_9265),
+	.A2(n_9808),
+	.B1(n_8764),
+	.B2(n_9580),
+	.Y(n_10230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677264 (
+	.A1(n_9265),
+	.A2(n_9761),
+	.B1(n_8764),
+	.B2(n_9590),
+	.Y(n_10228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677265 (
+	.A1(n_9265),
+	.A2(n_9748),
+	.B1(n_8764),
+	.B2(n_9586),
+	.Y(n_10226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677266 (
+	.A1(n_9265),
+	.A2(n_9750),
+	.B1(n_8764),
+	.B2(n_9405),
+	.Y(n_10224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677267 (
+	.A1(n_9265),
+	.A2(n_9877),
+	.B1(n_8764),
+	.B2(n_9399),
+	.Y(n_10222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677268 (
+	.A1(n_9265),
+	.A2(n_9888),
+	.B1(n_8764),
+	.B2(n_9401),
+	.Y(n_10220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677269 (
+	.A1(n_9265),
+	.A2(n_9910),
+	.B1(n_8764),
+	.B2(n_9403),
+	.Y(n_10218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677270 (
+	.A1(n_9264),
+	.A2(n_9901),
+	.B1(n_8767),
+	.B2(n_9576),
+	.Y(n_10216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677271 (
+	.A1(n_9254),
+	.A2(n_9901),
+	.B1(n_8757),
+	.B2(n_9576),
+	.Y(n_10214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677272 (
+	.A1(n_9254),
+	.A2(n_9917),
+	.B1(n_8757),
+	.B2(n_9578),
+	.Y(n_10212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677273 (
+	.A1(n_9264),
+	.A2(n_9917),
+	.B1(n_8767),
+	.B2(n_9578),
+	.Y(n_10210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677274 (
+	.A1(n_9264),
+	.A2(n_9805),
+	.B1(n_8767),
+	.B2(n_9429),
+	.Y(n_10208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677275 (
+	.A1(n_9254),
+	.A2(n_9805),
+	.B1(n_8757),
+	.B2(n_9429),
+	.Y(n_10206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677276 (
+	.A1(n_9264),
+	.A2(n_9863),
+	.B1(n_8767),
+	.B2(n_9431),
+	.Y(n_10204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677277 (
+	.A1(n_9254),
+	.A2(n_9863),
+	.B1(n_8757),
+	.B2(n_9431),
+	.Y(n_10202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677278 (
+	.A1(n_9264),
+	.A2(n_9899),
+	.B1(n_8767),
+	.B2(n_9415),
+	.Y(n_10200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677279 (
+	.A1(n_9254),
+	.A2(n_9899),
+	.B1(n_8757),
+	.B2(n_9415),
+	.Y(n_10198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677280 (
+	.A1(n_9254),
+	.A2(n_9870),
+	.B1(n_8757),
+	.B2(n_9433),
+	.Y(n_10196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677281 (
+	.A1(n_9264),
+	.A2(n_9870),
+	.B1(n_8767),
+	.B2(n_9433),
+	.Y(n_10194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677282 (
+	.A1(n_9254),
+	.A2(n_9874),
+	.B1(n_8757),
+	.B2(n_9397),
+	.Y(n_10192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677283 (
+	.A1(n_9264),
+	.A2(n_9874),
+	.B1(n_8767),
+	.B2(n_9397),
+	.Y(n_10190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677284 (
+	.A1(n_9264),
+	.A2(n_9867),
+	.B1(n_8767),
+	.B2(n_9423),
+	.Y(n_10188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677285 (
+	.A1(n_9254),
+	.A2(n_9867),
+	.B1(n_8757),
+	.B2(n_9423),
+	.Y(n_10186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677286 (
+	.A1(n_9254),
+	.A2(n_9890),
+	.B1(n_8757),
+	.B2(n_9395),
+	.Y(n_10184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677287 (
+	.A1(n_9264),
+	.A2(n_9890),
+	.B1(n_8767),
+	.B2(n_9395),
+	.Y(n_10182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677288 (
+	.A1(n_9264),
+	.A2(n_9896),
+	.B1(n_8767),
+	.B2(n_9427),
+	.Y(n_10180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677289 (
+	.A1(n_9254),
+	.A2(n_9896),
+	.B1(n_8757),
+	.B2(n_9427),
+	.Y(n_10178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677290 (
+	.A1(n_9258),
+	.A2(n_9873),
+	.B1(n_8763),
+	.B2(n_9584),
+	.Y(n_10176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677291 (
+	.A1(n_9252),
+	.A2(n_9873),
+	.B1(n_8769),
+	.B2(n_9584),
+	.Y(n_10175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677292 (
+	.A1(n_9252),
+	.A2(n_9869),
+	.B1(n_8769),
+	.B2(n_9582),
+	.Y(n_10174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677293 (
+	.A1(n_9258),
+	.A2(n_9869),
+	.B1(n_8763),
+	.B2(n_9582),
+	.Y(n_10173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677294 (
+	.A1(n_9260),
+	.A2(n_9894),
+	.B1(n_8761),
+	.B2(n_9420),
+	.Y(n_10172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677295 (
+	.A1(n_9260),
+	.A2(n_9880),
+	.B1(n_8761),
+	.B2(n_9416),
+	.Y(n_10170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677296 (
+	.A1(n_9260),
+	.A2(n_9878),
+	.B1(n_8761),
+	.B2(n_9424),
+	.Y(n_10168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677297 (
+	.A1(n_9260),
+	.A2(n_9885),
+	.B1(n_8761),
+	.B2(n_9418),
+	.Y(n_10166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677298 (
+	.A1(n_9256),
+	.A2(n_9912),
+	.B1(n_8759),
+	.B2(n_9592),
+	.Y(n_10164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677299 (
+	.A1(n_9256),
+	.A2(n_9808),
+	.B1(n_8759),
+	.B2(n_9580),
+	.Y(n_10162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677300 (
+	.A1(n_9256),
+	.A2(n_9761),
+	.B1(n_8759),
+	.B2(n_9590),
+	.Y(n_10160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677301 (
+	.A1(n_9256),
+	.A2(n_9748),
+	.B1(n_8759),
+	.B2(n_9586),
+	.Y(n_10158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677302 (
+	.A1(n_9256),
+	.A2(n_9750),
+	.B1(n_8759),
+	.B2(n_9405),
+	.Y(n_10156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677303 (
+	.A1(n_9256),
+	.A2(n_9877),
+	.B1(n_8759),
+	.B2(n_9399),
+	.Y(n_10154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677304 (
+	.A1(n_9256),
+	.A2(n_9888),
+	.B1(n_8759),
+	.B2(n_9401),
+	.Y(n_10152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677305 (
+	.A1(n_9256),
+	.A2(n_9910),
+	.B1(n_8759),
+	.B2(n_9403),
+	.Y(n_10150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677306 (
+	.A1(n_9254),
+	.A2(n_9883),
+	.B1(n_8757),
+	.B2(n_9408),
+	.Y(n_10148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677307 (
+	.A1(n_9264),
+	.A2(n_9883),
+	.B1(n_8767),
+	.B2(n_9408),
+	.Y(n_10146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677308 (
+	.A1(n_9254),
+	.A2(n_9892),
+	.B1(n_8757),
+	.B2(n_9411),
+	.Y(n_10144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g677309 (
+	.A1(n_9264),
+	.A2(n_9892),
+	.B1(n_8767),
+	.B2(n_9411),
+	.Y(n_10142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677310 (
+	.A1(n_9862),
+	.A2(n_9259),
+	.B1(n_9406),
+	.B2(n_8760),
+	.Y(n_10140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677311 (
+	.A1(n_9866),
+	.A2(n_9259),
+	.B1(n_9407),
+	.B2(n_8760),
+	.Y(n_10139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677312 (
+	.A1(n_9889),
+	.A2(n_9259),
+	.B1(n_9410),
+	.B2(n_8760),
+	.Y(n_10138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677313 (
+	.A1(n_9898),
+	.A2(n_9259),
+	.B1(n_9413),
+	.B2(n_8760),
+	.Y(n_10137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g677314 (
+	.A(n_9206),
+	.B(n_9948),
+	.Y(n_10136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677315 (
+	.A1(n_9918),
+	.A2(n_9266),
+	.B1(n_8140),
+	.B2(n_8765),
+	.Y(n_10108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677316 (
+	.A1(n_9806),
+	.A2(n_9266),
+	.B1(n_9428),
+	.B2(n_8765),
+	.Y(n_10107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677317 (
+	.A1(n_9864),
+	.A2(n_9266),
+	.B1(n_9430),
+	.B2(n_8765),
+	.Y(n_10106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677318 (
+	.A1(n_9868),
+	.A2(n_9266),
+	.B1(n_9422),
+	.B2(n_8765),
+	.Y(n_10105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677319 (
+	.A1(n_9871),
+	.A2(n_9266),
+	.B1(n_9432),
+	.B2(n_8765),
+	.Y(n_10104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677320 (
+	.A1(n_9875),
+	.A2(n_9266),
+	.B1(n_9396),
+	.B2(n_8765),
+	.Y(n_10103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677321 (
+	.A1(n_9891),
+	.A2(n_9266),
+	.B1(n_9394),
+	.B2(n_8765),
+	.Y(n_10102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677322 (
+	.A1(n_9897),
+	.A2(n_9266),
+	.B1(n_9426),
+	.B2(n_8765),
+	.Y(n_10101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677323 (
+	.A1(n_9900),
+	.A2(n_9266),
+	.B1(n_9414),
+	.B2(n_8765),
+	.Y(n_10100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677324 (
+	.A1(n_9261),
+	.A2(n_9873),
+	.B1(n_8770),
+	.B2(n_9584),
+	.X(n_10099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677325 (
+	.A1(n_9261),
+	.A2(n_9869),
+	.B1(n_8770),
+	.B2(n_9582),
+	.X(n_10098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677326 (
+	.A1(n_9264),
+	.A2(n_9894),
+	.B1(n_8767),
+	.B2(n_9420),
+	.X(n_10097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677327 (
+	.A1(n_9264),
+	.A2(n_9878),
+	.B1(n_8767),
+	.B2(n_9424),
+	.X(n_10096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677328 (
+	.A1(n_9264),
+	.A2(n_9880),
+	.B1(n_8767),
+	.B2(n_9416),
+	.X(n_10095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677329 (
+	.A1(n_9254),
+	.A2(n_9880),
+	.B1(n_8757),
+	.B2(n_9416),
+	.X(n_10094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677330 (
+	.A1(n_9254),
+	.A2(n_9878),
+	.B1(n_8757),
+	.B2(n_9424),
+	.X(n_10093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677331 (
+	.A1(n_9254),
+	.A2(n_9885),
+	.B1(n_8757),
+	.B2(n_9418),
+	.X(n_10092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677332 (
+	.A1(n_9264),
+	.A2(n_9885),
+	.B1(n_8767),
+	.B2(n_9418),
+	.X(n_10091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677333 (
+	.A1(n_9254),
+	.A2(n_9894),
+	.B1(n_8757),
+	.B2(n_9420),
+	.X(n_10090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677334 (
+	.A1(n_9252),
+	.A2(n_9808),
+	.B1(n_8769),
+	.B2(n_9580),
+	.X(n_10089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677335 (
+	.A1(n_9258),
+	.A2(n_9808),
+	.B1(n_8763),
+	.B2(n_9580),
+	.X(n_10088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677336 (
+	.A1(n_9258),
+	.A2(n_9912),
+	.B1(n_8763),
+	.B2(n_9592),
+	.X(n_10087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677337 (
+	.A1(n_9252),
+	.A2(n_9912),
+	.B1(n_8769),
+	.B2(n_9592),
+	.X(n_10086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677338 (
+	.A1(n_9258),
+	.A2(n_9761),
+	.B1(n_8763),
+	.B2(n_9590),
+	.X(n_10085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677339 (
+	.A1(n_9252),
+	.A2(n_9761),
+	.B1(n_8769),
+	.B2(n_9590),
+	.X(n_10084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677340 (
+	.A1(n_9252),
+	.A2(n_9748),
+	.B1(n_8769),
+	.B2(n_9586),
+	.X(n_10083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677341 (
+	.A1(n_9258),
+	.A2(n_9748),
+	.B1(n_8763),
+	.B2(n_9586),
+	.X(n_10082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677342 (
+	.A1(n_9252),
+	.A2(n_9750),
+	.B1(n_8769),
+	.B2(n_9405),
+	.X(n_10081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677343 (
+	.A1(n_9258),
+	.A2(n_9877),
+	.B1(n_8763),
+	.B2(n_9399),
+	.X(n_10080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677344 (
+	.A1(n_9252),
+	.A2(n_9877),
+	.B1(n_8769),
+	.B2(n_9399),
+	.X(n_10079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677345 (
+	.A1(n_9258),
+	.A2(n_9888),
+	.B1(n_8763),
+	.B2(n_9401),
+	.X(n_10078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677346 (
+	.A1(n_9252),
+	.A2(n_9888),
+	.B1(n_8769),
+	.B2(n_9401),
+	.X(n_10077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677347 (
+	.A1(n_9258),
+	.A2(n_9910),
+	.B1(n_8763),
+	.B2(n_9403),
+	.X(n_10076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677348 (
+	.A1(n_9252),
+	.A2(n_9910),
+	.B1(n_8769),
+	.B2(n_9403),
+	.X(n_10075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677349 (
+	.A1(n_9258),
+	.A2(n_9750),
+	.B1(n_8763),
+	.B2(n_9405),
+	.X(n_10074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677350 (
+	.A1(n_9902),
+	.A2(n_9255),
+	.B1(n_9577),
+	.B2(n_8758),
+	.Y(n_10073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677351 (
+	.A1(n_9256),
+	.A2(n_9917),
+	.B1(n_8759),
+	.B2(n_9578),
+	.X(n_10072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677352 (
+	.A1(n_9256),
+	.A2(n_9805),
+	.B1(n_8759),
+	.B2(n_9429),
+	.X(n_10071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677353 (
+	.A1(n_9256),
+	.A2(n_9867),
+	.B1(n_8759),
+	.B2(n_9423),
+	.X(n_10070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677354 (
+	.A1(n_9256),
+	.A2(n_9863),
+	.B1(n_8759),
+	.B2(n_9431),
+	.X(n_10069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677355 (
+	.A1(n_9256),
+	.A2(n_9870),
+	.B1(n_8759),
+	.B2(n_9433),
+	.X(n_10068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677356 (
+	.A1(n_9256),
+	.A2(n_9874),
+	.B1(n_8759),
+	.B2(n_9397),
+	.X(n_10067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677357 (
+	.A1(n_9256),
+	.A2(n_9890),
+	.B1(n_8759),
+	.B2(n_9395),
+	.X(n_10066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677358 (
+	.A1(n_9256),
+	.A2(n_9896),
+	.B1(n_8759),
+	.B2(n_9427),
+	.X(n_10065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677359 (
+	.A1(n_9256),
+	.A2(n_9899),
+	.B1(n_8759),
+	.B2(n_9415),
+	.X(n_10064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677360 (
+	.A1(n_9884),
+	.A2(n_9266),
+	.B1(n_9409),
+	.B2(n_8765),
+	.Y(n_10063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677361 (
+	.A1(n_9893),
+	.A2(n_9266),
+	.B1(n_9412),
+	.B2(n_8765),
+	.Y(n_10062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677362 (
+	.A1(n_9862),
+	.A2(n_9253),
+	.B1(n_9406),
+	.B2(n_8756),
+	.Y(n_10061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677363 (
+	.A1(n_9862),
+	.A2(n_9263),
+	.B1(n_9406),
+	.B2(n_8766),
+	.Y(n_10060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677364 (
+	.A1(n_9866),
+	.A2(n_9263),
+	.B1(n_9407),
+	.B2(n_8766),
+	.Y(n_10059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677365 (
+	.A1(n_9866),
+	.A2(n_9253),
+	.B1(n_9407),
+	.B2(n_8756),
+	.Y(n_10058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677366 (
+	.A1(n_9889),
+	.A2(n_9263),
+	.B1(n_9410),
+	.B2(n_8766),
+	.Y(n_10057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677367 (
+	.A1(n_9889),
+	.A2(n_9253),
+	.B1(n_9410),
+	.B2(n_8756),
+	.Y(n_10056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677368 (
+	.A1(n_9898),
+	.A2(n_9263),
+	.B1(n_9413),
+	.B2(n_8766),
+	.Y(n_10055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677369 (
+	.A1(n_9898),
+	.A2(n_9253),
+	.B1(n_9413),
+	.B2(n_8756),
+	.Y(n_10054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677370 (
+	.A1(n_9256),
+	.A2(n_9894),
+	.B1(n_8759),
+	.B2(n_9420),
+	.X(n_10053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677371 (
+	.A1(n_9895),
+	.A2(n_9266),
+	.B1(n_9421),
+	.B2(n_8765),
+	.Y(n_10052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677372 (
+	.A1(n_9895),
+	.A2(n_9262),
+	.B1(n_9421),
+	.B2(n_8771),
+	.Y(n_10051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677373 (
+	.A1(n_9882),
+	.A2(n_9266),
+	.B1(n_9588),
+	.B2(n_8765),
+	.Y(n_10050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677374 (
+	.A1(n_9258),
+	.A2(n_9805),
+	.B1(n_8763),
+	.B2(n_9429),
+	.X(n_10049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677375 (
+	.A1(n_9807),
+	.A2(n_9262),
+	.B1(n_9579),
+	.B2(n_8771),
+	.Y(n_10048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677376 (
+	.A1(n_9252),
+	.A2(n_9805),
+	.B1(n_8769),
+	.B2(n_9429),
+	.X(n_10047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677377 (
+	.A1(n_9806),
+	.A2(n_9262),
+	.B1(n_9428),
+	.B2(n_8771),
+	.Y(n_10046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677378 (
+	.A1(n_9862),
+	.A2(n_9255),
+	.B1(n_9406),
+	.B2(n_8758),
+	.Y(n_10045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677379 (
+	.A1(n_9862),
+	.A2(n_9251),
+	.B1(n_9406),
+	.B2(n_8768),
+	.Y(n_10044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677380 (
+	.A1(n_9864),
+	.A2(n_9262),
+	.B1(n_9430),
+	.B2(n_8771),
+	.Y(n_10043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677381 (
+	.A1(n_9862),
+	.A2(n_9262),
+	.B1(n_9406),
+	.B2(n_8771),
+	.Y(n_10042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677382 (
+	.A1(n_9862),
+	.A2(n_9266),
+	.B1(n_9406),
+	.B2(n_8765),
+	.Y(n_10041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677383 (
+	.A1(n_9862),
+	.A2(n_9257),
+	.B1(n_9406),
+	.B2(n_8762),
+	.Y(n_10040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677384 (
+	.A1(n_9258),
+	.A2(n_9899),
+	.B1(n_8763),
+	.B2(n_9415),
+	.X(n_10039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677385 (
+	.A1(n_9258),
+	.A2(n_9863),
+	.B1(n_8763),
+	.B2(n_9431),
+	.X(n_10038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677386 (
+	.A1(n_9866),
+	.A2(n_9255),
+	.B1(n_9407),
+	.B2(n_8758),
+	.Y(n_10037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677387 (
+	.A1(n_9749),
+	.A2(n_9262),
+	.B1(n_9404),
+	.B2(n_8771),
+	.Y(n_10036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677388 (
+	.A1(n_9866),
+	.A2(n_9266),
+	.B1(n_9407),
+	.B2(n_8765),
+	.Y(n_10035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677389 (
+	.A1(n_9866),
+	.A2(n_9262),
+	.B1(n_9407),
+	.B2(n_8771),
+	.Y(n_10034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677390 (
+	.A1(n_9252),
+	.A2(n_9863),
+	.B1(n_8769),
+	.B2(n_9431),
+	.X(n_10033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677391 (
+	.A1(n_9252),
+	.A2(n_9867),
+	.B1(n_8769),
+	.B2(n_9423),
+	.X(n_10032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677392 (
+	.A1(n_9866),
+	.A2(n_9251),
+	.B1(n_9407),
+	.B2(n_8768),
+	.Y(n_10031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677393 (
+	.A1(n_9866),
+	.A2(n_9257),
+	.B1(n_9407),
+	.B2(n_8762),
+	.Y(n_10030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677394 (
+	.A1(n_9868),
+	.A2(n_9262),
+	.B1(n_9422),
+	.B2(n_8771),
+	.Y(n_10029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677395 (
+	.A1(n_9872),
+	.A2(n_9253),
+	.B1(n_9587),
+	.B2(n_8756),
+	.Y(n_10028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677396 (
+	.A1(n_9871),
+	.A2(n_9262),
+	.B1(n_9432),
+	.B2(n_8771),
+	.Y(n_10027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677397 (
+	.A1(n_9872),
+	.A2(n_9251),
+	.B1(n_9587),
+	.B2(n_8768),
+	.Y(n_10026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677398 (
+	.A1(n_9258),
+	.A2(n_9870),
+	.B1(n_8763),
+	.B2(n_9433),
+	.X(n_10025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677399 (
+	.A1(n_9252),
+	.A2(n_9870),
+	.B1(n_8769),
+	.B2(n_9433),
+	.X(n_10024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677400 (
+	.A1(n_9258),
+	.A2(n_9874),
+	.B1(n_8763),
+	.B2(n_9397),
+	.X(n_10023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677401 (
+	.A1(n_9872),
+	.A2(n_9266),
+	.B1(n_9587),
+	.B2(n_8765),
+	.Y(n_10022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677402 (
+	.A1(n_9872),
+	.A2(n_9255),
+	.B1(n_9587),
+	.B2(n_8758),
+	.Y(n_10021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677403 (
+	.A1(n_9872),
+	.A2(n_9259),
+	.B1(n_9587),
+	.B2(n_8760),
+	.Y(n_10020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677404 (
+	.A1(n_9872),
+	.A2(n_9262),
+	.B1(n_9587),
+	.B2(n_8771),
+	.Y(n_10019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677405 (
+	.A1(n_9872),
+	.A2(n_9263),
+	.B1(n_9587),
+	.B2(n_8766),
+	.Y(n_10018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677406 (
+	.A1(n_9872),
+	.A2(n_9257),
+	.B1(n_9587),
+	.B2(n_8762),
+	.Y(n_10017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677407 (
+	.A1(n_9879),
+	.A2(n_9262),
+	.B1(n_9425),
+	.B2(n_8771),
+	.Y(n_10016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677408 (
+	.A1(n_9256),
+	.A2(n_9878),
+	.B1(n_8759),
+	.B2(n_9424),
+	.X(n_10015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677409 (
+	.A1(n_9879),
+	.A2(n_9266),
+	.B1(n_9425),
+	.B2(n_8765),
+	.Y(n_10014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677410 (
+	.A1(n_9258),
+	.A2(n_9880),
+	.B1(n_8763),
+	.B2(n_9416),
+	.X(n_10013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677411 (
+	.A1(n_9876),
+	.A2(n_9262),
+	.B1(n_9398),
+	.B2(n_8771),
+	.Y(n_10012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677412 (
+	.A1(n_9252),
+	.A2(n_9874),
+	.B1(n_8769),
+	.B2(n_9397),
+	.X(n_10011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677413 (
+	.A1(n_9881),
+	.A2(n_9266),
+	.B1(n_9417),
+	.B2(n_8765),
+	.Y(n_10010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677414 (
+	.A1(n_9252),
+	.A2(n_9880),
+	.B1(n_8769),
+	.B2(n_9416),
+	.X(n_10009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677415 (
+	.A1(n_9256),
+	.A2(n_9880),
+	.B1(n_8759),
+	.B2(n_9416),
+	.X(n_10008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677416 (
+	.A1(n_9881),
+	.A2(n_9262),
+	.B1(n_9417),
+	.B2(n_8771),
+	.Y(n_10007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677417 (
+	.A1(n_9875),
+	.A2(n_9262),
+	.B1(n_9396),
+	.B2(n_8771),
+	.Y(n_10006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677418 (
+	.A1(n_9252),
+	.A2(n_9878),
+	.B1(n_8769),
+	.B2(n_9424),
+	.X(n_10005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677419 (
+	.A1(n_9258),
+	.A2(n_9878),
+	.B1(n_8763),
+	.B2(n_9424),
+	.X(n_10004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677420 (
+	.A1(n_9882),
+	.A2(n_9259),
+	.B1(n_9588),
+	.B2(n_8760),
+	.Y(n_10003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677421 (
+	.A1(n_9884),
+	.A2(n_9262),
+	.B1(n_9409),
+	.B2(n_8771),
+	.Y(n_10002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677422 (
+	.A1(n_9882),
+	.A2(n_9257),
+	.B1(n_9588),
+	.B2(n_8762),
+	.Y(n_10001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677423 (
+	.A1(n_9256),
+	.A2(n_9883),
+	.B1(n_8759),
+	.B2(n_9408),
+	.X(n_10000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677424 (
+	.A1(n_9882),
+	.A2(n_9262),
+	.B1(n_9588),
+	.B2(n_8771),
+	.Y(n_9999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677425 (
+	.A1(n_9882),
+	.A2(n_9263),
+	.B1(n_9588),
+	.B2(n_8766),
+	.Y(n_9998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677426 (
+	.A1(n_9882),
+	.A2(n_9251),
+	.B1(n_9588),
+	.B2(n_8768),
+	.Y(n_9997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677427 (
+	.A1(n_9258),
+	.A2(n_9883),
+	.B1(n_8763),
+	.B2(n_9408),
+	.X(n_9996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677428 (
+	.A1(n_9882),
+	.A2(n_9253),
+	.B1(n_9588),
+	.B2(n_8756),
+	.Y(n_9995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677429 (
+	.A1(n_9252),
+	.A2(n_9883),
+	.B1(n_8769),
+	.B2(n_9408),
+	.X(n_9994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677430 (
+	.A1(n_9886),
+	.A2(n_9262),
+	.B1(n_9419),
+	.B2(n_8771),
+	.Y(n_9993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677431 (
+	.A1(n_9886),
+	.A2(n_9266),
+	.B1(n_9419),
+	.B2(n_8765),
+	.Y(n_9992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677432 (
+	.A1(n_9258),
+	.A2(n_9885),
+	.B1(n_8763),
+	.B2(n_9418),
+	.X(n_9991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677433 (
+	.A1(n_9256),
+	.A2(n_9885),
+	.B1(n_8759),
+	.B2(n_9418),
+	.X(n_9990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677434 (
+	.A1(n_9252),
+	.A2(n_9885),
+	.B1(n_8769),
+	.B2(n_9418),
+	.X(n_9989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677435 (
+	.A1(n_9887),
+	.A2(n_9262),
+	.B1(n_9400),
+	.B2(n_8771),
+	.Y(n_9988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677436 (
+	.A1(n_9889),
+	.A2(n_9262),
+	.B1(n_9410),
+	.B2(n_8771),
+	.Y(n_9987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677437 (
+	.A1(n_9889),
+	.A2(n_9255),
+	.B1(n_9410),
+	.B2(n_8758),
+	.Y(n_9986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677438 (
+	.A1(n_9889),
+	.A2(n_9257),
+	.B1(n_9410),
+	.B2(n_8762),
+	.Y(n_9985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677439 (
+	.A1(n_9258),
+	.A2(n_9890),
+	.B1(n_8763),
+	.B2(n_9395),
+	.X(n_9984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677440 (
+	.A1(n_9889),
+	.A2(n_9251),
+	.B1(n_9410),
+	.B2(n_8768),
+	.Y(n_9983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677441 (
+	.A1(n_9889),
+	.A2(n_9266),
+	.B1(n_9410),
+	.B2(n_8765),
+	.Y(n_9982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677442 (
+	.A1(n_9252),
+	.A2(n_9890),
+	.B1(n_8769),
+	.B2(n_9395),
+	.X(n_9981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677443 (
+	.A1(n_9891),
+	.A2(n_9262),
+	.B1(n_9394),
+	.B2(n_8771),
+	.Y(n_9980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677444 (
+	.A1(n_9256),
+	.A2(n_9892),
+	.B1(n_8759),
+	.B2(n_9411),
+	.X(n_9979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677445 (
+	.A1(n_9258),
+	.A2(n_9892),
+	.B1(n_8763),
+	.B2(n_9411),
+	.X(n_9978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677446 (
+	.A1(n_9252),
+	.A2(n_9892),
+	.B1(n_8769),
+	.B2(n_9411),
+	.X(n_9977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677447 (
+	.A1(n_9893),
+	.A2(n_9262),
+	.B1(n_9412),
+	.B2(n_8771),
+	.Y(n_9976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677448 (
+	.A1(n_9258),
+	.A2(n_9894),
+	.B1(n_8763),
+	.B2(n_9420),
+	.X(n_9975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677449 (
+	.A1(n_9897),
+	.A2(n_9262),
+	.B1(n_9426),
+	.B2(n_8771),
+	.Y(n_9974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677450 (
+	.A1(n_9252),
+	.A2(n_9896),
+	.B1(n_8769),
+	.B2(n_9427),
+	.X(n_9973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677451 (
+	.A1(n_9898),
+	.A2(n_9257),
+	.B1(n_9413),
+	.B2(n_8762),
+	.Y(n_9972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677452 (
+	.A1(n_9258),
+	.A2(n_9896),
+	.B1(n_8763),
+	.B2(n_9427),
+	.X(n_9971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677453 (
+	.A1(n_9898),
+	.A2(n_9251),
+	.B1(n_9413),
+	.B2(n_8768),
+	.Y(n_9970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677454 (
+	.A1(n_9898),
+	.A2(n_9266),
+	.B1(n_9413),
+	.B2(n_8765),
+	.Y(n_9969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677455 (
+	.A1(n_9898),
+	.A2(n_9255),
+	.B1(n_9413),
+	.B2(n_8758),
+	.Y(n_9968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677456 (
+	.A1(n_9898),
+	.A2(n_9262),
+	.B1(n_9413),
+	.B2(n_8771),
+	.Y(n_9967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677457 (
+	.A1(n_9900),
+	.A2(n_9262),
+	.B1(n_9414),
+	.B2(n_8771),
+	.Y(n_9966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677458 (
+	.A1(n_9252),
+	.A2(n_9899),
+	.B1(n_8769),
+	.B2(n_9415),
+	.X(n_9965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677459 (
+	.A1(n_9902),
+	.A2(n_9257),
+	.B1(n_9577),
+	.B2(n_8762),
+	.Y(n_9964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677460 (
+	.A1(n_9252),
+	.A2(n_9894),
+	.B1(n_8769),
+	.B2(n_9420),
+	.X(n_9963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677461 (
+	.A1(n_9902),
+	.A2(n_9262),
+	.B1(n_9577),
+	.B2(n_8771),
+	.Y(n_9962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677462 (
+	.A1(n_9902),
+	.A2(n_9251),
+	.B1(n_9577),
+	.B2(n_8768),
+	.Y(n_9961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677463 (
+	.A1(n_9909),
+	.A2(n_9262),
+	.B1(n_9402),
+	.B2(n_8771),
+	.Y(n_9960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677464 (
+	.A1(n_9882),
+	.A2(n_9255),
+	.B1(n_9588),
+	.B2(n_8758),
+	.Y(n_9959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677465 (
+	.A1(n_9911),
+	.A2(n_9262),
+	.B1(n_9591),
+	.B2(n_8771),
+	.Y(n_9958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677466 (
+	.A1(n_9258),
+	.A2(n_9867),
+	.B1(n_8763),
+	.B2(n_9423),
+	.X(n_9957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677467 (
+	.A1(n_9258),
+	.A2(n_9917),
+	.B1(n_8763),
+	.B2(n_9578),
+	.X(n_9956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g677468 (
+	.A1(n_9252),
+	.A2(n_9917),
+	.B1(n_8769),
+	.B2(n_9578),
+	.X(n_9955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677469 (
+	.A1(n_9918),
+	.A2(n_9262),
+	.B1(n_8140),
+	.B2(n_8771),
+	.Y(n_9954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677470 (
+	.A1(n_9760),
+	.A2(n_9262),
+	.B1(n_9589),
+	.B2(n_8771),
+	.Y(n_9953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g677471 (
+	.A1(n_9747),
+	.A2(n_9262),
+	.B1(n_9585),
+	.B2(n_8771),
+	.Y(n_9952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677472 (
+	.A(n_9947),
+	.Y(n_9948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g677473 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.B(n_8197),
+	.CIN(n_8395),
+	.COUT(n_9951),
+	.SUM(n_9946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g677474 (
+	.A(n_9926),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [10]),
+	.Y(n_9945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677475 (
+	.A(n_9278),
+	.B(n_9768),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6947 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677476 (
+	.A(n_9279),
+	.B(n_9759),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6969 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677477 (
+	.A(n_8786),
+	.B(n_9758),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677478 (
+	.A(n_8774),
+	.B(n_9758),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677479 (
+	.A(n_8780),
+	.B(n_9755),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g677480 (
+	.A1(n_9377),
+	.A2(n_9376),
+	.B1(n_8846),
+	.Y(n_9944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677481 (
+	.A1(n_8916),
+	.A2(n_9149),
+	.B1(n_29982),
+	.B2(n_8475),
+	.C1(n_9730),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [81]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677482 (
+	.A1(n_8916),
+	.A2(n_9151),
+	.B1(n_29983),
+	.B2(n_8475),
+	.C1(n_9728),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [80]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677483 (
+	.A1(n_8914),
+	.A2(n_9144),
+	.B1(n_29991),
+	.B2(n_8475),
+	.C1(n_9727),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [79]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677484 (
+	.A1(n_8914),
+	.A2(n_9145),
+	.B1(n_29992),
+	.B2(n_8475),
+	.C1(n_9726),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [78]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677485 (
+	.A1(n_9033),
+	.A2(n_9144),
+	.B1(n_29996),
+	.B2(n_8475),
+	.C1(n_9725),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [77]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677486 (
+	.A1(n_9033),
+	.A2(n_9145),
+	.B1(n_29997),
+	.B2(n_8475),
+	.C1(n_9680),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [76]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677487 (
+	.A1(n_9028),
+	.A2(n_9144),
+	.B1(n_29998),
+	.B2(n_8475),
+	.C1(n_9724),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [75]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677488 (
+	.A1(n_9028),
+	.A2(n_9145),
+	.B1(n_29999),
+	.B2(n_8475),
+	.C1(n_9723),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [74]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677489 (
+	.A1(n_8915),
+	.A2(n_9144),
+	.B1(n_30000),
+	.B2(n_8475),
+	.C1(n_9722),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [73]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677490 (
+	.A1(n_8915),
+	.A2(n_9145),
+	.B1(n_30001),
+	.B2(n_8475),
+	.C1(n_9721),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [72]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677491 (
+	.A1(n_8914),
+	.A2(n_9149),
+	.B1(n_30002),
+	.B2(n_8475),
+	.C1(n_9720),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [71]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677492 (
+	.A1(n_8914),
+	.A2(n_9151),
+	.B1(n_30003),
+	.B2(n_8475),
+	.C1(n_9719),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [70]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677493 (
+	.A1(n_9033),
+	.A2(n_9149),
+	.B1(n_30024),
+	.B2(n_8475),
+	.C1(n_9718),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [69]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677494 (
+	.A1(n_9033),
+	.A2(n_9151),
+	.B1(n_30004),
+	.B2(n_8475),
+	.C1(n_9717),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [68]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677495 (
+	.A1(n_9028),
+	.A2(n_9149),
+	.B1(n_30005),
+	.B2(n_8475),
+	.C1(n_9716),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [67]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677496 (
+	.A1(n_9028),
+	.A2(n_9151),
+	.B1(n_30006),
+	.B2(n_8475),
+	.C1(n_9715),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [66]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677497 (
+	.A1(n_8915),
+	.A2(n_9151),
+	.B1(n_30008),
+	.B2(n_8475),
+	.C1(n_9714),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [64]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677498 (
+	.A1(n_8918),
+	.A2(n_9147),
+	.B1(n_30009),
+	.B2(n_8475),
+	.C1(n_9713),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [63]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677499 (
+	.A1(n_8918),
+	.A2(n_9148),
+	.B1(n_30023),
+	.B2(n_8475),
+	.C1(n_9712),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [62]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677500 (
+	.A1(n_9034),
+	.A2(n_9147),
+	.B1(n_30044),
+	.B2(n_8475),
+	.C1(n_9711),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [61]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677501 (
+	.A1(n_9034),
+	.A2(n_9148),
+	.B1(n_30045),
+	.B2(n_8475),
+	.C1(n_9710),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [60]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677502 (
+	.A1(n_9031),
+	.A2(n_9147),
+	.B1(n_30046),
+	.B2(n_8475),
+	.C1(n_9709),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [59]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677503 (
+	.A1(n_9031),
+	.A2(n_9148),
+	.B1(n_30047),
+	.B2(n_8475),
+	.C1(n_9708),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [58]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677504 (
+	.A1(n_8917),
+	.A2(n_9147),
+	.B1(n_30048),
+	.B2(n_8475),
+	.C1(n_9707),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [57]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677505 (
+	.A1(n_8917),
+	.A2(n_9148),
+	.B1(n_30049),
+	.B2(n_8475),
+	.C1(n_9706),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [56]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677506 (
+	.A1(n_8918),
+	.A2(n_9146),
+	.B1(n_30050),
+	.B2(n_8475),
+	.C1(n_9705),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [55]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677507 (
+	.A1(n_8918),
+	.A2(n_9150),
+	.B1(n_30051),
+	.B2(n_8475),
+	.C1(n_9704),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [54]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677508 (
+	.A1(n_9034),
+	.A2(n_9146),
+	.B1(n_29973),
+	.B2(n_8475),
+	.C1(n_9703),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [53]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677509 (
+	.A1(n_9034),
+	.A2(n_9150),
+	.B1(n_29974),
+	.B2(n_8475),
+	.C1(n_9702),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [52]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677510 (
+	.A1(n_9031),
+	.A2(n_9146),
+	.B1(n_29975),
+	.B2(n_8475),
+	.C1(n_9701),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [51]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677511 (
+	.A1(n_9031),
+	.A2(n_9150),
+	.B1(n_29976),
+	.B2(n_8475),
+	.C1(n_9700),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [50]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677512 (
+	.A1(n_8917),
+	.A2(n_9150),
+	.B1(n_29978),
+	.B2(n_8475),
+	.C1(n_9699),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [48]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677513 (
+	.A1(n_8919),
+	.A2(n_9147),
+	.B1(n_29985),
+	.B2(n_8475),
+	.C1(n_9698),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [47]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677514 (
+	.A1(n_8919),
+	.A2(n_9148),
+	.B1(n_29986),
+	.B2(n_8475),
+	.C1(n_9697),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [46]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677515 (
+	.A1(n_9030),
+	.A2(n_9147),
+	.B1(n_29987),
+	.B2(n_8475),
+	.C1(n_9729),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [45]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677516 (
+	.A1(n_9030),
+	.A2(n_9148),
+	.B1(n_29988),
+	.B2(n_8475),
+	.C1(n_9696),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [44]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677517 (
+	.A1(n_9029),
+	.A2(n_9147),
+	.B1(n_29989),
+	.B2(n_8475),
+	.C1(n_9695),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [43]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677518 (
+	.A1(n_9029),
+	.A2(n_9148),
+	.B1(n_29990),
+	.B2(n_8475),
+	.C1(n_9693),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [42]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677519 (
+	.A1(n_8919),
+	.A2(n_9146),
+	.B1(n_30010),
+	.B2(n_8475),
+	.C1(n_9692),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [39]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677520 (
+	.A1(n_8919),
+	.A2(n_9150),
+	.B1(n_30011),
+	.B2(n_8475),
+	.C1(n_9691),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [38]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677521 (
+	.A1(n_9030),
+	.A2(n_9146),
+	.B1(n_30012),
+	.B2(n_8475),
+	.C1(n_9690),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [37]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677522 (
+	.A1(n_9030),
+	.A2(n_9150),
+	.B1(n_30013),
+	.B2(n_8475),
+	.C1(n_9689),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [36]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677523 (
+	.A1(n_9029),
+	.A2(n_9146),
+	.B1(n_30014),
+	.B2(n_8475),
+	.C1(n_9688),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [35]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677524 (
+	.A1(n_9029),
+	.A2(n_9150),
+	.B1(n_30015),
+	.B2(n_8475),
+	.C1(n_9687),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [34]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677525 (
+	.A1(n_8918),
+	.A2(n_9144),
+	.B1(n_30018),
+	.B2(n_8475),
+	.C1(n_9686),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677526 (
+	.A1(n_8918),
+	.A2(n_9145),
+	.B1(n_30019),
+	.B2(n_8475),
+	.C1(n_9685),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677527 (
+	.A1(n_9034),
+	.A2(n_9144),
+	.B1(n_30020),
+	.B2(n_8475),
+	.C1(n_9684),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677528 (
+	.A1(n_9034),
+	.A2(n_9145),
+	.B1(n_30021),
+	.B2(n_8475),
+	.C1(n_9683),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677529 (
+	.A1(n_9031),
+	.A2(n_9144),
+	.B1(n_30022),
+	.B2(n_8475),
+	.C1(n_9682),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677530 (
+	.A1(n_9031),
+	.A2(n_9145),
+	.B1(n_30025),
+	.B2(n_8475),
+	.C1(n_9681),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677531 (
+	.A1(n_8917),
+	.A2(n_9144),
+	.B1(n_30026),
+	.B2(n_8475),
+	.C1(n_9679),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677532 (
+	.A1(n_8917),
+	.A2(n_9145),
+	.B1(n_30027),
+	.B2(n_8475),
+	.C1(n_9678),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677533 (
+	.A1(n_8784),
+	.A2(n_9147),
+	.B1(n_29984),
+	.B2(n_8475),
+	.C1(n_9674),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [127]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677534 (
+	.A1(n_8918),
+	.A2(n_9149),
+	.B1(n_30028),
+	.B2(n_8475),
+	.C1(n_9677),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677535 (
+	.A1(n_8918),
+	.A2(n_9151),
+	.B1(n_30029),
+	.B2(n_8475),
+	.C1(n_9676),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677536 (
+	.A1(n_9034),
+	.A2(n_9149),
+	.B1(n_30030),
+	.B2(n_8475),
+	.C1(n_9675),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677537 (
+	.A1(n_9034),
+	.A2(n_9151),
+	.B1(n_30031),
+	.B2(n_8475),
+	.C1(n_9673),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677538 (
+	.A1(n_9031),
+	.A2(n_9149),
+	.B1(n_30032),
+	.B2(n_8475),
+	.C1(n_9672),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677539 (
+	.A1(n_8784),
+	.A2(n_9148),
+	.B1(n_29993),
+	.B2(n_8475),
+	.C1(n_9670),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [126]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677540 (
+	.A1(n_9031),
+	.A2(n_9151),
+	.B1(n_30033),
+	.B2(n_8475),
+	.C1(n_9671),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677541 (
+	.A1(n_8917),
+	.A2(n_9151),
+	.B1(n_30035),
+	.B2(n_8475),
+	.C1(n_9669),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677542 (
+	.A1(n_8919),
+	.A2(n_9144),
+	.B1(n_30036),
+	.B2(n_8475),
+	.C1(n_9668),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677543 (
+	.A1(n_8919),
+	.A2(n_9145),
+	.B1(n_30037),
+	.B2(n_8475),
+	.C1(n_9667),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677544 (
+	.A1(n_9030),
+	.A2(n_9144),
+	.B1(n_30038),
+	.B2(n_8475),
+	.C1(n_9666),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677545 (
+	.A1(n_9030),
+	.A2(n_9145),
+	.B1(n_30039),
+	.B2(n_8475),
+	.C1(n_9665),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677546 (
+	.A1(n_9029),
+	.A2(n_9144),
+	.B1(n_30040),
+	.B2(n_8475),
+	.C1(n_9664),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677547 (
+	.A1(n_9029),
+	.A2(n_9145),
+	.B1(n_30041),
+	.B2(n_8475),
+	.C1(n_9663),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677548 (
+	.A1(n_8916),
+	.A2(n_9147),
+	.B1(n_29948),
+	.B2(n_8475),
+	.C1(n_9661),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [121]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677549 (
+	.A1(n_8919),
+	.A2(n_9149),
+	.B1(n_30297),
+	.B2(n_8475),
+	.C1(n_9662),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677550 (
+	.A1(n_8919),
+	.A2(n_9151),
+	.B1(n_33085),
+	.B2(n_8475),
+	.C1(n_9660),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677551 (
+	.A1(n_9030),
+	.A2(n_9149),
+	.B1(n_33084),
+	.B2(n_8475),
+	.C1(n_9659),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677552 (
+	.A1(n_8916),
+	.A2(n_9148),
+	.B1(n_29944),
+	.B2(n_8475),
+	.C1(n_9658),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [120]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677553 (
+	.A1(n_9030),
+	.A2(n_9151),
+	.B1(n_33083),
+	.B2(n_8475),
+	.C1(n_9657),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677554 (
+	.A1(n_9029),
+	.A2(n_9149),
+	.B1(n_33082),
+	.B2(n_8475),
+	.C1(n_9656),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677555 (
+	.A1(n_9029),
+	.A2(n_9151),
+	.B1(n_33081),
+	.B2(n_8475),
+	.C1(n_9655),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677556 (
+	.A1(n_8784),
+	.A2(n_9146),
+	.B1(n_29939),
+	.B2(n_8475),
+	.C1(n_9654),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [119]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677557 (
+	.A1(n_8784),
+	.A2(n_9150),
+	.B1(n_29935),
+	.B2(n_8475),
+	.C1(n_9653),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [118]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677558 (
+	.A1(n_8916),
+	.A2(n_9146),
+	.B1(n_29937),
+	.B2(n_8475),
+	.C1(n_9652),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [113]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677559 (
+	.A1(n_8916),
+	.A2(n_9150),
+	.B1(n_29938),
+	.B2(n_8475),
+	.C1(n_9651),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [112]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677560 (
+	.A1(n_8914),
+	.A2(n_9147),
+	.B1(n_29940),
+	.B2(n_8475),
+	.C1(n_9650),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [111]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677561 (
+	.A1(n_8914),
+	.A2(n_9148),
+	.B1(n_29941),
+	.B2(n_8475),
+	.C1(n_9649),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [110]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677562 (
+	.A1(n_9033),
+	.A2(n_9147),
+	.B1(n_29942),
+	.B2(n_8475),
+	.C1(n_9648),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [109]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677563 (
+	.A1(n_9033),
+	.A2(n_9148),
+	.B1(n_29943),
+	.B2(n_8475),
+	.C1(n_9646),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [108]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677564 (
+	.A1(n_9028),
+	.A2(n_9147),
+	.B1(n_29945),
+	.B2(n_8475),
+	.C1(n_9645),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [107]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677565 (
+	.A1(n_9028),
+	.A2(n_9148),
+	.B1(n_29946),
+	.B2(n_8475),
+	.C1(n_9644),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [106]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677566 (
+	.A1(n_8915),
+	.A2(n_9147),
+	.B1(n_29947),
+	.B2(n_8475),
+	.C1(n_9643),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [105]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677567 (
+	.A1(n_8915),
+	.A2(n_9148),
+	.B1(n_29949),
+	.B2(n_8475),
+	.C1(n_9642),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [104]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677568 (
+	.A1(n_8914),
+	.A2(n_9146),
+	.B1(n_29950),
+	.B2(n_8475),
+	.C1(n_9641),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [103]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677569 (
+	.A1(n_8914),
+	.A2(n_9150),
+	.B1(n_29951),
+	.B2(n_8475),
+	.C1(n_9640),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [102]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677570 (
+	.A1(n_9033),
+	.A2(n_9146),
+	.B1(n_29953),
+	.B2(n_8475),
+	.C1(n_9639),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [101]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677571 (
+	.A1(n_9033),
+	.A2(n_9150),
+	.B1(n_29954),
+	.B2(n_8475),
+	.C1(n_9638),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [100]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677572 (
+	.A1(n_9028),
+	.A2(n_9146),
+	.B1(n_29955),
+	.B2(n_8475),
+	.C1(n_9637),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [99]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677573 (
+	.A1(n_9028),
+	.A2(n_9150),
+	.B1(n_29956),
+	.B2(n_8475),
+	.C1(n_9636),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [98]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677574 (
+	.A1(n_8915),
+	.A2(n_9150),
+	.B1(n_29959),
+	.B2(n_8475),
+	.C1(n_9635),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [96]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677575 (
+	.A1(n_8784),
+	.A2(n_9144),
+	.B1(n_29960),
+	.B2(n_8475),
+	.C1(n_9634),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [95]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677576 (
+	.A1(n_8784),
+	.A2(n_9145),
+	.B1(n_29961),
+	.B2(n_8475),
+	.C1(n_9633),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [94]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677577 (
+	.A1(n_8916),
+	.A2(n_9144),
+	.B1(n_29968),
+	.B2(n_8475),
+	.C1(n_9632),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [89]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677578 (
+	.A1(n_8916),
+	.A2(n_9145),
+	.B1(n_29969),
+	.B2(n_8475),
+	.C1(n_9647),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [88]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677579 (
+	.A1(n_8784),
+	.A2(n_9149),
+	.B1(n_29970),
+	.B2(n_8475),
+	.C1(n_9631),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [87]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677580 (
+	.A1(n_8784),
+	.A2(n_9151),
+	.B1(n_29971),
+	.B2(n_8475),
+	.C1(n_9630),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [86]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g677581 (
+	.A1(n_30279),
+	.A2(n_39181),
+	.B1(n_9515),
+	.C1(n_9357),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [49]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g677582 (
+	.A1(n_30109),
+	.A2(n_39181),
+	.B1(n_9390),
+	.C1(n_9362),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [97]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g677583 (
+	.A1(n_30053),
+	.A2(n_39181),
+	.B1(n_9533),
+	.C1(n_9304),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [65]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g677584 (
+	.A1(n_30086),
+	.A2(n_39181),
+	.B1(n_9484),
+	.C1(n_9344),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g677585 (
+	.A1(n_30120),
+	.A2(n_39181),
+	.B1(n_9477),
+	.C1(n_9349),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [124]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g677586 (
+	.A1(n_30123),
+	.A2(n_39181),
+	.B1(n_9470),
+	.C1(n_9351),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [122]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g677587 (
+	.A1(n_30104),
+	.A2(n_39181),
+	.B1(n_9385),
+	.C1(n_9364),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [92]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g677588 (
+	.A1(n_30102),
+	.A2(n_39181),
+	.B1(n_9513),
+	.C1(n_9346),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [90]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677589 (
+	.A(n_8778),
+	.B(n_9755),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677590 (
+	.A(n_8772),
+	.B(n_9755),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677591 (
+	.A(n_8774),
+	.B(n_9922),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677592 (
+	.A(n_8774),
+	.B(n_9919),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g677593 (
+	.A1(n_30119),
+	.A2(n_39181),
+	.B1(n_9481),
+	.C1(n_9347),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [125]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g677594 (
+	.A1(n_30122),
+	.A2(n_39181),
+	.B1(n_9473),
+	.C1(n_9350),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [123]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g677595 (
+	.A1(n_30288),
+	.A2(n_39181),
+	.B1(n_9505),
+	.C1(n_9324),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [40]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g677596 (
+	.A1(n_30100),
+	.A2(n_39181),
+	.B1(n_9469),
+	.C1(n_9353),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677597 (
+	.A(n_8780),
+	.B(n_9758),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g677598 (
+	.A1(n_30082),
+	.A2(n_39181),
+	.B1(n_9550),
+	.C1(n_9305),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [82]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g677599 (
+	.A1(n_30133),
+	.A2(n_39181),
+	.B1(n_9556),
+	.C1(n_9358),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [116]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g677600 (
+	.A1(n_30136),
+	.A2(n_39181),
+	.B1(n_9558),
+	.C1(n_9360),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [114]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g677601 (
+	.A1(n_30088),
+	.A2(n_39181),
+	.B1(n_9380),
+	.C1(n_9306),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [84]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g677602 (
+	.A1(n_30105),
+	.A2(n_39181),
+	.B1(n_9386),
+	.C1(n_9363),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [93]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g677603 (
+	.A1(n_30103),
+	.A2(n_39181),
+	.B1(n_9384),
+	.C1(n_9365),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [91]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g677604 (
+	.A1(n_30287),
+	.A2(n_39181),
+	.B1(n_9575),
+	.C1(n_9312),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [41]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g677605 (
+	.A1(n_9374),
+	.A2(n_9375),
+	.B1(n_8373),
+	.Y(n_9943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g677606 (
+	.A1(n_30132),
+	.A2(n_39181),
+	.B1(n_9555),
+	.C1(n_9356),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [117]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g677607 (
+	.A1(n_30135),
+	.A2(n_39181),
+	.B1(n_9557),
+	.C1(n_9359),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [115]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g677608 (
+	.A1(n_30296),
+	.A2(n_39181),
+	.B1(n_9500),
+	.C1(n_9342),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [32]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g677609 (
+	.A1(n_33023),
+	.A2(n_39181),
+	.B1(n_9506),
+	.C1(n_9355),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g677610 (
+	.A1(n_30099),
+	.A2(n_39181),
+	.B1(n_9471),
+	.C1(n_9352),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g677611 (
+	.A1(n_30089),
+	.A2(n_39181),
+	.B1(n_9381),
+	.C1(n_9366),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [85]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g677612 (
+	.A1(n_30085),
+	.A2(n_39181),
+	.B1(n_9552),
+	.C1(n_9332),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [83]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g677613 (
+	.A1(n_30295),
+	.A2(n_39181),
+	.B1(n_9501),
+	.C1(n_9325),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [33]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g677614 (
+	.A1(n_30299),
+	.A2(n_39181),
+	.B1(n_9459),
+	.C1(n_9354),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677615 (
+	.A(n_8780),
+	.B(n_9771),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677616 (
+	.A(n_8780),
+	.B(n_9770),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677617 (
+	.A(n_8780),
+	.B(n_9764),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677618 (
+	.A(n_8780),
+	.B(n_9765),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677619 (
+	.A(n_8787),
+	.B(n_9755),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677620 (
+	.A(n_8782),
+	.B(n_9922),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677621 (
+	.A(n_8782),
+	.B(n_9919),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677622 (
+	.A(n_8778),
+	.B(n_9758),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677623 (
+	.A(n_8772),
+	.B(n_9758),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677624 (
+	.A(n_8774),
+	.B(n_9921),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677625 (
+	.A(n_8782),
+	.B(n_9755),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677626 (
+	.A(n_8776),
+	.B(n_9755),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677627 (
+	.A(n_8774),
+	.B(n_9755),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677628 (
+	.A(n_8786),
+	.B(n_9755),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677629 (
+	.A(n_9278),
+	.B(n_9759),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6963 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677630 (
+	.A(n_9277),
+	.B(n_9759),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6965 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677631 (
+	.A(n_8778),
+	.B(n_9770),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677632 (
+	.A(n_8778),
+	.B(n_9771),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677633 (
+	.A(n_8772),
+	.B(n_9771),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677634 (
+	.A(n_8772),
+	.B(n_9770),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677635 (
+	.A(n_8778),
+	.B(n_9764),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677636 (
+	.A(n_8778),
+	.B(n_9765),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677637 (
+	.A(n_8772),
+	.B(n_9764),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677638 (
+	.A(n_8772),
+	.B(n_9765),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677639 (
+	.A(n_9278),
+	.B(n_9766),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6979 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677640 (
+	.A(n_9278),
+	.B(n_9767),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6931 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677641 (
+	.A(n_8774),
+	.B(n_9904),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677642 (
+	.A(n_8774),
+	.B(n_9908),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677643 (
+	.A(n_8774),
+	.B(n_9903),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677644 (
+	.A(n_8774),
+	.B(n_9907),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677645 (
+	.A(n_8780),
+	.B(n_9906),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677646 (
+	.A(n_8780),
+	.B(n_9905),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677647 (
+	.A(n_8782),
+	.B(n_9921),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677648 (
+	.A(n_9280),
+	.B(n_9759),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6967 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677649 (
+	.A(n_8776),
+	.B(n_9922),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677650 (
+	.A(n_8776),
+	.B(n_9919),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677651 (
+	.A(n_8787),
+	.B(n_9758),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677652 (
+	.A(n_9277),
+	.B(n_9766),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6981 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677653 (
+	.A(n_9277),
+	.B(n_9767),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6933 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677654 (
+	.A(n_8778),
+	.B(n_9919),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677655 (
+	.A(n_8778),
+	.B(n_9922),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677656 (
+	.A(n_8772),
+	.B(n_9922),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677657 (
+	.A(n_8780),
+	.B(n_9922),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677658 (
+	.A(n_8772),
+	.B(n_9919),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677659 (
+	.A(n_8780),
+	.B(n_9919),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677660 (
+	.A(n_8782),
+	.B(n_9758),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677661 (
+	.A(n_8776),
+	.B(n_9758),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677662 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [23]),
+	.B(n_8143),
+	.Y(n_9950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g677664 (
+	.A(n_9927),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [8]),
+	.Y(n_9949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g677665 (
+	.A(n_9927),
+	.B(n_8295),
+	.Y(n_9947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677666 (
+	.A(n_8782),
+	.B(n_9906),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677667 (
+	.A(n_9280),
+	.B(n_9767),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6935 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677668 (
+	.A(n_8774),
+	.B(n_9770),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677669 (
+	.A(n_8780),
+	.B(n_9908),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677670 (
+	.A(n_8774),
+	.B(n_9764),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677671 (
+	.A(n_8774),
+	.B(n_9771),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677672 (
+	.A(n_8780),
+	.B(n_9903),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677673 (
+	.A(n_8780),
+	.B(n_9907),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677674 (
+	.A(n_8774),
+	.B(n_9905),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677675 (
+	.A(n_8774),
+	.B(n_9906),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677676 (
+	.A(n_8774),
+	.B(n_9765),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677677 (
+	.A(n_8780),
+	.B(n_9904),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677678 (
+	.A(n_8774),
+	.B(n_9920),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677679 (
+	.A(n_8780),
+	.B(n_9920),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677680 (
+	.A(n_8780),
+	.B(n_9921),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677681 (
+	.A(n_9163),
+	.B(n_9759),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6955 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677682 (
+	.A(n_9160),
+	.B(n_9759),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6961 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677683 (
+	.A(n_9162),
+	.B(n_9759),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6957 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677684 (
+	.A(n_9164),
+	.B(n_9759),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6959 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677685 (
+	.A(n_9277),
+	.B(n_9768),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6949 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677686 (
+	.A(n_9279),
+	.B(n_9766),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6985 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677687 (
+	.A(n_9279),
+	.B(n_9767),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6937 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677688 (
+	.A(n_9158),
+	.B(n_9735),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6891 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677689 (
+	.A(n_8786),
+	.B(n_9904),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677690 (
+	.A(n_8786),
+	.B(n_9908),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677691 (
+	.A(n_8786),
+	.B(n_9903),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677692 (
+	.A(n_8786),
+	.B(n_9907),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677693 (
+	.A(n_9163),
+	.B(n_9865),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6938 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677694 (
+	.A(n_9280),
+	.B(n_9768),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6951 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677695 (
+	.A(n_9164),
+	.B(n_9766),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6975 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677696 (
+	.A(n_9162),
+	.B(n_9766),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6973 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677697 (
+	.A(n_9163),
+	.B(n_9766),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6971 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677698 (
+	.A(n_9160),
+	.B(n_9766),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6977 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677699 (
+	.A(n_9154),
+	.B(n_9735),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6907 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677700 (
+	.A(n_9163),
+	.B(n_9767),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6923 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677701 (
+	.A(n_9164),
+	.B(n_9767),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6927 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677702 (
+	.A(n_9160),
+	.B(n_9767),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6929 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677703 (
+	.A(n_9162),
+	.B(n_9767),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6925 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677704 (
+	.A(n_8787),
+	.B(n_9771),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677705 (
+	.A(n_8787),
+	.B(n_9770),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677706 (
+	.A(n_9154),
+	.B(n_9737),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6875 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677707 (
+	.A(n_9279),
+	.B(n_9768),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6953 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677708 (
+	.A(n_8787),
+	.B(n_9764),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677709 (
+	.A(n_8787),
+	.B(n_9765),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677710 (
+	.A(n_9156),
+	.B(n_9735),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6893 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677711 (
+	.A(n_8778),
+	.B(n_9906),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677712 (
+	.A(n_8778),
+	.B(n_9905),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677713 (
+	.A(n_8782),
+	.B(n_9908),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677714 (
+	.A(n_8782),
+	.B(n_9903),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677715 (
+	.A(n_8782),
+	.B(n_9907),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677716 (
+	.A(n_8772),
+	.B(n_9906),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677717 (
+	.A(n_8772),
+	.B(n_9905),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677718 (
+	.A(n_8782),
+	.B(n_9904),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677719 (
+	.A(n_9278),
+	.B(n_9787),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6962 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677720 (
+	.A(n_8786),
+	.B(n_9770),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677721 (
+	.A(n_8782),
+	.B(n_9771),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677722 (
+	.A(n_8786),
+	.B(n_9771),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677723 (
+	.A(n_8776),
+	.B(n_9771),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677724 (
+	.A(n_8782),
+	.B(n_9770),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677725 (
+	.A(n_8776),
+	.B(n_9770),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g677726 (
+	.A(n_8615),
+	.B(n_8586),
+	.C(n_8591),
+	.D(n_9337),
+	.Y(n_9938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677727 (
+	.A(n_9155),
+	.B(n_9735),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6909 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677728 (
+	.A(n_8782),
+	.B(n_9764),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677729 (
+	.A(n_8776),
+	.B(n_9764),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677730 (
+	.A(n_8786),
+	.B(n_9764),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677731 (
+	.A(n_8782),
+	.B(n_9765),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677732 (
+	.A(n_8776),
+	.B(n_9765),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677733 (
+	.A(n_8786),
+	.B(n_9765),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677734 (
+	.A(n_9162),
+	.B(n_9865),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6940 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677735 (
+	.A(n_8776),
+	.B(n_9921),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677736 (
+	.A(n_9163),
+	.B(n_9740),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6922 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677737 (
+	.A(n_9277),
+	.B(n_9787),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6964 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677738 (
+	.A(n_9155),
+	.B(n_9737),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6877 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677739 (
+	.A(n_9163),
+	.B(n_9787),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6954 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677740 (
+	.A(n_9163),
+	.B(n_9768),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6939 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677741 (
+	.A(n_9163),
+	.B(n_9804),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6970 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677742 (
+	.A(n_9162),
+	.B(n_9768),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6941 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677743 (
+	.A(n_9164),
+	.B(n_9768),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6943 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677744 (
+	.A(n_9160),
+	.B(n_9768),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6945 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677745 (
+	.A(n_8778),
+	.B(n_9921),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677746 (
+	.A(n_8772),
+	.B(n_9921),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677747 (
+	.A(n_8786),
+	.B(n_9906),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677748 (
+	.A(n_8786),
+	.B(n_9905),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677749 (
+	.A(n_9267),
+	.B(n_9735),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6921 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677750 (
+	.A(n_9267),
+	.B(n_9737),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6889 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677751 (
+	.A(n_9278),
+	.B(n_9804),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6978 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g677752 (
+	.A(n_8646),
+	.B(n_8560),
+	.C(n_8617),
+	.D(n_9328),
+	.X(n_9937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677753 (
+	.A(n_9270),
+	.B(n_9735),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6919 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677754 (
+	.A(n_8778),
+	.B(n_9908),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677755 (
+	.A(n_8778),
+	.B(n_9907),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677756 (
+	.A(n_8778),
+	.B(n_9904),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677757 (
+	.A(n_8778),
+	.B(n_9903),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677758 (
+	.A(n_8778),
+	.B(n_9920),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677759 (
+	.A(n_8772),
+	.B(n_9908),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677760 (
+	.A(n_8772),
+	.B(n_9903),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677761 (
+	.A(n_8772),
+	.B(n_9907),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677762 (
+	.A(n_9280),
+	.B(n_9766),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6983 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677763 (
+	.A(n_8782),
+	.B(n_9905),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677764 (
+	.A(n_8772),
+	.B(n_9904),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677765 (
+	.A(n_8772),
+	.B(n_9920),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677766 (
+	.A(n_8782),
+	.B(n_9920),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677767 (
+	.A(n_9159),
+	.B(n_9735),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6897 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677768 (
+	.A(n_9157),
+	.B(n_9735),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6895 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677769 (
+	.A(n_9152),
+	.B(n_9735),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6911 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677770 (
+	.A(n_9153),
+	.B(n_9735),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6913 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677771 (
+	.A(n_9268),
+	.B(n_9735),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6915 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677772 (
+	.A(n_9273),
+	.B(n_9735),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6905 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677773 (
+	.A(n_9274),
+	.B(n_9735),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6901 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677774 (
+	.A(n_9269),
+	.B(n_9735),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6917 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677775 (
+	.A(n_9272),
+	.B(n_9735),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6899 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677776 (
+	.A(n_9271),
+	.B(n_9735),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6903 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677777 (
+	.A(n_9280),
+	.B(n_9787),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6966 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677778 (
+	.A(n_9162),
+	.B(n_9740),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6924 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677779 (
+	.A(n_9270),
+	.B(n_9737),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6887 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677780 (
+	.A(n_9162),
+	.B(n_9787),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6956 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677781 (
+	.A(n_9162),
+	.B(n_9804),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6972 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677782 (
+	.A(n_9277),
+	.B(n_9804),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6980 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677783 (
+	.A(n_9152),
+	.B(n_9737),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6879 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677784 (
+	.A(n_9153),
+	.B(n_9737),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6881 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677785 (
+	.A(n_9269),
+	.B(n_9737),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6885 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677786 (
+	.A(n_9268),
+	.B(n_9737),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6883 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g677787 (
+	.A1_N(n_9194),
+	.A2_N(n_9379),
+	.B1(n_8138),
+	.B2(n_9348),
+	.Y(n_32251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677788 (
+	.A(n_9279),
+	.B(n_9787),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6968 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677789 (
+	.A(n_9164),
+	.B(n_9865),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6942 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677790 (
+	.A(n_9278),
+	.B(n_9865),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6946 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677791 (
+	.A(n_9278),
+	.B(n_9740),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6930 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677792 (
+	.A(n_9158),
+	.B(n_9734),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6890 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677793 (
+	.A(n_9153),
+	.B(n_9739),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6880 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677794 (
+	.A(n_9280),
+	.B(n_9804),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6982 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677795 (
+	.A(n_9268),
+	.B(n_9739),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6882 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677796 (
+	.A(n_9154),
+	.B(n_9734),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6906 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677797 (
+	.A(n_9160),
+	.B(n_9787),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6960 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677798 (
+	.A(n_9164),
+	.B(n_9787),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6958 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677799 (
+	.A(n_9277),
+	.B(n_9865),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6948 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677800 (
+	.A(n_9277),
+	.B(n_9740),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6932 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677801 (
+	.A(n_9154),
+	.B(n_9739),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6874 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677802 (
+	.A(n_9269),
+	.B(n_9739),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6884 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677803 (
+	.A(n_9279),
+	.B(n_9804),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6984 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677804 (
+	.A(n_9272),
+	.B(n_9734),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6898 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677805 (
+	.A(n_9156),
+	.B(n_9734),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6892 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677806 (
+	.A(n_9160),
+	.B(n_9865),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6944 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677807 (
+	.A(n_8787),
+	.B(n_9906),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677808 (
+	.A(n_8787),
+	.B(n_9905),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677809 (
+	.A(n_9152),
+	.B(n_9739),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6878 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677810 (
+	.A(n_8776),
+	.B(n_9908),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677811 (
+	.A(n_8776),
+	.B(n_9903),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677812 (
+	.A(n_8776),
+	.B(n_9907),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677813 (
+	.A(n_8776),
+	.B(n_9904),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677814 (
+	.A(n_9164),
+	.B(n_9740),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6926 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677815 (
+	.A(n_9267),
+	.B(n_9739),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6888 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677816 (
+	.A(n_9155),
+	.B(n_9739),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6876 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677817 (
+	.A(n_9270),
+	.B(n_9739),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6886 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g677818 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_timer[1]),
+	.B(n_9180),
+	.X(n_31049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677819 (
+	.A(n_9155),
+	.B(n_9734),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6908 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677820 (
+	.A(n_9164),
+	.B(n_9804),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6974 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677821 (
+	.A(n_9274),
+	.B(n_9734),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6900 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677822 (
+	.A(n_8787),
+	.B(n_9908),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677823 (
+	.A(n_8787),
+	.B(n_9907),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677824 (
+	.A(n_8787),
+	.B(n_9903),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677825 (
+	.A(n_8787),
+	.B(n_9904),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677826 (
+	.A(n_8776),
+	.B(n_9906),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677827 (
+	.A(n_8776),
+	.B(n_9905),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677828 (
+	.A(n_9280),
+	.B(n_9865),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6950 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677829 (
+	.A(n_9280),
+	.B(n_9740),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6934 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677830 (
+	.A(n_9279),
+	.B(n_9865),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6952 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677831 (
+	.A(n_9160),
+	.B(n_9804),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6976 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677832 (
+	.A(n_9267),
+	.B(n_9734),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6920 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677833 (
+	.A(n_9159),
+	.B(n_9734),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6896 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677834 (
+	.A(n_9153),
+	.B(n_9734),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6912 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677835 (
+	.A(n_9279),
+	.B(n_9740),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6936 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677836 (
+	.A(n_9268),
+	.B(n_9734),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6914 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677837 (
+	.A(n_9271),
+	.B(n_9734),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6902 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677838 (
+	.A(n_9160),
+	.B(n_9740),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6928 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677839 (
+	.A(n_9270),
+	.B(n_9734),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6918 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677840 (
+	.A(n_9269),
+	.B(n_9734),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6916 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677841 (
+	.A(n_9157),
+	.B(n_9734),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6894 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677842 (
+	.A(n_9152),
+	.B(n_9734),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6910 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677843 (
+	.A(n_9273),
+	.B(n_9734),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6904 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677844 (
+	.A(n_8776),
+	.B(n_9920),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g677845 (
+	.A1(n_9275),
+	.A2(n_32361),
+	.B1_N(n_9924),
+	.Y(n_9936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g677846 (
+	.A(n_9621),
+	.B(n_30479),
+	.C(n_30483),
+	.Y(n_9935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g677847 (
+	.A1(n_8133),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [23]),
+	.B1(n_9455),
+	.C1(n_9453),
+	.Y(n_9934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g677848 (
+	.A1(n_32267),
+	.A2(n_9182),
+	.B1(n_9616),
+	.Y(n_9933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g677849 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [3]),
+	.A2(n_9327),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [1]),
+	.B2(n_8410),
+	.C1(n_8723),
+	.Y(n_9932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a311oi_1 g677850 (
+	.A1(n_8409),
+	.A2(n_8159),
+	.A3(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [0]),
+	.B1(n_9345),
+	.C1(n_9310),
+	.Y(n_9931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g677851 (
+	.A1(n_9367),
+	.A2(n_9193),
+	.B1(n_9313),
+	.Y(n_9930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g677853 (
+	.A(n_8639),
+	.B(n_8637),
+	.C(n_8880),
+	.D(n_9214),
+	.Y(n_9929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g677854 (
+	.A(n_9339),
+	.B(n_9338),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_5511),
+	.D(n_9321),
+	.Y(n_9928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g677855 (
+	.A(n_9738),
+	.B(n_9042),
+	.Y(n_9942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g677856 (
+	.A(n_9736),
+	.B(n_9042),
+	.Y(n_9941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g677857 (
+	.A(n_9738),
+	.B(n_8677),
+	.Y(n_9940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g677858 (
+	.A(n_9736),
+	.B(n_8677),
+	.Y(n_9939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677859 (
+	.A(n_9918),
+	.Y(n_9917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677860 (
+	.A(n_9911),
+	.Y(n_9912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677861 (
+	.A(n_9909),
+	.Y(n_9910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677862 (
+	.A(n_9902),
+	.Y(n_9901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677863 (
+	.A(n_9900),
+	.Y(n_9899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677864 (
+	.A(n_9897),
+	.Y(n_9896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677865 (
+	.A(n_9895),
+	.Y(n_9894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677866 (
+	.A(n_9893),
+	.Y(n_9892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677867 (
+	.A(n_9891),
+	.Y(n_9890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677868 (
+	.A(n_9887),
+	.Y(n_9888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677869 (
+	.A(n_9886),
+	.Y(n_9885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677870 (
+	.A(n_9884),
+	.Y(n_9883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677871 (
+	.A(n_9881),
+	.Y(n_9880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677872 (
+	.A(n_9879),
+	.Y(n_9878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677873 (
+	.A(n_9876),
+	.Y(n_9877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677874 (
+	.A(n_9875),
+	.Y(n_9874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677875 (
+	.A(n_9871),
+	.Y(n_9870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677876 (
+	.A(n_9868),
+	.Y(n_9867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677877 (
+	.A(n_9864),
+	.Y(n_9863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677878 (
+	.A(n_9861),
+	.Y(n_9860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677879 (
+	.A(n_9859),
+	.Y(n_9858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677880 (
+	.A(n_9857),
+	.Y(n_9856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677881 (
+	.A(n_9855),
+	.Y(n_9854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g677882 (
+	.A(n_9851),
+	.Y(n_9850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g677883 (
+	.A(n_9849),
+	.Y(n_9848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g677884 (
+	.A(n_9845),
+	.Y(n_9844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g677885 (
+	.A(n_9843),
+	.Y(n_9842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677886 (
+	.A(n_9835),
+	.Y(n_9834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g677887 (
+	.A(n_9821),
+	.Y(n_9820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g677888 (
+	.A(n_9819),
+	.Y(n_9818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g677889 (
+	.A(n_9813),
+	.Y(n_9812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g677890 (
+	.A(n_9811),
+	.Y(n_9810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677891 (
+	.A(n_9807),
+	.Y(n_9808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677892 (
+	.A(n_9806),
+	.Y(n_9805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677893 (
+	.A(n_9803),
+	.Y(n_9802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677894 (
+	.A(n_9801),
+	.Y(n_9800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677895 (
+	.A(n_9799),
+	.Y(n_9798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677896 (
+	.A(n_9797),
+	.Y(n_9796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677897 (
+	.A(n_9795),
+	.Y(n_9794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677898 (
+	.A(n_9793),
+	.Y(n_9792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677899 (
+	.A(n_9791),
+	.Y(n_9790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677900 (
+	.A(n_9789),
+	.Y(n_9788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677901 (
+	.A(n_9785),
+	.Y(n_9786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677902 (
+	.A(n_9783),
+	.Y(n_9784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677903 (
+	.A(n_9781),
+	.Y(n_9782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677904 (
+	.A(n_9779),
+	.Y(n_9780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677905 (
+	.A(n_9778),
+	.Y(n_9777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677906 (
+	.A(n_9776),
+	.Y(n_9775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677907 (
+	.A(n_9773),
+	.Y(n_9772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677908 (
+	.A(n_9763),
+	.Y(n_9762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677909 (
+	.A(n_9760),
+	.Y(n_9761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677910 (
+	.A(n_9757),
+	.Y(n_9756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677911 (
+	.A(n_9754),
+	.Y(n_9753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677912 (
+	.A(n_9752),
+	.Y(n_9751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677913 (
+	.A(n_9749),
+	.Y(n_9750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g677914 (
+	.A(n_9747),
+	.Y(n_9748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677915 (
+	.A(n_9159),
+	.B(n_9437),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7088 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g677916 (
+	.A(n_9600),
+	.B(n_32362),
+	.Y(n_9746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677917 (
+	.A(n_9159),
+	.B(n_9434),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7024 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677918 (
+	.A(n_9271),
+	.B(n_9595),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7095 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g677919 (
+	.A_N(n_9075),
+	.B(n_9368),
+	.Y(n_9745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677920 (
+	.A(n_9269),
+	.B(n_9595),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7109 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677921 (
+	.A(n_8138),
+	.B(n_9369),
+	.Y(n_364286_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677922 (
+	.A(n_9268),
+	.B(n_9595),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7107 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677923 (
+	.A(n_9053),
+	.B(n_9598),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677924 (
+	.A(n_9273),
+	.B(n_9595),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7097 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677925 (
+	.A(n_9272),
+	.B(n_9595),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7091 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677926 (
+	.A(n_9157),
+	.B(n_9595),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7087 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677927 (
+	.A(n_9159),
+	.B(n_9595),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7089 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g677928 (
+	.A1(n_9134),
+	.A2(n_9211),
+	.B1(n_8487),
+	.Y(n_30921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g677929 (
+	.A1(n_9143),
+	.A2(n_9210),
+	.B1(n_8485),
+	.Y(n_30923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677930 (
+	.A(n_9152),
+	.B(n_9595),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7103 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677931 (
+	.A(n_9153),
+	.B(n_9595),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7105 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677932 (
+	.A(n_9269),
+	.B(n_9593),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7045 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677933 (
+	.A(n_9268),
+	.B(n_9593),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7043 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677934 (
+	.A(n_9273),
+	.B(n_9593),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7033 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677935 (
+	.A(n_9271),
+	.B(n_9593),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7031 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677936 (
+	.A(n_9274),
+	.B(n_9593),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7029 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677937 (
+	.A(n_9272),
+	.B(n_9593),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7027 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677938 (
+	.A(n_9153),
+	.B(n_9593),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7041 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677939 (
+	.A(n_9152),
+	.B(n_9593),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7039 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677940 (
+	.A(n_9053),
+	.B(n_8117),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677941 (
+	.A(n_9053),
+	.B(n_9439),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677942 (
+	.A(n_9053),
+	.B(n_9599),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g677943 (
+	.A(n_9319),
+	.B(n_8480),
+	.Y(n_30919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677944 (
+	.A(n_9159),
+	.B(n_9593),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7025 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677945 (
+	.A(n_9157),
+	.B(n_9593),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7023 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677946 (
+	.A(n_9274),
+	.B(n_9595),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7093 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677947 (
+	.A(n_9154),
+	.B(n_9436),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7066 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677948 (
+	.A(n_9154),
+	.B(n_9435),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7002 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677949 (
+	.A(n_9158),
+	.B(n_9437),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7082 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677950 (
+	.A(n_9158),
+	.B(n_9434),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7018 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677951 (
+	.A(n_9158),
+	.B(n_9594),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6987 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677952 (
+	.A(n_9158),
+	.B(n_9596),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7051 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677953 (
+	.A(n_9154),
+	.B(n_9594),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7003 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677954 (
+	.A(n_9154),
+	.B(n_9596),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7067 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677955 (
+	.A(n_9156),
+	.B(n_9594),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6989 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677956 (
+	.A(n_9156),
+	.B(n_9596),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7053 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677957 (
+	.A(n_9270),
+	.B(n_9595),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7111 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677958 (
+	.A(n_9270),
+	.B(n_9593),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7047 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677959 (
+	.A(n_9274),
+	.B(n_9437),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7092 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677960 (
+	.A(n_9274),
+	.B(n_9434),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7028 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677961 (
+	.A(n_9158),
+	.B(n_9436),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7050 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677962 (
+	.A(n_9158),
+	.B(n_9435),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6986 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677963 (
+	.A(n_9267),
+	.B(n_9595),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7113 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677964 (
+	.A(n_9267),
+	.B(n_9593),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7049 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677965 (
+	.A(n_9155),
+	.B(n_9594),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7005 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677966 (
+	.A(n_9155),
+	.B(n_9596),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7069 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677967 (
+	.A(n_9272),
+	.B(n_9437),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7090 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677968 (
+	.A(n_9158),
+	.B(n_9593),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7019 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677969 (
+	.A(n_9158),
+	.B(n_9595),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7083 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677970 (
+	.A(n_9272),
+	.B(n_9434),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7026 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677971 (
+	.A(n_9155),
+	.B(n_9595),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7101 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677972 (
+	.A(n_9155),
+	.B(n_9593),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7037 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677973 (
+	.A(n_9273),
+	.B(n_9596),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7065 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677974 (
+	.A(n_9269),
+	.B(n_9594),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7013 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677975 (
+	.A(n_9272),
+	.B(n_9594),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6995 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677976 (
+	.A(n_9268),
+	.B(n_9594),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7011 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677977 (
+	.A(n_9273),
+	.B(n_9594),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7001 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677978 (
+	.A(n_9271),
+	.B(n_9594),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6999 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677979 (
+	.A(n_9274),
+	.B(n_9594),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6997 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677980 (
+	.A(n_9159),
+	.B(n_9596),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7057 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677981 (
+	.A(n_9157),
+	.B(n_9596),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7055 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677982 (
+	.A(n_9152),
+	.B(n_9596),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7071 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677983 (
+	.A(n_9153),
+	.B(n_9596),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7073 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677984 (
+	.A(n_9268),
+	.B(n_9596),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7075 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677985 (
+	.A(n_9269),
+	.B(n_9596),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7077 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677986 (
+	.A(n_9272),
+	.B(n_9596),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7059 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677987 (
+	.A(n_9274),
+	.B(n_9596),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7061 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677988 (
+	.A(n_9271),
+	.B(n_9596),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7063 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677989 (
+	.A(n_9157),
+	.B(n_9594),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6991 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677990 (
+	.A(n_9159),
+	.B(n_9594),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6993 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677991 (
+	.A(n_9152),
+	.B(n_9594),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7007 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677992 (
+	.A(n_9153),
+	.B(n_9594),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7009 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677993 (
+	.A(n_9156),
+	.B(n_9595),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7085 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677994 (
+	.A(n_9156),
+	.B(n_9593),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7021 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677995 (
+	.A(n_9270),
+	.B(n_9594),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7015 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677996 (
+	.A(n_9270),
+	.B(n_9596),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7079 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677997 (
+	.A(n_9154),
+	.B(n_9595),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7099 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677998 (
+	.A(n_9154),
+	.B(n_9593),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7035 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g677999 (
+	.A(n_9267),
+	.B(n_9596),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7081 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678000 (
+	.A(n_9267),
+	.B(n_9594),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7017 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678001 (
+	.A(n_32362),
+	.B(n_9601),
+	.Y(n_9927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678002 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [11]),
+	.B(n_9601),
+	.Y(n_9926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678003 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [24]),
+	.B(n_9603),
+	.Y(n_9925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g678005 (
+	.A1(n_9275),
+	.A2(n_8307),
+	.A3(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [17]),
+	.B1(n_9456),
+	.Y(n_9924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g678006 (
+	.A1(n_9275),
+	.A2(n_8672),
+	.B1(n_9452),
+	.Y(n_9923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678007 (
+	.A(n_9440),
+	.B(n_8500),
+	.Y(n_9922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678008 (
+	.A(n_9438),
+	.B(n_8500),
+	.Y(n_9921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678009 (
+	.A(n_9597),
+	.B(n_8500),
+	.Y(n_9920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678010 (
+	.A(n_8141),
+	.B(n_8500),
+	.Y(n_9919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678011 (
+	.A(n_9161),
+	.B(n_9446),
+	.Y(n_9918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678012 (
+	.A(n_8358),
+	.B(n_9581),
+	.Y(n_9916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678013 (
+	.A(n_8477),
+	.B(n_9581),
+	.Y(n_9915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678014 (
+	.A(n_8358),
+	.B(n_9583),
+	.Y(n_9914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678015 (
+	.A(n_8477),
+	.B(n_9583),
+	.Y(n_9913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678016 (
+	.A(n_9122),
+	.B(n_9444),
+	.Y(n_9911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678017 (
+	.A(n_9120),
+	.B(n_9441),
+	.Y(n_9909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678018 (
+	.A(n_8141),
+	.B(n_8397),
+	.Y(n_9908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678019 (
+	.A(n_8141),
+	.B(n_8509),
+	.Y(n_9907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678020 (
+	.A(n_9438),
+	.B(n_8397),
+	.Y(n_9906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678021 (
+	.A(n_9438),
+	.B(n_8509),
+	.Y(n_9905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678022 (
+	.A(n_9440),
+	.B(n_8397),
+	.Y(n_9904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678023 (
+	.A(n_9440),
+	.B(n_8509),
+	.Y(n_9903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678024 (
+	.A(n_9161),
+	.B(n_9444),
+	.Y(n_9902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678025 (
+	.A(n_8134),
+	.B(n_9442),
+	.Y(n_9900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678026 (
+	.A(n_8134),
+	.B(n_9449),
+	.Y(n_9898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678027 (
+	.A(n_9122),
+	.B(n_9441),
+	.Y(n_9897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678028 (
+	.A(n_9161),
+	.B(n_9450),
+	.Y(n_9895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678029 (
+	.A(n_9120),
+	.B(n_9448),
+	.Y(n_9893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678030 (
+	.A(n_8134),
+	.B(n_9441),
+	.Y(n_9891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678031 (
+	.A(n_9122),
+	.B(n_9449),
+	.Y(n_9889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678032 (
+	.A(n_9120),
+	.B(n_9450),
+	.Y(n_9887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678033 (
+	.A(n_9161),
+	.B(n_9447),
+	.Y(n_9886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678034 (
+	.A(n_9120),
+	.B(n_9449),
+	.Y(n_9884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678035 (
+	.A(n_9161),
+	.B(n_9448),
+	.Y(n_9882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678036 (
+	.A(n_9161),
+	.B(n_9442),
+	.Y(n_9881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678037 (
+	.A(n_9161),
+	.B(n_9441),
+	.Y(n_9879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678038 (
+	.A(n_9120),
+	.B(n_9442),
+	.Y(n_9876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678039 (
+	.A(n_9122),
+	.B(n_9450),
+	.Y(n_9875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678040 (
+	.A(n_9443),
+	.B(n_9121),
+	.Y(n_9873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678041 (
+	.A(n_9161),
+	.B(n_9449),
+	.Y(n_9872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678042 (
+	.A(n_9122),
+	.B(n_9447),
+	.Y(n_9871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678043 (
+	.A(n_9445),
+	.B(n_9121),
+	.Y(n_9869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678044 (
+	.A(n_8134),
+	.B(n_9450),
+	.Y(n_9868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678045 (
+	.A(n_8134),
+	.B(n_9448),
+	.Y(n_9866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678046 (
+	.A(n_9457),
+	.B(n_8676),
+	.Y(n_9865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678047 (
+	.A(n_8134),
+	.B(n_9447),
+	.Y(n_9864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678048 (
+	.A(n_9122),
+	.B(n_9448),
+	.Y(n_9862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678049 (
+	.A(n_8358),
+	.B(n_9409),
+	.Y(n_9861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678050 (
+	.A(n_8477),
+	.B(n_9409),
+	.Y(n_9859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678051 (
+	.A(n_8358),
+	.B(n_9412),
+	.Y(n_9857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678052 (
+	.A(n_8477),
+	.B(n_9412),
+	.Y(n_9855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678053 (
+	.A(n_8358),
+	.B(n_9404),
+	.Y(n_9853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678054 (
+	.A(n_8477),
+	.B(n_9404),
+	.Y(n_9852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678055 (
+	.A(n_8358),
+	.B(n_9428),
+	.Y(n_9851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678056 (
+	.A(n_8477),
+	.B(n_9428),
+	.Y(n_9849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678057 (
+	.A(n_8358),
+	.B(n_9579),
+	.Y(n_9847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678058 (
+	.A(n_8477),
+	.B(n_9579),
+	.Y(n_9846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678059 (
+	.A(n_8358),
+	.B(n_9430),
+	.Y(n_9845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678060 (
+	.A(n_8477),
+	.B(n_9430),
+	.Y(n_9843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678061 (
+	.A(n_8358),
+	.B(n_9422),
+	.Y(n_9841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678062 (
+	.A(n_8477),
+	.B(n_9422),
+	.Y(n_9840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678063 (
+	.A(n_8358),
+	.B(n_9432),
+	.Y(n_9839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678064 (
+	.A(n_8477),
+	.B(n_9432),
+	.Y(n_9838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678065 (
+	.A(n_8358),
+	.B(n_9396),
+	.Y(n_9837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678066 (
+	.A(n_8477),
+	.B(n_9396),
+	.Y(n_9836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678067 (
+	.A(n_8477),
+	.B(n_9419),
+	.Y(n_9835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678068 (
+	.A(n_8477),
+	.B(n_9398),
+	.Y(n_9833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678069 (
+	.A(n_8477),
+	.B(n_9589),
+	.Y(n_9832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678070 (
+	.A(n_8358),
+	.B(n_9589),
+	.Y(n_9831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678071 (
+	.A(n_8358),
+	.B(n_9585),
+	.Y(n_9830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678072 (
+	.A(n_8358),
+	.B(n_9400),
+	.Y(n_9829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678073 (
+	.A(n_8477),
+	.B(n_9400),
+	.Y(n_9828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678074 (
+	.A(n_8358),
+	.B(n_9394),
+	.Y(n_9827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678075 (
+	.A(n_8477),
+	.B(n_9394),
+	.Y(n_9826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678076 (
+	.A(n_8358),
+	.B(n_9426),
+	.Y(n_9825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678077 (
+	.A(n_8477),
+	.B(n_9426),
+	.Y(n_9824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678078 (
+	.A(n_8358),
+	.B(n_9414),
+	.Y(n_9823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678079 (
+	.A(n_8477),
+	.B(n_9414),
+	.Y(n_9822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678080 (
+	.A(n_8358),
+	.B(n_9577),
+	.Y(n_9821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678081 (
+	.A(n_8477),
+	.B(n_9577),
+	.Y(n_9819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678082 (
+	.A(n_8358),
+	.B(n_9402),
+	.Y(n_9817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678083 (
+	.A(n_8477),
+	.B(n_9402),
+	.Y(n_9816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678084 (
+	.A(n_8358),
+	.B(n_9591),
+	.Y(n_9815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678085 (
+	.A(n_8477),
+	.B(n_9591),
+	.Y(n_9814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678086 (
+	.A(n_8358),
+	.B(n_8140),
+	.Y(n_9813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678087 (
+	.A(n_8477),
+	.B(n_8140),
+	.Y(n_9811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678088 (
+	.A(n_8477),
+	.B(n_9585),
+	.Y(n_9809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678089 (
+	.A(n_9122),
+	.B(n_9446),
+	.Y(n_9807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678090 (
+	.A(n_9122),
+	.B(n_9442),
+	.Y(n_9806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678091 (
+	.A(n_9454),
+	.B(n_8676),
+	.Y(n_9804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678092 (
+	.A(n_8477),
+	.B(n_9413),
+	.Y(n_9803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678093 (
+	.A(n_8358),
+	.B(n_9413),
+	.Y(n_9801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678094 (
+	.A(n_8477),
+	.B(n_9410),
+	.Y(n_9799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678095 (
+	.A(n_8358),
+	.B(n_9410),
+	.Y(n_9797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678096 (
+	.A(n_8477),
+	.B(n_9407),
+	.Y(n_9795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678097 (
+	.A(n_8358),
+	.B(n_9407),
+	.Y(n_9793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678098 (
+	.A(n_8477),
+	.B(n_9406),
+	.Y(n_9791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678099 (
+	.A(n_8358),
+	.B(n_9406),
+	.Y(n_9789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678100 (
+	.A(n_9454),
+	.B(n_8677),
+	.Y(n_9787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678101 (
+	.A(n_8477),
+	.B(n_9588),
+	.Y(n_9785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678102 (
+	.A(n_8358),
+	.B(n_9588),
+	.Y(n_9783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678103 (
+	.A(n_8477),
+	.B(n_9587),
+	.Y(n_9781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678104 (
+	.A(n_8358),
+	.B(n_9587),
+	.Y(n_9779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678105 (
+	.A(n_8358),
+	.B(n_9419),
+	.Y(n_9778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678106 (
+	.A(n_8477),
+	.B(n_9417),
+	.Y(n_9776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678107 (
+	.A(n_8358),
+	.B(n_9398),
+	.Y(n_9774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678108 (
+	.A(n_8358),
+	.B(n_9421),
+	.Y(n_9773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678109 (
+	.A(n_9597),
+	.B(n_8509),
+	.Y(n_9771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678110 (
+	.A(n_9597),
+	.B(n_8397),
+	.Y(n_9770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678111 (
+	.A(n_9598),
+	.B(n_9439),
+	.Y(n_9769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678112 (
+	.A(n_9602),
+	.B(n_8676),
+	.Y(n_9768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678113 (
+	.A(n_9602),
+	.B(n_8677),
+	.Y(n_9767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678114 (
+	.A(n_9604),
+	.B(n_8676),
+	.Y(n_9766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g678115 (
+	.A_N(n_8398),
+	.B(n_9440),
+	.Y(n_9765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g678116 (
+	.A_N(n_8398),
+	.B(n_8141),
+	.Y(n_9764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678117 (
+	.A(n_8477),
+	.B(n_9421),
+	.Y(n_9763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678118 (
+	.A(n_8134),
+	.B(n_9446),
+	.Y(n_9760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678119 (
+	.A(n_9604),
+	.B(n_8677),
+	.Y(n_9759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g678120 (
+	.A(n_8398),
+	.B(n_8117),
+	.X(n_9758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678121 (
+	.A(n_8358),
+	.B(n_9417),
+	.Y(n_9757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g678122 (
+	.A(n_8398),
+	.B(n_9598),
+	.X(n_9755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678123 (
+	.A(n_8477),
+	.B(n_9425),
+	.Y(n_9754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678124 (
+	.A(n_8358),
+	.B(n_9425),
+	.Y(n_9752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678125 (
+	.A(n_9120),
+	.B(n_9447),
+	.Y(n_9749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678126 (
+	.A(n_8134),
+	.B(n_9444),
+	.Y(n_9747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g678129 (
+	.A(n_9739),
+	.Y(n_9738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678130 (
+	.A(n_9736),
+	.Y(n_9737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g678131 (
+	.A(n_8673),
+	.B(n_8674),
+	.C(n_8630),
+	.D(n_9205),
+	.Y(n_9733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678132 (
+	.A(n_9154),
+	.B(n_9434),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7034 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678133 (
+	.A(n_9154),
+	.B(n_9437),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7098 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678134 (
+	.A(n_9153),
+	.B(n_9434),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7040 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678135 (
+	.A(n_9153),
+	.B(n_9437),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7104 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678136 (
+	.A(n_9272),
+	.B(n_9435),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6994 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678137 (
+	.A(n_9272),
+	.B(n_9436),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7058 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678138 (
+	.A(n_9268),
+	.B(n_9434),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7042 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678139 (
+	.A(n_9268),
+	.B(n_9437),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7106 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678140 (
+	.A(n_9156),
+	.B(n_9435),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6988 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678141 (
+	.A(n_9156),
+	.B(n_9436),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7052 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678142 (
+	.A(n_9271),
+	.B(n_9434),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7030 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678143 (
+	.A(n_9271),
+	.B(n_9437),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7094 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678144 (
+	.A(n_9155),
+	.B(n_9435),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7004 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678145 (
+	.A(n_9155),
+	.B(n_9436),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7068 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678146 (
+	.A(n_9156),
+	.B(n_9437),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7084 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678147 (
+	.A(n_9156),
+	.B(n_9434),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7020 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678148 (
+	.A(n_9269),
+	.B(n_9434),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7044 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678149 (
+	.A(n_9269),
+	.B(n_9437),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7108 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678150 (
+	.A(n_9274),
+	.B(n_9435),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6996 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678151 (
+	.A(n_9274),
+	.B(n_9436),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7060 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678152 (
+	.A(n_9273),
+	.B(n_9434),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7032 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678153 (
+	.A(n_9273),
+	.B(n_9437),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7096 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678154 (
+	.A(n_9155),
+	.B(n_9434),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7036 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678155 (
+	.A(n_9155),
+	.B(n_9437),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7100 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678156 (
+	.A(n_9152),
+	.B(n_9434),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7038 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678157 (
+	.A(n_9152),
+	.B(n_9437),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7102 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678158 (
+	.A(n_9157),
+	.B(n_9437),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7086 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678159 (
+	.A(n_9157),
+	.B(n_9434),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7022 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678160 (
+	.A(n_9267),
+	.B(n_9434),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7048 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678161 (
+	.A(n_9270),
+	.B(n_9434),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7046 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678162 (
+	.A(n_9267),
+	.B(n_9437),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7112 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678163 (
+	.A(n_9270),
+	.B(n_9437),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7110 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678164 (
+	.A(n_9267),
+	.B(n_9435),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7016 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678165 (
+	.A(n_9267),
+	.B(n_9436),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7080 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678166 (
+	.A(n_9159),
+	.B(n_9436),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7056 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678167 (
+	.A(n_9159),
+	.B(n_9435),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6992 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678168 (
+	.A(n_9153),
+	.B(n_9435),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7008 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678169 (
+	.A(n_9153),
+	.B(n_9436),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7072 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678170 (
+	.A(n_9268),
+	.B(n_9436),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7074 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678171 (
+	.A(n_9268),
+	.B(n_9435),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7010 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678172 (
+	.A(n_9271),
+	.B(n_9435),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6998 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678173 (
+	.A(n_9271),
+	.B(n_9436),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7062 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678174 (
+	.A(n_9270),
+	.B(n_9436),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7078 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678175 (
+	.A(n_9270),
+	.B(n_9435),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7014 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678176 (
+	.A(n_9269),
+	.B(n_9436),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7076 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678177 (
+	.A(n_9269),
+	.B(n_9435),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7012 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678178 (
+	.A(n_9152),
+	.B(n_9435),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7006 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678179 (
+	.A(n_9157),
+	.B(n_9435),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6990 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678180 (
+	.A(n_9152),
+	.B(n_9436),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7070 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678181 (
+	.A(n_9157),
+	.B(n_9436),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7054 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678182 (
+	.A(n_9273),
+	.B(n_9435),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7000 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678183 (
+	.A(n_9273),
+	.B(n_9436),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_7064 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g678184 (
+	.A(n_8911),
+	.B(n_9082),
+	.C(n_9212),
+	.D(n_9334),
+	.Y(n_9732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g678185 (
+	.A(brqrv_top_brqrv_dec_tlu_external_ldfwd_disable),
+	.B(n_9216),
+	.C(n_9225),
+	.D(n_9218),
+	.Y(n_9731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678186 (
+	.A1(n_30079),
+	.A2(n_39181),
+	.B1(n_9549),
+	.X(n_9730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678187 (
+	.A1(n_30283),
+	.A2(n_39181),
+	.B1(n_9511),
+	.X(n_9729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678188 (
+	.A1(n_30076),
+	.A2(n_39181),
+	.B1(n_9548),
+	.X(n_9728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678189 (
+	.A1(n_30075),
+	.A2(n_39181),
+	.B1(n_9547),
+	.X(n_9727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678190 (
+	.A1(n_30072),
+	.A2(n_39181),
+	.B1(n_9551),
+	.X(n_9726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678191 (
+	.A1(n_30069),
+	.A2(n_39181),
+	.B1(n_9545),
+	.X(n_9725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678192 (
+	.A1(n_30063),
+	.A2(n_39181),
+	.B1(n_9544),
+	.X(n_9724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678193 (
+	.A1(n_30062),
+	.A2(n_39181),
+	.B1(n_9543),
+	.X(n_9723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678194 (
+	.A1(n_30061),
+	.A2(n_39181),
+	.B1(n_9541),
+	.X(n_9722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678195 (
+	.A1(n_30060),
+	.A2(n_39181),
+	.B1(n_9540),
+	.X(n_9721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678196 (
+	.A1(n_30059),
+	.A2(n_39181),
+	.B1(n_9539),
+	.X(n_9720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678197 (
+	.A1(n_30058),
+	.A2(n_39181),
+	.B1(n_9538),
+	.X(n_9719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678198 (
+	.A1(n_30057),
+	.A2(n_39181),
+	.B1(n_9537),
+	.X(n_9718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678199 (
+	.A1(n_30056),
+	.A2(n_39181),
+	.B1(n_9536),
+	.X(n_9717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678200 (
+	.A1(n_30055),
+	.A2(n_39181),
+	.B1(n_9535),
+	.X(n_9716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678201 (
+	.A1(n_30054),
+	.A2(n_39181),
+	.B1(n_9534),
+	.X(n_9715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678202 (
+	.A1(n_30052),
+	.A2(n_39181),
+	.B1(n_9532),
+	.X(n_9714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678203 (
+	.A1(n_30264),
+	.A2(n_39181),
+	.B1(n_9531),
+	.X(n_9713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678204 (
+	.A1(n_30265),
+	.A2(n_39181),
+	.B1(n_9530),
+	.X(n_9712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678205 (
+	.A1(n_30266),
+	.A2(n_39181),
+	.B1(n_9529),
+	.X(n_9711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678206 (
+	.A1(n_30267),
+	.A2(n_39181),
+	.B1(n_9528),
+	.X(n_9710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678207 (
+	.A1(n_30268),
+	.A2(n_39181),
+	.B1(n_9526),
+	.X(n_9709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678208 (
+	.A1(n_30269),
+	.A2(n_39181),
+	.B1(n_9525),
+	.X(n_9708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678209 (
+	.A1(n_30270),
+	.A2(n_39181),
+	.B1(n_9524),
+	.X(n_9707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678210 (
+	.A1(n_30271),
+	.A2(n_39181),
+	.B1(n_9523),
+	.X(n_9706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678211 (
+	.A1(n_30273),
+	.A2(n_39181),
+	.B1(n_9522),
+	.X(n_9705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678212 (
+	.A1(n_30274),
+	.A2(n_39181),
+	.B1(n_9521),
+	.X(n_9704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678213 (
+	.A1(n_30275),
+	.A2(n_39181),
+	.B1(n_9520),
+	.X(n_9703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678214 (
+	.A1(n_30276),
+	.A2(n_39181),
+	.B1(n_9519),
+	.X(n_9702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678215 (
+	.A1(n_30277),
+	.A2(n_39181),
+	.B1(n_9518),
+	.X(n_9701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678216 (
+	.A1(n_30278),
+	.A2(n_39181),
+	.B1(n_9516),
+	.X(n_9700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678217 (
+	.A1(n_30280),
+	.A2(n_39181),
+	.B1(n_9514),
+	.X(n_9699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678218 (
+	.A1(n_30281),
+	.A2(n_39181),
+	.B1(n_9542),
+	.X(n_9698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678219 (
+	.A1(n_30282),
+	.A2(n_39181),
+	.B1(n_9512),
+	.X(n_9697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678220 (
+	.A1(n_30284),
+	.A2(n_39181),
+	.B1(n_9509),
+	.X(n_9696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678221 (
+	.A1(n_30285),
+	.A2(n_39181),
+	.B1(n_9508),
+	.X(n_9695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g678222 (
+	.A1(n_9130),
+	.A2(n_8130),
+	.B1(n_8438),
+	.B2(n_8527),
+	.C1(brqrv_top_brqrv_dec_tlu_wb_coalescing_disable),
+	.Y(n_9694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g678223 (
+	.A1(n_9261),
+	.A2(n_9235),
+	.B1(n_8770),
+	.B2(n_9118),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678224 (
+	.A1(n_30286),
+	.A2(n_39181),
+	.B1(n_9507),
+	.X(n_9693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678225 (
+	.A1(n_30289),
+	.A2(n_39181),
+	.B1(n_9504),
+	.X(n_9692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678226 (
+	.A1(n_30290),
+	.A2(n_39181),
+	.B1(n_9517),
+	.X(n_9691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678227 (
+	.A1(n_30291),
+	.A2(n_39181),
+	.B1(n_9503),
+	.X(n_9690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678228 (
+	.A1(n_30292),
+	.A2(n_39181),
+	.B1(n_9546),
+	.X(n_9689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678229 (
+	.A1(n_30293),
+	.A2(n_39181),
+	.B1(n_9502),
+	.X(n_9688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678230 (
+	.A1(n_30294),
+	.A2(n_39181),
+	.B1(n_9475),
+	.X(n_9687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678231 (
+	.A1(n_30064),
+	.A2(n_39181),
+	.B1(n_9499),
+	.X(n_9686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678232 (
+	.A1(n_30065),
+	.A2(n_39181),
+	.B1(n_9498),
+	.X(n_9685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678233 (
+	.A1(n_30067),
+	.A2(n_39181),
+	.B1(n_9497),
+	.X(n_9684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678234 (
+	.A1(n_30068),
+	.A2(n_39181),
+	.B1(n_9496),
+	.X(n_9683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678235 (
+	.A1(n_30070),
+	.A2(n_39181),
+	.B1(n_9495),
+	.X(n_9682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678236 (
+	.A1(n_30071),
+	.A2(n_39181),
+	.B1(n_9494),
+	.X(n_9681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678237 (
+	.A1(n_30066),
+	.A2(n_39181),
+	.B1(n_9510),
+	.X(n_9680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678238 (
+	.A1(n_30073),
+	.A2(n_39181),
+	.B1(n_9493),
+	.X(n_9679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678239 (
+	.A1(n_30074),
+	.A2(n_39181),
+	.B1(n_9492),
+	.X(n_9678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678240 (
+	.A1(n_30077),
+	.A2(n_39181),
+	.B1(n_9491),
+	.X(n_9677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678241 (
+	.A1(n_30078),
+	.A2(n_39181),
+	.B1(n_9490),
+	.X(n_9676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678242 (
+	.A1(n_30080),
+	.A2(n_39181),
+	.B1(n_9489),
+	.X(n_9675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678243 (
+	.A1(n_30116),
+	.A2(n_39181),
+	.B1(n_9487),
+	.X(n_9674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678244 (
+	.A1(n_30081),
+	.A2(n_39181),
+	.B1(n_9488),
+	.X(n_9673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678245 (
+	.A1(n_30083),
+	.A2(n_39181),
+	.B1(n_9486),
+	.X(n_9672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678246 (
+	.A1(n_30084),
+	.A2(n_39181),
+	.B1(n_9485),
+	.X(n_9671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678247 (
+	.A1(n_30117),
+	.A2(n_39181),
+	.B1(n_9483),
+	.X(n_9670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678248 (
+	.A1(n_30087),
+	.A2(n_39181),
+	.B1(n_9482),
+	.X(n_9669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678249 (
+	.A1(n_30090),
+	.A2(n_39181),
+	.B1(n_9480),
+	.X(n_9668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678250 (
+	.A1(n_30091),
+	.A2(n_39181),
+	.B1(n_9479),
+	.X(n_9667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678251 (
+	.A1(n_30093),
+	.A2(n_39181),
+	.B1(n_9478),
+	.X(n_9666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678252 (
+	.A1(n_30094),
+	.A2(n_39181),
+	.B1(n_9476),
+	.X(n_9665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678253 (
+	.A1(n_30096),
+	.A2(n_39181),
+	.B1(n_9474),
+	.X(n_9664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678254 (
+	.A1(n_30097),
+	.A2(n_39181),
+	.B1(n_9472),
+	.X(n_9663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678255 (
+	.A1(n_30298),
+	.A2(n_39181),
+	.B1(n_9468),
+	.X(n_9662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678256 (
+	.A1(n_30125),
+	.A2(n_39181),
+	.B1(n_9466),
+	.X(n_9661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678257 (
+	.A1(n_33028),
+	.A2(n_39181),
+	.B1(n_9467),
+	.X(n_9660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678258 (
+	.A1(n_33027),
+	.A2(n_39181),
+	.B1(n_9465),
+	.X(n_9659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678259 (
+	.A1(n_30126),
+	.A2(n_39181),
+	.B1(n_9464),
+	.X(n_9658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678260 (
+	.A1(n_33026),
+	.A2(n_39181),
+	.B1(n_9463),
+	.X(n_9657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678261 (
+	.A1(n_33025),
+	.A2(n_39181),
+	.B1(n_9462),
+	.X(n_9656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678262 (
+	.A1(n_33024),
+	.A2(n_39181),
+	.B1(n_9461),
+	.X(n_9655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678263 (
+	.A1(n_30129),
+	.A2(n_39181),
+	.B1(n_9460),
+	.X(n_9654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678264 (
+	.A1(n_30130),
+	.A2(n_39181),
+	.B1(n_9553),
+	.X(n_9653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678265 (
+	.A1(n_30138),
+	.A2(n_39181),
+	.B1(n_9559),
+	.X(n_9652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678266 (
+	.A1(n_30139),
+	.A2(n_39181),
+	.B1(n_9560),
+	.X(n_9651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678267 (
+	.A1(n_30137),
+	.A2(n_39181),
+	.B1(n_9561),
+	.X(n_9650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678268 (
+	.A1(n_30134),
+	.A2(n_39181),
+	.B1(n_9562),
+	.X(n_9649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678269 (
+	.A1(n_30131),
+	.A2(n_39181),
+	.B1(n_9563),
+	.X(n_9648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678270 (
+	.A1(n_30098),
+	.A2(n_39181),
+	.B1(n_9382),
+	.X(n_9647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678271 (
+	.A1(n_30128),
+	.A2(n_39181),
+	.B1(n_9564),
+	.X(n_9646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678272 (
+	.A1(n_30127),
+	.A2(n_39181),
+	.B1(n_9565),
+	.X(n_9645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678273 (
+	.A1(n_30124),
+	.A2(n_39181),
+	.B1(n_9566),
+	.X(n_9644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678274 (
+	.A1(n_30121),
+	.A2(n_39181),
+	.B1(n_9567),
+	.X(n_9643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678275 (
+	.A1(n_30118),
+	.A2(n_39181),
+	.B1(n_9568),
+	.X(n_9642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678276 (
+	.A1(n_30115),
+	.A2(n_39181),
+	.B1(n_9569),
+	.X(n_9641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678277 (
+	.A1(n_30114),
+	.A2(n_39181),
+	.B1(n_9570),
+	.X(n_9640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678278 (
+	.A1(n_30113),
+	.A2(n_39181),
+	.B1(n_9571),
+	.X(n_9639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678279 (
+	.A1(n_30112),
+	.A2(n_39181),
+	.B1(n_9573),
+	.X(n_9638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678280 (
+	.A1(n_30111),
+	.A2(n_39181),
+	.B1(n_9393),
+	.X(n_9637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678281 (
+	.A1(n_30110),
+	.A2(n_39181),
+	.B1(n_9392),
+	.X(n_9636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678282 (
+	.A1(n_30108),
+	.A2(n_39181),
+	.B1(n_9389),
+	.X(n_9635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678283 (
+	.A1(n_30107),
+	.A2(n_39181),
+	.B1(n_9388),
+	.X(n_9634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678284 (
+	.A1(n_30106),
+	.A2(n_39181),
+	.B1(n_9387),
+	.X(n_9633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678285 (
+	.A1(n_30101),
+	.A2(n_39181),
+	.B1(n_9383),
+	.X(n_9632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678286 (
+	.A1(n_30095),
+	.A2(n_39181),
+	.B1(n_9554),
+	.X(n_9631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678287 (
+	.A1(n_30092),
+	.A2(n_39181),
+	.B1(n_9391),
+	.X(n_9630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g678288 (
+	.A1(n_9261),
+	.A2(n_9236),
+	.B1(n_8770),
+	.B2(n_8128),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g678289 (
+	.A1(n_8511),
+	.A2(n_8481),
+	.A3(n_8378),
+	.B1(n_9302),
+	.Y(n_9629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g678290 (
+	.A1(n_32267),
+	.A2(n_9249),
+	.B1(n_8484),
+	.B2(n_9177),
+	.Y(n_9628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g678291 (
+	.A1(n_32275),
+	.A2(n_9248),
+	.B1(n_8486),
+	.B2(n_9177),
+	.Y(n_9627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g678292 (
+	.A1(n_9258),
+	.A2(n_9235),
+	.B1(n_8763),
+	.B2(n_9118),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g678293 (
+	.A1(n_9184),
+	.A2(n_8487),
+	.B1(n_9186),
+	.B2(n_8364),
+	.C1(n_9361),
+	.X(n_9626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678294 (
+	.A1(n_8324),
+	.A2(n_31428),
+	.B1(n_8333),
+	.B2(n_31426),
+	.C1(n_9307),
+	.Y(n_9625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g678295 (
+	.A(n_8671),
+	.B(n_8745),
+	.C(n_8847),
+	.D(n_9207),
+	.X(n_9624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g678296 (
+	.A1(n_8322),
+	.A2(brqrv_top_brqrv_dec_tlu_mdseac_locked_f),
+	.B1(n_9527),
+	.Y(n_9623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g678297 (
+	.A1(n_8266),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[62]),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[63]),
+	.B2(n_8259),
+	.C1(n_9329),
+	.Y(n_9622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g678298 (
+	.A(n_9320),
+	.B(n_30503),
+	.C(n_32544),
+	.X(n_9621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g678299 (
+	.A1(n_9252),
+	.A2(n_9235),
+	.B1(n_8769),
+	.B2(n_9118),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g678300 (
+	.A1(n_9252),
+	.A2(n_9236),
+	.B1(n_8769),
+	.B2(n_8128),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g678301 (
+	.A_N(brqrv_top_brqrv_dec_tlu_pic_clk_override),
+	.B(n_9598),
+	.C(n_30756),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clken), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g678302 (
+	.A1(n_8791),
+	.A2(n_9221),
+	.B1(n_31058),
+	.B2(n_8860),
+	.X(n_362406_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g678303 (
+	.A1(n_8789),
+	.A2(n_9220),
+	.B1(n_31057),
+	.B2(n_8859),
+	.X(n_362399_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g678304 (
+	.A1(n_9258),
+	.A2(n_9236),
+	.B1(n_8763),
+	.B2(n_8128),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g678305 (
+	.A1(n_9256),
+	.A2(n_9235),
+	.B1(n_8759),
+	.B2(n_9118),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g678306 (
+	.A_N(brqrv_top_brqrv_dec_tlu_pic_clk_override),
+	.B(n_30723),
+	.C(n_8117),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clken), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g678307 (
+	.A_N(brqrv_top_brqrv_dec_tlu_pic_clk_override),
+	.B(n_30755),
+	.C(n_9599),
+	.Y(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clken), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g678308 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpme5[0]),
+	.A2(n_9296),
+	.B1(n_8255),
+	.B2(n_9178),
+	.Y(n_9620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g678309 (
+	.A1(n_8255),
+	.A2(n_9299),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpme5[0]),
+	.B2(n_9174),
+	.Y(n_9619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g678310 (
+	.A1(n_9181),
+	.A2(n_8822),
+	.B1(n_8511),
+	.B2(n_8816),
+	.C1(n_8944),
+	.X(n_9618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g678311 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[15]),
+	.A2(n_8272),
+	.B1(n_9213),
+	.C1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_5337),
+	.X(n_9617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g678312 (
+	.A1(n_8795),
+	.A2(n_9204),
+	.B1(n_9574),
+	.Y(n_31945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g678313 (
+	.A1(n_8485),
+	.A2(n_9184),
+	.B1(n_9185),
+	.B2(n_8379),
+	.C1(n_9186),
+	.C2(n_8366),
+	.Y(n_9616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g678314 (
+	.A1_N(n_32267),
+	.A2_N(n_8402),
+	.B1(n_8485),
+	.B2(n_9239),
+	.Y(n_9615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g678315 (
+	.A1(n_32259),
+	.A2(n_9299),
+	.B1(n_8482),
+	.B2(n_9178),
+	.Y(n_9614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g678316 (
+	.A1(n_32274),
+	.A2(n_32278),
+	.A3(n_9178),
+	.B1(n_9074),
+	.B2(n_9296),
+	.Y(n_9613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g678317 (
+	.A1_N(n_32275),
+	.A2_N(n_8402),
+	.B1(n_8487),
+	.B2(n_9239),
+	.Y(n_9612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g678318 (
+	.A1(n_32266),
+	.A2(n_32269),
+	.A3(n_9178),
+	.B1(n_9071),
+	.B2(n_9296),
+	.Y(n_9611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g678319 (
+	.A1(n_8482),
+	.A2(n_9296),
+	.B1(n_32259),
+	.B2(n_9174),
+	.Y(n_9610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g678320 (
+	.A1(n_32266),
+	.A2(n_9299),
+	.B1(n_8382),
+	.B2(n_9174),
+	.Y(n_9609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g678321 (
+	.A1(n_8362),
+	.A2(n_9238),
+	.B1(n_31099),
+	.B2(n_8402),
+	.Y(n_9608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g678322 (
+	.A1_N(n_8480),
+	.A2_N(n_9239),
+	.B1(n_32257),
+	.B2(n_8402),
+	.Y(n_9607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g678323 (
+	.A1(n_32274),
+	.A2(n_9299),
+	.B1(n_8377),
+	.B2(n_9174),
+	.Y(n_9606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32o_1 g678324 (
+	.A1(n_9100),
+	.A2(n_8413),
+	.A3(n_8345),
+	.B1(n_8435),
+	.B2(n_8176),
+	.X(n_9605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g678325 (
+	.A1(n_9260),
+	.A2(n_9236),
+	.B1(n_8761),
+	.B2(n_8128),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g678326 (
+	.A1(n_9260),
+	.A2(n_9235),
+	.B1(n_8761),
+	.B2(n_9118),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g678327 (
+	.A1(n_9254),
+	.A2(n_9236),
+	.B1(n_8757),
+	.B2(n_8128),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g678328 (
+	.A1(n_9264),
+	.A2(n_9236),
+	.B1(n_8767),
+	.B2(n_8128),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g678329 (
+	.A1(n_9264),
+	.A2(n_9235),
+	.B1(n_8767),
+	.B2(n_9118),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g678330 (
+	.A1(n_9254),
+	.A2(n_9235),
+	.B1(n_8757),
+	.B2(n_9118),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g678331 (
+	.A1(n_9265),
+	.A2(n_9236),
+	.B1(n_8764),
+	.B2(n_8128),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g678332 (
+	.A1(n_9265),
+	.A2(n_9235),
+	.B1(n_8764),
+	.B2(n_9118),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g678333 (
+	.A1(n_9256),
+	.A2(n_9236),
+	.B1(n_8759),
+	.B2(n_8128),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g678334 (
+	.A1(n_9197),
+	.A2(n_9096),
+	.B1(brqrv_top_brqrv_dec_tlu_mfdc_int[6]),
+	.Y(n_9744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g678335 (
+	.A1(n_8942),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[0]),
+	.A3(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[1]),
+	.B1(n_9203),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dual[0]),
+	.Y(n_9743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g678336 (
+	.A_N(n_9240),
+	.B(n_8417),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [23]),
+	.Y(n_9742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g678337 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [23]),
+	.B(n_8416),
+	.C(n_9240),
+	.X(n_9741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678338 (
+	.A(n_9457),
+	.B(n_8677),
+	.Y(n_9740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g678339 (
+	.A(n_8139),
+	.B(n_8679),
+	.C(n_8681),
+	.Y(n_9739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g678340 (
+	.A(n_8680),
+	.B(n_8678),
+	.C(n_8126),
+	.Y(n_9736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g678341 (
+	.A(n_8678),
+	.B(n_8681),
+	.C(n_8126),
+	.X(n_9735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g678342 (
+	.A(n_8139),
+	.B(n_8679),
+	.C(n_8680),
+	.Y(n_9734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g678344 (
+	.A(n_9600),
+	.Y(n_9601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g678345 (
+	.A(n_8141),
+	.Y(n_9599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g678346 (
+	.A(n_9598),
+	.Y(n_9597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678347 (
+	.A(n_9592),
+	.Y(n_9591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678348 (
+	.A(n_9590),
+	.Y(n_9589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678349 (
+	.A(n_9586),
+	.Y(n_9585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678350 (
+	.A(n_9584),
+	.Y(n_9583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678351 (
+	.A(n_9582),
+	.Y(n_9581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678352 (
+	.A(n_9580),
+	.Y(n_9579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678353 (
+	.A(n_8140),
+	.Y(n_9578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678354 (
+	.A(n_9577),
+	.Y(n_9576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678355 (
+	.A1(n_8783),
+	.A2(n_9013),
+	.B1(n_29994),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [41]),
+	.Y(n_9575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678356 (
+	.A(n_9232),
+	.B(n_8796),
+	.Y(n_9574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678357 (
+	.A1(n_9033),
+	.A2(n_9015),
+	.B1(n_29954),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [100]),
+	.Y(n_9573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g678358 (
+	.A_N(n_32260),
+	.B(n_9229),
+	.Y(n_9572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678359 (
+	.A1(n_9033),
+	.A2(n_9017),
+	.B1(n_29953),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [101]),
+	.Y(n_9571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678360 (
+	.A1(n_8914),
+	.A2(n_9015),
+	.B1(n_29951),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [102]),
+	.Y(n_9570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678361 (
+	.A1(n_8914),
+	.A2(n_9017),
+	.B1(n_29950),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [103]),
+	.Y(n_9569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678362 (
+	.A1(n_8915),
+	.A2(n_9019),
+	.B1(n_29949),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [104]),
+	.Y(n_9568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678363 (
+	.A1(n_8915),
+	.A2(n_9013),
+	.B1(n_29947),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [105]),
+	.Y(n_9567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678364 (
+	.A1(n_9028),
+	.A2(n_9019),
+	.B1(n_29946),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [106]),
+	.Y(n_9566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678365 (
+	.A1(n_9028),
+	.A2(n_9013),
+	.B1(n_29945),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [107]),
+	.Y(n_9565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678366 (
+	.A1(n_9033),
+	.A2(n_9019),
+	.B1(n_29943),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [108]),
+	.Y(n_9564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678367 (
+	.A1(n_9033),
+	.A2(n_9013),
+	.B1(n_29942),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [109]),
+	.Y(n_9563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678368 (
+	.A1(n_8914),
+	.A2(n_9019),
+	.B1(n_29941),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [110]),
+	.Y(n_9562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678369 (
+	.A1(n_8914),
+	.A2(n_9013),
+	.B1(n_29940),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [111]),
+	.Y(n_9561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678370 (
+	.A1(n_8916),
+	.A2(n_9015),
+	.B1(n_29938),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [112]),
+	.Y(n_9560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678371 (
+	.A1(n_8916),
+	.A2(n_9017),
+	.B1(n_29937),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [113]),
+	.Y(n_9559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678372 (
+	.A1(n_9032),
+	.A2(n_9015),
+	.B1(n_29936),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [114]),
+	.Y(n_9558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678373 (
+	.A1(n_9032),
+	.A2(n_9017),
+	.B1(n_29934),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [115]),
+	.Y(n_9557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678374 (
+	.A1(n_9035),
+	.A2(n_9015),
+	.B1(n_29933),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [116]),
+	.Y(n_9556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678375 (
+	.A1(n_9035),
+	.A2(n_9017),
+	.B1(n_29932),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [117]),
+	.Y(n_9555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678376 (
+	.A1(n_8784),
+	.A2(n_9023),
+	.B1(n_29970),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [87]),
+	.Y(n_9554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678377 (
+	.A1(n_8784),
+	.A2(n_9015),
+	.B1(n_29935),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [118]),
+	.Y(n_9553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678378 (
+	.A1(n_9032),
+	.A2(n_9023),
+	.B1(n_29980),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [83]),
+	.Y(n_9552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678379 (
+	.A1(n_8914),
+	.A2(n_9021),
+	.B1(n_29992),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [78]),
+	.Y(n_9551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678380 (
+	.A1(n_9032),
+	.A2(n_9025),
+	.B1(n_29981),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [82]),
+	.Y(n_9550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678381 (
+	.A1(n_8916),
+	.A2(n_9023),
+	.B1(n_29982),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [81]),
+	.Y(n_9549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678382 (
+	.A1(n_8916),
+	.A2(n_9025),
+	.B1(n_29983),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [80]),
+	.Y(n_9548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678383 (
+	.A1(n_8914),
+	.A2(n_9027),
+	.B1(n_29991),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [79]),
+	.Y(n_9547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678384 (
+	.A1(n_9030),
+	.A2(n_9015),
+	.B1(n_30013),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [36]),
+	.Y(n_9546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678385 (
+	.A1(n_9033),
+	.A2(n_9027),
+	.B1(n_29996),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [77]),
+	.Y(n_9545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678386 (
+	.A1(n_9028),
+	.A2(n_9027),
+	.B1(n_29998),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [75]),
+	.Y(n_9544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678387 (
+	.A1(n_9028),
+	.A2(n_9021),
+	.B1(n_29999),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [74]),
+	.Y(n_9543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678388 (
+	.A1(n_8919),
+	.A2(n_9013),
+	.B1(n_29985),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [47]),
+	.Y(n_9542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678389 (
+	.A1(n_8915),
+	.A2(n_9027),
+	.B1(n_30000),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [73]),
+	.Y(n_9541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678390 (
+	.A1(n_8915),
+	.A2(n_9021),
+	.B1(n_30001),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [72]),
+	.Y(n_9540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678391 (
+	.A1(n_8914),
+	.A2(n_9023),
+	.B1(n_30002),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [71]),
+	.Y(n_9539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678392 (
+	.A1(n_8914),
+	.A2(n_9025),
+	.B1(n_30003),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [70]),
+	.Y(n_9538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678393 (
+	.A1(n_9033),
+	.A2(n_9023),
+	.B1(n_30024),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [69]),
+	.Y(n_9537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678394 (
+	.A1(n_9033),
+	.A2(n_9025),
+	.B1(n_30004),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [68]),
+	.Y(n_9536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678395 (
+	.A1(n_9028),
+	.A2(n_9023),
+	.B1(n_30005),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [67]),
+	.Y(n_9535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678396 (
+	.A1(n_9028),
+	.A2(n_9025),
+	.B1(n_30006),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [66]),
+	.Y(n_9534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678397 (
+	.A1(n_8915),
+	.A2(n_9023),
+	.B1(n_30007),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [65]),
+	.Y(n_9533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678398 (
+	.A1(n_8915),
+	.A2(n_9025),
+	.B1(n_30008),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [64]),
+	.Y(n_9532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678399 (
+	.A1(n_8918),
+	.A2(n_9013),
+	.B1(n_30009),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [63]),
+	.Y(n_9531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678400 (
+	.A1(n_8918),
+	.A2(n_9019),
+	.B1(n_30023),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [62]),
+	.Y(n_9530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678401 (
+	.A1(n_9034),
+	.A2(n_9013),
+	.B1(n_30044),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [61]),
+	.Y(n_9529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678402 (
+	.A1(n_9034),
+	.A2(n_9019),
+	.B1(n_30045),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [60]),
+	.Y(n_9528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g678403 (
+	.A1(brqrv_top_brqrv_dec_tlu_ext_int_freeze),
+	.A2(n_8203),
+	.B1(brqrv_top_brqrv_dec_tlu_minstret_enable),
+	.B2(n_8198),
+	.C1(n_8213),
+	.X(n_9527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678404 (
+	.A1(n_9031),
+	.A2(n_9013),
+	.B1(n_30046),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [59]),
+	.Y(n_9526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678405 (
+	.A1(n_9031),
+	.A2(n_9019),
+	.B1(n_30047),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [58]),
+	.Y(n_9525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678406 (
+	.A1(n_8917),
+	.A2(n_9013),
+	.B1(n_30048),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [57]),
+	.Y(n_9524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678407 (
+	.A1(n_8917),
+	.A2(n_9019),
+	.B1(n_30049),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [56]),
+	.Y(n_9523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678408 (
+	.A1(n_8918),
+	.A2(n_9017),
+	.B1(n_30050),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [55]),
+	.Y(n_9522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678409 (
+	.A1(n_8918),
+	.A2(n_9015),
+	.B1(n_30051),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [54]),
+	.Y(n_9521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678410 (
+	.A1(n_9034),
+	.A2(n_9017),
+	.B1(n_29973),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [53]),
+	.Y(n_9520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678411 (
+	.A1(n_9034),
+	.A2(n_9015),
+	.B1(n_29974),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [52]),
+	.Y(n_9519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678412 (
+	.A1(n_9031),
+	.A2(n_9017),
+	.B1(n_29975),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [51]),
+	.Y(n_9518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678413 (
+	.A1(n_8919),
+	.A2(n_9015),
+	.B1(n_30011),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [38]),
+	.Y(n_9517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678414 (
+	.A1(n_9031),
+	.A2(n_9015),
+	.B1(n_29976),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [50]),
+	.Y(n_9516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678415 (
+	.A1(n_8917),
+	.A2(n_9017),
+	.B1(n_29977),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [49]),
+	.Y(n_9515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678416 (
+	.A1(n_8917),
+	.A2(n_9015),
+	.B1(n_29978),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [48]),
+	.Y(n_9514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678417 (
+	.A1(n_9032),
+	.A2(n_9021),
+	.B1(n_29967),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [90]),
+	.Y(n_9513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678418 (
+	.A1(n_8919),
+	.A2(n_9019),
+	.B1(n_29986),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [46]),
+	.Y(n_9512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678419 (
+	.A1(n_9030),
+	.A2(n_9013),
+	.B1(n_29987),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [45]),
+	.Y(n_9511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678420 (
+	.A1(n_9033),
+	.A2(n_9021),
+	.B1(n_29997),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [76]),
+	.Y(n_9510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678421 (
+	.A1(n_9030),
+	.A2(n_9019),
+	.B1(n_29988),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [44]),
+	.Y(n_9509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678422 (
+	.A1(n_9029),
+	.A2(n_9013),
+	.B1(n_29989),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [43]),
+	.Y(n_9508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678423 (
+	.A1(n_9029),
+	.A2(n_9019),
+	.B1(n_29990),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [42]),
+	.Y(n_9507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678424 (
+	.A1(n_8783),
+	.A2(n_9025),
+	.B1(n_33080),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [0]),
+	.Y(n_9506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678425 (
+	.A1(n_8783),
+	.A2(n_9019),
+	.B1(n_29995),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [40]),
+	.Y(n_9505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678426 (
+	.A1(n_8919),
+	.A2(n_9017),
+	.B1(n_30010),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [39]),
+	.Y(n_9504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678427 (
+	.A1(n_9030),
+	.A2(n_9017),
+	.B1(n_30012),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [37]),
+	.Y(n_9503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678428 (
+	.A1(n_9029),
+	.A2(n_9017),
+	.B1(n_30014),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [35]),
+	.Y(n_9502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678429 (
+	.A1(n_8783),
+	.A2(n_9017),
+	.B1(n_30016),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [33]),
+	.Y(n_9501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678430 (
+	.A1(n_8783),
+	.A2(n_9015),
+	.B1(n_30017),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [32]),
+	.Y(n_9500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678431 (
+	.A1(n_8918),
+	.A2(n_9027),
+	.B1(n_30018),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [31]),
+	.Y(n_9499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678432 (
+	.A1(n_8918),
+	.A2(n_9021),
+	.B1(n_30019),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [30]),
+	.Y(n_9498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678433 (
+	.A1(n_9034),
+	.A2(n_9027),
+	.B1(n_30020),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [29]),
+	.Y(n_9497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678434 (
+	.A1(n_9034),
+	.A2(n_9021),
+	.B1(n_30021),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [28]),
+	.Y(n_9496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678435 (
+	.A1(n_9031),
+	.A2(n_9027),
+	.B1(n_30022),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [27]),
+	.Y(n_9495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678436 (
+	.A1(n_9031),
+	.A2(n_9021),
+	.B1(n_30025),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [26]),
+	.Y(n_9494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678437 (
+	.A1(n_8917),
+	.A2(n_9027),
+	.B1(n_30026),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [25]),
+	.Y(n_9493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678438 (
+	.A1(n_8917),
+	.A2(n_9021),
+	.B1(n_30027),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [24]),
+	.Y(n_9492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678439 (
+	.A1(n_8918),
+	.A2(n_9023),
+	.B1(n_30028),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [23]),
+	.Y(n_9491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678440 (
+	.A1(n_8918),
+	.A2(n_9025),
+	.B1(n_30029),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [22]),
+	.Y(n_9490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678441 (
+	.A1(n_9034),
+	.A2(n_9023),
+	.B1(n_30030),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [21]),
+	.Y(n_9489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678442 (
+	.A1(n_9034),
+	.A2(n_9025),
+	.B1(n_30031),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [20]),
+	.Y(n_9488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678443 (
+	.A1(n_8784),
+	.A2(n_9013),
+	.B1(n_29984),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [127]),
+	.Y(n_9487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678444 (
+	.A1(n_9031),
+	.A2(n_9023),
+	.B1(n_30032),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [19]),
+	.Y(n_9486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678445 (
+	.A1(n_9031),
+	.A2(n_9025),
+	.B1(n_30033),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [18]),
+	.Y(n_9485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678446 (
+	.A1(n_8917),
+	.A2(n_9023),
+	.B1(n_30034),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [17]),
+	.Y(n_9484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678447 (
+	.A1(n_8784),
+	.A2(n_9019),
+	.B1(n_29993),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [126]),
+	.Y(n_9483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678448 (
+	.A1(n_8917),
+	.A2(n_9025),
+	.B1(n_30035),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [16]),
+	.Y(n_9482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678449 (
+	.A1(n_9035),
+	.A2(n_9013),
+	.B1(n_29964),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [125]),
+	.Y(n_9481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678450 (
+	.A1(n_8919),
+	.A2(n_9027),
+	.B1(n_30036),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [15]),
+	.Y(n_9480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678451 (
+	.A1(n_8919),
+	.A2(n_9021),
+	.B1(n_30037),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [14]),
+	.Y(n_9479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678452 (
+	.A1(n_9030),
+	.A2(n_9027),
+	.B1(n_30038),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [13]),
+	.Y(n_9478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678453 (
+	.A1(n_9035),
+	.A2(n_9019),
+	.B1(n_29963),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [124]),
+	.Y(n_9477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678454 (
+	.A1(n_9030),
+	.A2(n_9021),
+	.B1(n_30039),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [12]),
+	.Y(n_9476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678455 (
+	.A1(n_9029),
+	.A2(n_9015),
+	.B1(n_30015),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [34]),
+	.Y(n_9475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678456 (
+	.A1(n_9029),
+	.A2(n_9027),
+	.B1(n_30040),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [11]),
+	.Y(n_9474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678457 (
+	.A1(n_9032),
+	.A2(n_9013),
+	.B1(n_29957),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [123]),
+	.Y(n_9473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678458 (
+	.A1(n_9029),
+	.A2(n_9021),
+	.B1(n_30041),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [10]),
+	.Y(n_9472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678459 (
+	.A1(n_8783),
+	.A2(n_9027),
+	.B1(n_30042),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [9]),
+	.Y(n_9471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678460 (
+	.A1(n_9032),
+	.A2(n_9019),
+	.B1(n_29952),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [122]),
+	.Y(n_9470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678461 (
+	.A1(n_8783),
+	.A2(n_9021),
+	.B1(n_30043),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [8]),
+	.Y(n_9469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678462 (
+	.A1(n_8919),
+	.A2(n_9023),
+	.B1(n_30297),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [7]),
+	.Y(n_9468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678463 (
+	.A1(n_8919),
+	.A2(n_9025),
+	.B1(n_33085),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [6]),
+	.Y(n_9467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678464 (
+	.A1(n_8916),
+	.A2(n_9013),
+	.B1(n_29948),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [121]),
+	.Y(n_9466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678465 (
+	.A1(n_9030),
+	.A2(n_9023),
+	.B1(n_33084),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [5]),
+	.Y(n_9465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678466 (
+	.A1(n_8916),
+	.A2(n_9019),
+	.B1(n_29944),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [120]),
+	.Y(n_9464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678467 (
+	.A1(n_9030),
+	.A2(n_9025),
+	.B1(n_33083),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [4]),
+	.Y(n_9463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678468 (
+	.A1(n_9029),
+	.A2(n_9023),
+	.B1(n_33082),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [3]),
+	.Y(n_9462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678469 (
+	.A1(n_9029),
+	.A2(n_9025),
+	.B1(n_33081),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [2]),
+	.Y(n_9461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678470 (
+	.A1(n_8784),
+	.A2(n_9017),
+	.B1(n_29939),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [119]),
+	.Y(n_9460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678471 (
+	.A1(n_8783),
+	.A2(n_9023),
+	.B1(n_30272),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [1]),
+	.Y(n_9459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g678472 (
+	.A_N(n_9242),
+	.B(n_9131),
+	.Y(n_9458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678473 (
+	.A(n_8681),
+	.B(n_9295),
+	.Y(n_9604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g678474 (
+	.A_N(n_9241),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [25]),
+	.Y(n_9603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678475 (
+	.A(n_8680),
+	.B(n_9295),
+	.Y(n_9602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678476 (
+	.A(n_31949),
+	.B(n_9276),
+	.Y(n_9600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678478 (
+	.A(n_9300),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[13]),
+	.Y(n_9598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678479 (
+	.A(n_9294),
+	.B(n_9051),
+	.Y(n_9596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678480 (
+	.A(n_9294),
+	.B(n_9048),
+	.Y(n_9595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g678481 (
+	.A(n_9050),
+	.B(n_8126),
+	.X(n_9594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g678482 (
+	.A_N(n_8126),
+	.B(n_9048),
+	.Y(n_9593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678483 (
+	.A(n_9287),
+	.B(n_8491),
+	.Y(n_9592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678484 (
+	.A(n_9285),
+	.B(n_8494),
+	.Y(n_9590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678485 (
+	.A(n_8496),
+	.B(n_9288),
+	.Y(n_9588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678486 (
+	.A(n_8496),
+	.B(n_9291),
+	.Y(n_9587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678487 (
+	.A(n_9287),
+	.B(n_8494),
+	.Y(n_9586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678488 (
+	.A(n_9287),
+	.B(n_8371),
+	.Y(n_9584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678489 (
+	.A(n_9285),
+	.B(n_8371),
+	.Y(n_9582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678490 (
+	.A(n_9285),
+	.B(n_8491),
+	.Y(n_9580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678492 (
+	.A(n_8496),
+	.B(n_9286),
+	.Y(n_9577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678494 (
+	.A(n_9445),
+	.Y(n_9446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678495 (
+	.A(n_9443),
+	.Y(n_9444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g678496 (
+	.A(n_9440),
+	.Y(n_9439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g678497 (
+	.A(n_8117),
+	.Y(n_9438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678498 (
+	.A(n_9433),
+	.Y(n_9432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678499 (
+	.A(n_9431),
+	.Y(n_9430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678500 (
+	.A(n_9429),
+	.Y(n_9428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678501 (
+	.A(n_9427),
+	.Y(n_9426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678502 (
+	.A(n_9425),
+	.Y(n_9424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678503 (
+	.A(n_9423),
+	.Y(n_9422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678504 (
+	.A(n_9421),
+	.Y(n_9420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678505 (
+	.A(n_9419),
+	.Y(n_9418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678506 (
+	.A(n_9417),
+	.Y(n_9416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678507 (
+	.A(n_9415),
+	.Y(n_9414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678508 (
+	.A(n_9412),
+	.Y(n_9411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678509 (
+	.A(n_9409),
+	.Y(n_9408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678510 (
+	.A(n_9405),
+	.Y(n_9404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678511 (
+	.A(n_9403),
+	.Y(n_9402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678512 (
+	.A(n_9401),
+	.Y(n_9400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678513 (
+	.A(n_9399),
+	.Y(n_9398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678514 (
+	.A(n_9397),
+	.Y(n_9396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678515 (
+	.A(n_9395),
+	.Y(n_9394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678516 (
+	.A1(n_9028),
+	.A2(n_9017),
+	.B1(n_29955),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [99]),
+	.Y(n_9393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678517 (
+	.A1(n_9028),
+	.A2(n_9015),
+	.B1(n_29956),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [98]),
+	.Y(n_9392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678518 (
+	.A1(n_8784),
+	.A2(n_9025),
+	.B1(n_29971),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [86]),
+	.Y(n_9391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678519 (
+	.A1(n_8915),
+	.A2(n_9017),
+	.B1(n_29958),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [97]),
+	.Y(n_9390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678520 (
+	.A1(n_8915),
+	.A2(n_9015),
+	.B1(n_29959),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [96]),
+	.Y(n_9389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678521 (
+	.A1(n_8784),
+	.A2(n_9027),
+	.B1(n_29960),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [95]),
+	.Y(n_9388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678522 (
+	.A1(n_8784),
+	.A2(n_9021),
+	.B1(n_29961),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [94]),
+	.Y(n_9387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678523 (
+	.A1(n_9035),
+	.A2(n_9027),
+	.B1(n_29962),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [93]),
+	.Y(n_9386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678524 (
+	.A1(n_9035),
+	.A2(n_9021),
+	.B1(n_29965),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [92]),
+	.Y(n_9385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678525 (
+	.A1(n_9032),
+	.A2(n_9027),
+	.B1(n_29966),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [91]),
+	.Y(n_9384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678526 (
+	.A1(n_8916),
+	.A2(n_9027),
+	.B1(n_29968),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [89]),
+	.Y(n_9383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678527 (
+	.A1(n_8916),
+	.A2(n_9021),
+	.B1(n_29969),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [88]),
+	.Y(n_9382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678528 (
+	.A1(n_9035),
+	.A2(n_9023),
+	.B1(n_29972),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [85]),
+	.Y(n_9381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678529 (
+	.A1(n_9035),
+	.A2(n_9025),
+	.B1(n_29979),
+	.B2(n_8250),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [84]),
+	.Y(n_9380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g678530 (
+	.A1(n_8362),
+	.A2(n_9183),
+	.B1(n_31099),
+	.B2(n_9179),
+	.Y(n_9379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g678531 (
+	.A1(n_8479),
+	.A2(n_9183),
+	.B1(n_32257),
+	.B2(n_9179),
+	.Y(n_9378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g678532 (
+	.A1(n_8486),
+	.A2(n_9183),
+	.B1(n_32275),
+	.B2(n_9179),
+	.Y(n_9377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g678533 (
+	.A1(n_8365),
+	.A2(n_9187),
+	.B1(n_32277),
+	.B2(n_9123),
+	.Y(n_9376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678534 (
+	.A(n_9199),
+	.B(n_8384),
+	.Y(n_31001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678535 (
+	.A(n_9196),
+	.B(n_8373),
+	.Y(n_31002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g678536 (
+	.A1(n_8367),
+	.A2(n_9187),
+	.B1(n_32268),
+	.B2(n_9123),
+	.Y(n_9375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g678537 (
+	.A1(n_8484),
+	.A2(n_9183),
+	.B1(n_32267),
+	.B2(n_9179),
+	.Y(n_9374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g678538 (
+	.A1(n_8482),
+	.A2(n_9187),
+	.B1(n_32259),
+	.B2(n_9123),
+	.Y(n_9373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g678539 (
+	.A1(n_8490),
+	.A2(n_9187),
+	.B1(n_31101),
+	.B2(n_9123),
+	.Y(n_9372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g678540 (
+	.A1(n_9185),
+	.A2(n_8488),
+	.B1(n_9186),
+	.B2(n_8481),
+	.X(n_9371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g678541 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [3]),
+	.A2(n_9137),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [0]),
+	.B2(n_8410),
+	.Y(n_9370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g678542 (
+	.A1(n_9185),
+	.A2(n_8493),
+	.B1(n_9186),
+	.B2(n_8489),
+	.Y(n_9369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g678543 (
+	.A1(n_8362),
+	.A2(n_9177),
+	.B1(n_31099),
+	.B2(n_9170),
+	.Y(n_9368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g678544 (
+	.A1(n_8479),
+	.A2(n_9177),
+	.B1(n_32257),
+	.B2(n_9170),
+	.Y(n_9367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g678545 (
+	.A1(n_9035),
+	.A2(n_9149),
+	.B1(n_29972),
+	.B2(n_8475),
+	.X(n_9366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g678546 (
+	.A1(n_9032),
+	.A2(n_9144),
+	.B1(n_29966),
+	.B2(n_8475),
+	.X(n_9365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g678547 (
+	.A1(n_9035),
+	.A2(n_9145),
+	.B1(n_29965),
+	.B2(n_8475),
+	.X(n_9364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g678548 (
+	.A1(n_9035),
+	.A2(n_9144),
+	.B1(n_29962),
+	.B2(n_8475),
+	.X(n_9363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g678549 (
+	.A1(n_8915),
+	.A2(n_9146),
+	.B1(n_29958),
+	.B2(n_8475),
+	.X(n_9362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g678550 (
+	.A1(n_32275),
+	.A2(n_9182),
+	.B1(n_9234),
+	.Y(n_9361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g678551 (
+	.A1(n_9032),
+	.A2(n_9150),
+	.B1(n_29936),
+	.B2(n_8475),
+	.X(n_9360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g678552 (
+	.A1(n_9032),
+	.A2(n_9146),
+	.B1(n_29934),
+	.B2(n_8475),
+	.X(n_9359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g678553 (
+	.A1(n_9035),
+	.A2(n_9150),
+	.B1(n_29933),
+	.B2(n_8475),
+	.X(n_9358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g678554 (
+	.A1(n_8917),
+	.A2(n_9146),
+	.B1(n_29977),
+	.B2(n_8475),
+	.X(n_9357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g678555 (
+	.A1(n_9035),
+	.A2(n_9146),
+	.B1(n_29932),
+	.B2(n_8475),
+	.X(n_9356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g678556 (
+	.A1(n_8783),
+	.A2(n_9151),
+	.B1(n_33080),
+	.B2(n_8475),
+	.X(n_9355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g678557 (
+	.A1(n_8783),
+	.A2(n_9149),
+	.B1(n_30272),
+	.B2(n_8475),
+	.X(n_9354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g678558 (
+	.A1(n_8783),
+	.A2(n_9145),
+	.B1(n_30043),
+	.B2(n_8475),
+	.X(n_9353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g678559 (
+	.A1(n_8783),
+	.A2(n_9144),
+	.B1(n_30042),
+	.B2(n_8475),
+	.X(n_9352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g678560 (
+	.A1(n_9032),
+	.A2(n_9148),
+	.B1(n_29952),
+	.B2(n_8475),
+	.X(n_9351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g678561 (
+	.A1(n_9032),
+	.A2(n_9147),
+	.B1(n_29957),
+	.B2(n_8475),
+	.X(n_9350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g678562 (
+	.A1(n_9035),
+	.A2(n_9148),
+	.B1(n_29963),
+	.B2(n_8475),
+	.X(n_9349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g678563 (
+	.A1_N(n_31099),
+	.A2_N(n_9182),
+	.B1(n_8363),
+	.B2(n_9184),
+	.Y(n_9348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g678564 (
+	.A1(n_9035),
+	.A2(n_9147),
+	.B1(n_29964),
+	.B2(n_8475),
+	.X(n_9347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g678565 (
+	.A1(n_9032),
+	.A2(n_9145),
+	.B1(n_29967),
+	.B2(n_8475),
+	.X(n_9346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g678566 (
+	.A1(n_9076),
+	.A2(n_8854),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [2]),
+	.Y(n_9345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g678567 (
+	.A1(n_8917),
+	.A2(n_9149),
+	.B1(n_30034),
+	.B2(n_8475),
+	.X(n_9344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32o_1 g678568 (
+	.A1(n_8520),
+	.A2(n_9061),
+	.A3(n_8374),
+	.B1(n_9113),
+	.B2(n_8794),
+	.X(n_9343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g678569 (
+	.A1(n_8783),
+	.A2(n_9150),
+	.B1(n_30017),
+	.B2(n_8475),
+	.X(n_9342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g678570 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_rdrsp_pend),
+	.A2(n_8121),
+	.B1(n_9219),
+	.Y(n_9341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g678571 (
+	.A1(n_8866),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dual[0]),
+	.A3(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[0]),
+	.B1(n_9110),
+	.Y(n_9340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g678572 (
+	.A1(n_8160),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[87]),
+	.B1(n_8569),
+	.C1(n_8883),
+	.D1(n_8903),
+	.Y(n_9339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g678573 (
+	.A1(n_8269),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[71]),
+	.B1(n_8870),
+	.C1(n_8902),
+	.D1(n_9222),
+	.Y(n_9338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g678574 (
+	.A1(n_8160),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[119]),
+	.B1(n_8884),
+	.C1(n_8898),
+	.D1(n_9215),
+	.Y(n_9337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g678575 (
+	.A1(n_8163),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[28]),
+	.B1(n_8635),
+	.C1(n_8894),
+	.D1(n_8885),
+	.Y(n_9336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g678576 (
+	.A1(n_8202),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [15]),
+	.B1(n_9243),
+	.X(n_9335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g678577 (
+	.A1(n_8272),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[111]),
+	.B1(n_8896),
+	.C1(n_8895),
+	.D1(n_9209),
+	.Y(n_9334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g678578 (
+	.A1(n_8157),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[11]),
+	.B1(n_8887),
+	.C1(n_8881),
+	.D1(n_9208),
+	.Y(n_9333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g678579 (
+	.A1(n_9032),
+	.A2(n_9149),
+	.B1(n_29980),
+	.B2(n_8475),
+	.X(n_9332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g678580 (
+	.A1(n_9104),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dual[1]),
+	.B1(n_31077),
+	.Y(n_9331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g678581 (
+	.A1(n_8727),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [25]),
+	.B1(n_8667),
+	.C1(n_9092),
+	.Y(n_9330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g678582 (
+	.A(n_9079),
+	.B(n_8874),
+	.C(n_8873),
+	.D(n_9080),
+	.Y(n_9329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g678583 (
+	.A(n_9078),
+	.B(n_9085),
+	.C(n_8899),
+	.D(n_8877),
+	.Y(n_9328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g678584 (
+	.A(n_8725),
+	.B(n_8435),
+	.C(n_9105),
+	.Y(n_9327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g678585 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme4[0]),
+	.B(n_8479),
+	.C(n_9062),
+	.D_N(brqrv_top_brqrv_dec_tlu_flush_lower_r),
+	.Y(n_342675_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g678586 (
+	.A(n_8482),
+	.B(n_31108),
+	.C_N(brqrv_top_brqrv_ifu_pmu_bus_busy),
+	.Y(n_9326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g678587 (
+	.A1(n_8783),
+	.A2(n_9146),
+	.B1(n_30016),
+	.B2(n_8475),
+	.X(n_9325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g678588 (
+	.A1(n_8783),
+	.A2(n_9148),
+	.B1(n_29995),
+	.B2(n_8475),
+	.X(n_9324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678589 (
+	.A1(n_8854),
+	.A2(n_8906),
+	.B1(n_8253),
+	.B2(n_8131),
+	.C1(n_9246),
+	.Y(n_9323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g678590 (
+	.A1(n_8132),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dual[2]),
+	.A3(n_8204),
+	.B1(n_31078),
+	.Y(n_9322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g678591 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[75]),
+	.A2(n_8157),
+	.B1(n_9230),
+	.C1(n_8871),
+	.D1(n_8901),
+	.Y(n_9321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g678592 (
+	.A1(n_8868),
+	.A2(n_32570),
+	.B1(n_8736),
+	.B2(n_32578),
+	.C1(n_8735),
+	.Y(n_9320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 g678593 (
+	.A1(n_8288),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpme4[0]),
+	.A3(n_8817),
+	.A4(n_8392),
+	.B1(n_9106),
+	.Y(n_9319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g678594 (
+	.A(n_8490),
+	.B(n_32253),
+	.C_N(brqrv_top_brqrv_ifu_pmu_bus_busy),
+	.Y(n_9318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g678595 (
+	.A(n_8490),
+	.B(n_8401),
+	.C_N(n_9133),
+	.Y(n_363339_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g678596 (
+	.A(n_8391),
+	.B(n_31099),
+	.C(n_31384),
+	.D(n_9056),
+	.Y(n_9317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g678597 (
+	.A(n_8408),
+	.B(n_9189),
+	.C(n_8360),
+	.Y(n_9316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g678598 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[1]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[0]),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[14]),
+	.D(n_8137),
+	.Y(n_9315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g678599 (
+	.A(brqrv_top_brqrv_dec_i0_decode_d),
+	.B(n_9189),
+	.C(n_8480),
+	.Y(n_9314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g678600 (
+	.A(n_8482),
+	.B(n_32256),
+	.C(n_8399),
+	.Y(n_9313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g678601 (
+	.A1(n_8783),
+	.A2(n_9147),
+	.B1(n_29994),
+	.B2(n_8475),
+	.X(n_9312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32o_1 g678602 (
+	.A1(n_8520),
+	.A2(n_9060),
+	.A3(n_8375),
+	.B1(n_9114),
+	.B2(n_8793),
+	.X(n_9311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g678603 (
+	.A1(n_8396),
+	.A2(n_9115),
+	.B1(n_8400),
+	.B2(n_31396),
+	.Y(n_9310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g678604 (
+	.A_N(n_32360),
+	.B(n_9275),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [15]),
+	.Y(n_9309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g678605 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [1]),
+	.A2(n_9139),
+	.B1(n_31395),
+	.B2(n_8388),
+	.Y(n_9308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g678606 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[0]),
+	.A2(n_8192),
+	.B1(n_8934),
+	.B2(n_8910),
+	.C1(n_6017),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[64]),
+	.Y(n_9307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g678607 (
+	.A1(n_9035),
+	.A2(n_9151),
+	.B1(n_29979),
+	.B2(n_8475),
+	.X(n_9306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g678608 (
+	.A1(n_9032),
+	.A2(n_9151),
+	.B1(n_29981),
+	.B2(n_8475),
+	.X(n_9305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g678609 (
+	.A1(n_8915),
+	.A2(n_9149),
+	.B1(n_30007),
+	.B2(n_8475),
+	.X(n_9304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g678610 (
+	.A1_N(n_8480),
+	.A2_N(n_9184),
+	.B1(n_32257),
+	.B2(n_9182),
+	.Y(n_9303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g678611 (
+	.A1_N(n_8824),
+	.A2_N(n_9181),
+	.B1(n_31064),
+	.B2(brqrv_top_brqrv_dec_tlu_mstatus[0]),
+	.Y(n_9302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678612 (
+	.A(n_8680),
+	.B(n_9290),
+	.Y(n_9457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g678613 (
+	.A(n_8188),
+	.B(n_32206),
+	.C(n_9276),
+	.Y(n_9456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g678614 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [22]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [23]),
+	.C(n_9140),
+	.Y(n_9455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678615 (
+	.A(n_8681),
+	.B(n_9290),
+	.Y(n_9454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g678616 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [23]),
+	.A2(n_9141),
+	.B1(n_9301),
+	.Y(n_9453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g678617 (
+	.A(n_8293),
+	.B(n_32358),
+	.C(n_9276),
+	.Y(n_9452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g678618 (
+	.A_N(n_32359),
+	.B(n_9275),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [14]),
+	.Y(n_9451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678619 (
+	.A(n_9237),
+	.B(n_8125),
+	.Y(n_9450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678620 (
+	.A(n_8129),
+	.B(n_9069),
+	.Y(n_9449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678621 (
+	.A(n_9237),
+	.B(n_9069),
+	.Y(n_9448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678622 (
+	.A(n_9237),
+	.B(n_8127),
+	.Y(n_9447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678623 (
+	.A(n_9068),
+	.B(n_9236),
+	.Y(n_9445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678624 (
+	.A(n_9068),
+	.B(n_9235),
+	.Y(n_9443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678625 (
+	.A(n_8129),
+	.B(n_8127),
+	.Y(n_9442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678626 (
+	.A(n_8129),
+	.B(n_8125),
+	.Y(n_9441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g678627 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[13]),
+	.B(n_8137),
+	.C_N(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[14]),
+	.Y(n_9440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678629 (
+	.A(n_9289),
+	.B(n_9048),
+	.Y(n_9437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678630 (
+	.A(n_9289),
+	.B(n_9051),
+	.Y(n_9436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678631 (
+	.A(n_8139),
+	.B(n_9051),
+	.Y(n_9435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678632 (
+	.A(n_8139),
+	.B(n_9048),
+	.Y(n_9434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678633 (
+	.A(n_9282),
+	.B(n_8491),
+	.Y(n_9433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678634 (
+	.A(n_9282),
+	.B(n_8494),
+	.Y(n_9431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678635 (
+	.A(n_9293),
+	.B(n_8491),
+	.Y(n_9429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678636 (
+	.A(n_9284),
+	.B(n_8491),
+	.Y(n_9427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678637 (
+	.A(n_8496),
+	.B(n_9283),
+	.Y(n_9425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678638 (
+	.A(n_9298),
+	.B(n_8494),
+	.Y(n_9423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678639 (
+	.A(n_8496),
+	.B(n_9297),
+	.Y(n_9421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678640 (
+	.A(n_8496),
+	.B(n_9281),
+	.Y(n_9419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678641 (
+	.A(n_8496),
+	.B(n_9292),
+	.Y(n_9417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678642 (
+	.A(n_9293),
+	.B(n_8494),
+	.Y(n_9415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678643 (
+	.A(n_8495),
+	.B(n_9291),
+	.Y(n_9413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678644 (
+	.A(n_8370),
+	.B(n_9288),
+	.Y(n_9412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678645 (
+	.A(n_8492),
+	.B(n_9291),
+	.Y(n_9410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678646 (
+	.A(n_8370),
+	.B(n_9291),
+	.Y(n_9409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678647 (
+	.A(n_8495),
+	.B(n_9288),
+	.Y(n_9407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678648 (
+	.A(n_8492),
+	.B(n_9288),
+	.Y(n_9406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678649 (
+	.A(n_9282),
+	.B(n_8371),
+	.Y(n_9405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678650 (
+	.A(n_9284),
+	.B(n_8371),
+	.Y(n_9403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678651 (
+	.A(n_9298),
+	.B(n_8371),
+	.Y(n_9401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678652 (
+	.A(n_9293),
+	.B(n_8371),
+	.Y(n_9399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678653 (
+	.A(n_9298),
+	.B(n_8491),
+	.Y(n_9397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678654 (
+	.A(n_9284),
+	.B(n_8494),
+	.Y(n_9395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g678656 (
+	.A(n_9298),
+	.Y(n_9297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g678657 (
+	.A(n_9295),
+	.Y(n_9294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g678658 (
+	.A(n_9293),
+	.Y(n_9292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g678659 (
+	.A(n_9290),
+	.Y(n_9289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g678660 (
+	.A(n_9287),
+	.Y(n_9286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g678662 (
+	.A(n_9284),
+	.Y(n_9283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g678663 (
+	.A(n_9282),
+	.Y(n_9281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678664 (
+	.A(n_9276),
+	.Y(n_9275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678665 (
+	.A(n_9266),
+	.Y(n_9265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678666 (
+	.A(n_9264),
+	.Y(n_9263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678667 (
+	.A(n_9262),
+	.Y(n_9261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678668 (
+	.A(n_9260),
+	.Y(n_9259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678669 (
+	.A(n_9258),
+	.Y(n_9257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678670 (
+	.A(n_9256),
+	.Y(n_9255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678671 (
+	.A(n_9254),
+	.Y(n_9253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678672 (
+	.A(n_9252),
+	.Y(n_9251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g678673 (
+	.A1(n_8808),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspageQ[8]),
+	.B1(n_8728),
+	.C1(n_8704),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspage_in[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678674 (
+	.A1(n_31580),
+	.A2(n_8797),
+	.B1(n_31581),
+	.B2(n_32307),
+	.C1(n_8716),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g678675 (
+	.A_N(n_31122),
+	.B(brqrv_top_brqrv_ifu_aln_f2val[0]),
+	.Y(n_31947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678676 (
+	.A(n_31573),
+	.B(n_31122),
+	.Y(n_356190_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678677 (
+	.A1(n_31446),
+	.A2(n_8797),
+	.B1(n_32455),
+	.B2(n_32307),
+	.C1(n_8719),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g678678 (
+	.A1(n_8833),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dual[1]),
+	.A3(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[3]),
+	.B1(n_8286),
+	.Y(n_9250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g678679 (
+	.A(n_9170),
+	.B(n_8853),
+	.X(n_9249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g678680 (
+	.A(n_9170),
+	.B(n_8852),
+	.X(n_9248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678681 (
+	.A1(n_31502),
+	.A2(n_8797),
+	.B1(n_31503),
+	.B2(n_32307),
+	.C1(n_8717),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g678682 (
+	.A1(n_8810),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspageQ[14]),
+	.B1(n_8751),
+	.C1(n_8706),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspage_in[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g678683 (
+	.A(n_8749),
+	.B(n_8478),
+	.C(brqrv_top_brqrv_dec_tlu_mhpme6[9]),
+	.D(n_8374),
+	.Y(n_30905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g678684 (
+	.A(n_8747),
+	.B(n_8483),
+	.C(brqrv_top_brqrv_dec_tlu_mhpme3[9]),
+	.D(n_8375),
+	.Y(n_30894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678685 (
+	.A(n_8183),
+	.B(n_9135),
+	.Y(n_353690_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g678686 (
+	.A1(n_38501),
+	.A2(n_8947),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[31]),
+	.X(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[32]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g678687 (
+	.A1(n_8813),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspageQ[13]),
+	.B1(n_8744),
+	.C1(n_8705),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspage_in[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g678688 (
+	.A1(n_8808),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspageQ[4]),
+	.B1(n_8750),
+	.C1(n_8702),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspage_in[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g678689 (
+	.A1(n_8810),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspageQ[6]),
+	.B1(n_8754),
+	.C1(n_8698),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspage_in[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678690 (
+	.A1(n_8734),
+	.A2(n_31758),
+	.B1(n_8323),
+	.B2(n_31757),
+	.C1(n_30531),
+	.Y(n_9247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g678691 (
+	.A1(n_8936),
+	.A2(n_9064),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [0]),
+	.Y(n_9246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678692 (
+	.A(n_9103),
+	.B(n_8804),
+	.Y(n_31944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g678693 (
+	.A1(brqrv_top_brqrv_dec_tlu_force_halt),
+	.A2(n_31414),
+	.B1(n_31494),
+	.C1(n_8938),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_state_ff_n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111o_1 g678694 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[39]),
+	.A2(n_8269),
+	.B1(n_8658),
+	.C1(n_8562),
+	.D1(n_8907),
+	.X(n_9245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g678695 (
+	.A1(n_8808),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspageQ[12]),
+	.B1(n_8737),
+	.C1(n_8697),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspage_in[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g678696 (
+	.A_N(n_9190),
+	.B(n_9191),
+	.Y(n_9244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678697 (
+	.A(n_9195),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [20]),
+	.Y(n_9301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g678698 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[14]),
+	.B_N(n_9192),
+	.Y(n_9300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678699 (
+	.A(n_9169),
+	.B(brqrv_top_brqrv_dec_decode_n_762),
+	.Y(n_9299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678700 (
+	.A(n_8426),
+	.B(n_8128),
+	.Y(n_9298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678701 (
+	.A(n_9173),
+	.B(brqrv_top_brqrv_dec_decode_n_762),
+	.Y(n_9296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678702 (
+	.A(n_9129),
+	.B(n_8691),
+	.Y(n_9295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678704 (
+	.A(n_8431),
+	.B(n_9118),
+	.Y(n_9293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678705 (
+	.A(n_9117),
+	.B(n_8525),
+	.Y(n_9291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678707 (
+	.A(n_9128),
+	.B(n_8691),
+	.Y(n_9290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678708 (
+	.A(n_9119),
+	.B(n_8525),
+	.Y(n_9288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678709 (
+	.A(n_8526),
+	.B(n_9118),
+	.Y(n_9287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678710 (
+	.A(n_8526),
+	.B(n_8128),
+	.Y(n_9285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678711 (
+	.A(n_8426),
+	.B(n_9118),
+	.Y(n_9284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678712 (
+	.A(n_8431),
+	.B(n_8128),
+	.Y(n_9282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678713 (
+	.A(n_9165),
+	.B(n_8679),
+	.Y(n_9280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678714 (
+	.A(n_9167),
+	.B(n_8679),
+	.Y(n_9279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678715 (
+	.A(n_9175),
+	.B(n_8679),
+	.Y(n_9278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678716 (
+	.A(n_9171),
+	.B(n_8679),
+	.Y(n_9277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678717 (
+	.A(n_9195),
+	.B(n_8187),
+	.Y(n_9276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678718 (
+	.A(n_9171),
+	.B(n_8677),
+	.Y(n_9274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678719 (
+	.A(n_9167),
+	.B(n_8677),
+	.Y(n_9273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678720 (
+	.A(n_9175),
+	.B(n_8677),
+	.Y(n_9272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678721 (
+	.A(n_9165),
+	.B(n_8677),
+	.Y(n_9271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678722 (
+	.A(n_9165),
+	.B(n_8676),
+	.Y(n_9270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678723 (
+	.A(n_9171),
+	.B(n_8676),
+	.Y(n_9269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678724 (
+	.A(n_9175),
+	.B(n_8676),
+	.Y(n_9268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678725 (
+	.A(n_9167),
+	.B(n_8676),
+	.Y(n_9267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678726 (
+	.A(n_8920),
+	.B(n_9126),
+	.Y(n_9266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678727 (
+	.A(n_8136),
+	.B(n_8921),
+	.Y(n_9264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678728 (
+	.A(n_8921),
+	.B(n_9126),
+	.Y(n_9262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678729 (
+	.A(n_9127),
+	.B(n_8921),
+	.Y(n_9260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678730 (
+	.A(n_8135),
+	.B(n_8920),
+	.Y(n_9258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678731 (
+	.A(n_9127),
+	.B(n_8920),
+	.Y(n_9256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678732 (
+	.A(n_8135),
+	.B(n_8921),
+	.Y(n_9254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678733 (
+	.A(n_8136),
+	.B(n_8920),
+	.Y(n_9252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g678734 (
+	.A(n_9239),
+	.Y(n_9238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678735 (
+	.A(n_9237),
+	.Y(n_9236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678736 (
+	.A(n_8129),
+	.Y(n_9235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678737 (
+	.A(n_9185),
+	.B(n_8501),
+	.Y(n_9234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g678738 (
+	.A1(n_8836),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dual[2]),
+	.A3(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[4]),
+	.B1(n_8289),
+	.Y(n_9233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g678739 (
+	.A1(n_8813),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspageQ[9]),
+	.B1(n_8730),
+	.C1(n_8710),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspage_in[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g678740 (
+	.A1(n_31104),
+	.A2(n_8856),
+	.B1(n_8815),
+	.B2(n_30462),
+	.Y(n_9232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g678741 (
+	.A(n_8882),
+	.B(n_8594),
+	.C(n_8465),
+	.D(n_8565),
+	.Y(n_9231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g678742 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[67]),
+	.A2(n_8261),
+	.B1(n_8912),
+	.C1(n_8905),
+	.Y(n_9230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g678743 (
+	.A1(brqrv_top_brqrv_ifu_aln_f2val[0]),
+	.A2(n_31123),
+	.B1(n_31575),
+	.Y(n_356189_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g678744 (
+	.A1_N(n_8824),
+	.A2_N(n_8118),
+	.B1(n_32262),
+	.B2(n_8861),
+	.Y(n_9229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g678745 (
+	.A_N(brqrv_top_brqrv_dec_i0_predict_p_d[42]),
+	.B(n_8909),
+	.C(brqrv_top_brqrv_dec_i0_predict_p_d[45]),
+	.D(brqrv_top_brqrv_dec_i0_predict_p_d[43]),
+	.Y(n_9228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g678747 (
+	.A1(n_8684),
+	.A2(lsu_axi_bvalid),
+	.B1(n_9136),
+	.Y(n_9227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g678748 (
+	.A(n_8391),
+	.B(n_8795),
+	.C(n_8858),
+	.Y(n_325459_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g678749 (
+	.A1_N(n_8176),
+	.A2_N(n_9064),
+	.B1(n_31395),
+	.B2(n_8821),
+	.Y(n_9226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g678750 (
+	.A1(n_31584),
+	.A2(n_8797),
+	.B1(n_32659),
+	.C1(n_8655),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g678751 (
+	.A(n_8377),
+	.B(n_9055),
+	.C_N(brqrv_top_brqrv_dec_tlu_flush_lower_r),
+	.Y(n_362404_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g678752 (
+	.A(n_8382),
+	.B(n_9063),
+	.C_N(brqrv_top_brqrv_dec_tlu_flush_lower_r),
+	.Y(n_362397_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g678753 (
+	.A1(n_8264),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[20]),
+	.B1(n_8587),
+	.C1(n_8566),
+	.D1(n_8867),
+	.Y(n_9225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g678754 (
+	.A(n_8900),
+	.B(n_8561),
+	.C(n_8357),
+	.D(n_8605),
+	.Y(n_9224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g678755 (
+	.A1(n_8180),
+	.A2(brqrv_top_brqrv_dec_tlu_mstatus_ns[0]),
+	.B1(n_8662),
+	.C1(n_8612),
+	.D1(n_8670),
+	.Y(n_9223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g678756 (
+	.A1(n_8266),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[94]),
+	.B1(n_8599),
+	.C1(n_9081),
+	.Y(n_9222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g678757 (
+	.A1(n_8729),
+	.A2(n_8478),
+	.B1(brqrv_top_brqrv_dec_tlu_n_3813),
+	.B2(n_8809),
+	.C1(n_8949),
+	.Y(n_9221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g678758 (
+	.A1(n_8733),
+	.A2(n_8483),
+	.B1(brqrv_top_brqrv_dec_tlu_n_3813),
+	.B2(n_8806),
+	.C1(n_8948),
+	.Y(n_9220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g678759 (
+	.A(n_8455),
+	.B(n_8544),
+	.C(n_8581),
+	.D(n_8864),
+	.Y(n_9219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g678760 (
+	.A1(n_8164),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[16]),
+	.B1(n_8613),
+	.C1(n_8589),
+	.D1(n_8865),
+	.Y(n_9218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g678761 (
+	.A(n_8468),
+	.B(n_8829),
+	.C(n_8707),
+	.D_N(n_8425),
+	.Y(n_9217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g678762 (
+	.A1(n_8260),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[27]),
+	.B1(n_8548),
+	.C1(n_8592),
+	.D1(n_8879),
+	.Y(n_9216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g678763 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[118]),
+	.A2(n_8161),
+	.B1(n_8621),
+	.C1(n_9109),
+	.Y(n_9215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g678764 (
+	.A1(n_8265),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[24]),
+	.B1(n_8568),
+	.C1(n_8636),
+	.D1(n_8890),
+	.Y(n_9214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g678765 (
+	.A1(n_8264),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[20]),
+	.B1(n_8583),
+	.C1(n_8633),
+	.D1(n_8888),
+	.Y(n_9213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g678766 (
+	.A1(n_8269),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[103]),
+	.B1(n_8545),
+	.C1(n_8640),
+	.D1(n_8892),
+	.Y(n_9212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g678767 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme6[0]),
+	.B(n_8287),
+	.C(n_8820),
+	.D(n_8392),
+	.Y(n_9211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g678768 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme3[0]),
+	.B(n_8181),
+	.C(n_8818),
+	.D(n_8392),
+	.Y(n_9210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g678769 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[110]),
+	.A2(n_8276),
+	.B1(n_8642),
+	.C1(n_9108),
+	.Y(n_9209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g678770 (
+	.A(n_8365),
+	.B(n_8401),
+	.C_N(n_9066),
+	.Y(n_363375_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g678771 (
+	.A(n_8482),
+	.B(n_8401),
+	.C_N(n_9073),
+	.Y(n_363331_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g678772 (
+	.A(n_8367),
+	.B(n_8401),
+	.C_N(n_9067),
+	.Y(n_363368_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g678773 (
+	.A(n_8862),
+	.B(n_8804),
+	.C(n_8504),
+	.Y(n_31003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g678774 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[3]),
+	.A2(n_8261),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[6]),
+	.B2(n_8268),
+	.C1(n_9091),
+	.Y(n_9208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678775 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [31]),
+	.A2(n_8711),
+	.B1(n_8396),
+	.B2(n_31388),
+	.C1(n_8746),
+	.Y(n_9207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g678776 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [0]),
+	.B(n_32353),
+	.C(n_8518),
+	.D_N(n_8720),
+	.Y(n_9206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g678777 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme4[0]),
+	.B(n_9062),
+	.C(n_8857),
+	.Y(n_325423_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g678778 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_minstretl_cout_f),
+	.A2_N(brqrv_top_brqrv_dec_tlu_minstretl_cout_ns),
+	.B1(brqrv_top_brqrv_dec_tlu_minstretl_cout_f),
+	.B2(brqrv_top_brqrv_dec_tlu_minstretl_cout_ns),
+	.Y(n_9205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g678779 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_3813),
+	.A2(n_8822),
+	.B1(brqrv_top_brqrv_dec_tlu_flush_lower_r),
+	.B2(n_8807),
+	.C1(n_8946),
+	.Y(n_9204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g678780 (
+	.A1(n_8119),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[0]),
+	.B1(n_8354),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[1]),
+	.C1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_samedw[0]),
+	.Y(n_9203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g678781 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_timer[0]),
+	.A2(n_9070),
+	.B1_N(n_9180),
+	.Y(n_357119_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g678782 (
+	.A(n_8484),
+	.B(n_9071),
+	.C(n_30518),
+	.X(n_9202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g678783 (
+	.A(n_8486),
+	.B(n_9074),
+	.C(n_30518),
+	.X(n_9201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g678784 (
+	.A(brqrv_top_brqrv_dec_tlu_n_3314),
+	.B(n_9060),
+	.C(n_8373),
+	.Y(n_30900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g678785 (
+	.A(brqrv_top_brqrv_dec_tlu_n_3314),
+	.B(n_9061),
+	.C(n_8384),
+	.Y(n_30903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g678786 (
+	.A(n_31100),
+	.B(n_8795),
+	.C(n_8863),
+	.Y(n_363337_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g678787 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [1]),
+	.A2(n_8937),
+	.B1(n_8253),
+	.B2(n_8931),
+	.Y(n_9200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g678788 (
+	.A1(n_9055),
+	.A2(n_8510),
+	.B1(n_32275),
+	.B2(n_8945),
+	.Y(n_9199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g678789 (
+	.A1(n_8272),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[15]),
+	.B1(n_8553),
+	.C1(n_8610),
+	.D1(n_8552),
+	.Y(n_9198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g678790 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_sideeffect),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_valid),
+	.B1(n_8693),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sideeffect[2]),
+	.C1(n_8305),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sideeffect[3]),
+	.Y(n_9197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g678791 (
+	.A1(n_9063),
+	.A2(n_8510),
+	.B1(n_32267),
+	.B2(n_8935),
+	.Y(n_9196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g678792 (
+	.A1(n_8306),
+	.A2(n_31053),
+	.B1(n_8932),
+	.C1(n_8707),
+	.X(n_9243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g678793 (
+	.A(n_8436),
+	.B(n_8503),
+	.C(n_8433),
+	.Y(n_9242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g678794 (
+	.A_N(n_8927),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [27]),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [26]),
+	.Y(n_9241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g678795 (
+	.A(n_8738),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [26]),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [31]),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [27]),
+	.Y(n_9240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g678796 (
+	.A1(n_9054),
+	.A2(n_8803),
+	.B1(n_8798),
+	.B2(n_9052),
+	.Y(n_9239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g678797 (
+	.A(brqrv_top_brqrv_exu_i0_predict_p_x[34]),
+	.B(n_31055),
+	.C(n_8701),
+	.D(n_31507),
+	.Y(n_9237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g678799 (
+	.A(n_9175),
+	.Y(n_9176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g678800 (
+	.A(n_9173),
+	.Y(n_9174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g678801 (
+	.A(n_9171),
+	.Y(n_9172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678802 (
+	.A(n_9170),
+	.Y(n_9169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g678803 (
+	.A(n_9167),
+	.Y(n_9168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g678804 (
+	.A(n_9165),
+	.Y(n_9166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678805 (
+	.A(n_8819),
+	.B(n_9056),
+	.Y(n_369305_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678806 (
+	.A(n_8505),
+	.B(brqrv_top_brqrv_ifu_aln_f2val[0]),
+	.Y(n_31121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g678807 (
+	.A(n_31066),
+	.B_N(brqrv_top_brqrv_dec_tlu_dec_tlu_pmu_fw_halted),
+	.Y(n_9143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678808 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [26]),
+	.B(n_8927),
+	.Y(n_9142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678809 (
+	.A(n_8133),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [22]),
+	.Y(n_9141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678810 (
+	.A(n_8133),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [21]),
+	.Y(n_9140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g678811 (
+	.A1(n_8148),
+	.A2(n_8748),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_7543),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g678812 (
+	.A1(n_8148),
+	.A2(n_8755),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_7535),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g678813 (
+	.A1(n_8148),
+	.A2(n_8675),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_7539),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678814 (
+	.A(n_8931),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [0]),
+	.Y(n_9139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g678815 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[31]),
+	.B(n_38501),
+	.X(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[32]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g678816 (
+	.A1(n_8219),
+	.A2(n_31427),
+	.B1(n_8343),
+	.C1(n_8666),
+	.D1(n_8934),
+	.Y(n_9138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678817 (
+	.A(n_8943),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [2]),
+	.Y(n_9137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g678818 (
+	.A1(n_31864),
+	.A2(n_8685),
+	.A3(n_31086),
+	.B1(n_8148),
+	.Y(n_9136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 g678819 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen[4]),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen[5]),
+	.A3(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen[7]),
+	.A4(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen[6]),
+	.B1(n_31461),
+	.Y(n_9135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g678820 (
+	.A(n_31069),
+	.B_N(brqrv_top_brqrv_dec_tlu_dec_tlu_pmu_fw_halted),
+	.Y(n_9134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g678821 (
+	.A(n_32354),
+	.B_N(n_8133),
+	.Y(n_9195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678822 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme5[0]),
+	.B(n_9072),
+	.Y(n_9194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678823 (
+	.A(n_8152),
+	.B(n_9065),
+	.Y(n_9193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678824 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[12]),
+	.B(n_8929),
+	.Y(n_9192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678825 (
+	.A(n_8708),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.Y(n_9191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678827 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.B(n_8708),
+	.Y(n_9190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678829 (
+	.A(n_31123),
+	.B(n_32343),
+	.Y(n_31122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g678830 (
+	.A_N(n_9062),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme4[0]),
+	.Y(n_31108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678831 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme4[0]),
+	.B(n_9065),
+	.Y(n_9189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g678832 (
+	.A1(n_8622),
+	.A2(n_8604),
+	.B1(brqrv_top_brqrv_dec_tlu_mstatus[0]),
+	.X(n_9188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g678833 (
+	.A_N(n_9054),
+	.B(n_8800),
+	.Y(n_9187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678834 (
+	.A(n_8803),
+	.B(n_9052),
+	.Y(n_9186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g678835 (
+	.A(n_9054),
+	.B_N(n_8811),
+	.Y(n_9185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678836 (
+	.A(n_8798),
+	.B(n_9046),
+	.Y(n_9184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g678837 (
+	.A_N(n_8798),
+	.B(n_9057),
+	.Y(n_9183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g678838 (
+	.A_N(n_9052),
+	.B(n_8811),
+	.Y(n_9182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678839 (
+	.A(n_8798),
+	.B(n_9054),
+	.Y(n_9181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g678840 (
+	.A(n_9070),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_timer[0]),
+	.X(n_9180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g678841 (
+	.A_N(n_8803),
+	.B(n_9047),
+	.Y(n_9179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g678842 (
+	.A_N(n_8803),
+	.B(n_9057),
+	.Y(n_9178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678843 (
+	.A(n_8800),
+	.B(n_9057),
+	.Y(n_9177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678844 (
+	.A(n_8683),
+	.B(n_9041),
+	.Y(n_9175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678845 (
+	.A(n_8799),
+	.B(n_9046),
+	.Y(n_9173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678846 (
+	.A(n_8683),
+	.B(n_9037),
+	.Y(n_9171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678847 (
+	.A(n_9047),
+	.B(n_8811),
+	.Y(n_9170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678848 (
+	.A(n_8683),
+	.B(n_9043),
+	.Y(n_9167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678849 (
+	.A(n_8683),
+	.B(n_9038),
+	.Y(n_9165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678850 (
+	.A(n_9049),
+	.B(n_9039),
+	.Y(n_9164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678851 (
+	.A(n_9049),
+	.B(n_9040),
+	.Y(n_9163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678852 (
+	.A(n_9049),
+	.B(n_9036),
+	.Y(n_9162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678854 (
+	.A(n_8924),
+	.B(n_8922),
+	.Y(n_9161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678855 (
+	.A(n_9049),
+	.B(n_9044),
+	.Y(n_9160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678856 (
+	.A(n_9042),
+	.B(n_9044),
+	.Y(n_9159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678857 (
+	.A(n_9042),
+	.B(n_9040),
+	.Y(n_9158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678858 (
+	.A(n_9042),
+	.B(n_9039),
+	.Y(n_9157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678859 (
+	.A(n_9042),
+	.B(n_9036),
+	.Y(n_9156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678860 (
+	.A(n_9045),
+	.B(n_9036),
+	.Y(n_9155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678861 (
+	.A(n_9045),
+	.B(n_9040),
+	.Y(n_9154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678862 (
+	.A(n_9045),
+	.B(n_9044),
+	.Y(n_9153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678863 (
+	.A(n_9045),
+	.B(n_9039),
+	.Y(n_9152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678864 (
+	.A(n_9024),
+	.B(n_31531),
+	.Y(n_9151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678865 (
+	.A(n_9014),
+	.B(n_31531),
+	.Y(n_9150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678866 (
+	.A(n_9022),
+	.B(n_31531),
+	.Y(n_9149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678867 (
+	.A(n_9018),
+	.B(n_31531),
+	.Y(n_9148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678868 (
+	.A(n_9012),
+	.B(n_31531),
+	.Y(n_9147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678869 (
+	.A(n_9016),
+	.B(n_31531),
+	.Y(n_9146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678870 (
+	.A(n_9020),
+	.B(n_31531),
+	.Y(n_9145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678871 (
+	.A(n_9026),
+	.B(n_31531),
+	.Y(n_9144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g678873 (
+	.A(n_9125),
+	.Y(n_32256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678874 (
+	.A(n_9121),
+	.Y(n_9120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g678875 (
+	.A(n_8128),
+	.Y(n_9119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g678876 (
+	.A(n_9118),
+	.Y(n_9117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g678877 (
+	.A1(n_32257),
+	.A2(n_8689),
+	.B1(n_8479),
+	.B2(n_8513),
+	.Y(n_9116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g678878 (
+	.A1(n_8387),
+	.A2(n_8150),
+	.A3(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [3]),
+	.B1(n_8940),
+	.Y(n_9115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g678879 (
+	.A1(n_8118),
+	.A2(n_8806),
+	.B1(brqrv_top_brqrv_dec_i0_decode_d),
+	.B2(n_8812),
+	.X(n_9114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g678880 (
+	.A1(n_8118),
+	.A2(n_8809),
+	.B1(brqrv_top_brqrv_dec_i0_decode_d),
+	.B2(n_8814),
+	.X(n_9113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32o_1 g678881 (
+	.A1(n_8348),
+	.A2(n_8148),
+	.A3(n_8301),
+	.B1(n_8714),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ldfwd[0]),
+	.X(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_ldfwdff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g678882 (
+	.A(n_8584),
+	.B(n_8585),
+	.C(n_8602),
+	.D(n_8567),
+	.Y(n_9112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g678883 (
+	.A(brqrv_top_brqrv_lsu_pmu_bus_error),
+	.B(n_8790),
+	.C(n_8366),
+	.D(n_8381),
+	.Y(n_32105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g678884 (
+	.A(brqrv_top_brqrv_lsu_pmu_bus_error),
+	.B(n_8792),
+	.C(n_8364),
+	.D(n_8376),
+	.Y(n_32104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g678885 (
+	.A1(n_32257),
+	.A2(n_32536),
+	.B1(n_8482),
+	.B2(n_30462),
+	.C1(n_8740),
+	.Y(n_9111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g678886 (
+	.A1(n_31078),
+	.A2(n_8726),
+	.B1(n_31077),
+	.Y(n_9110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g678887 (
+	.A1(n_8167),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[115]),
+	.B1(n_8897),
+	.Y(n_9109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g678888 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[107]),
+	.A2(n_8157),
+	.B1(n_8893),
+	.Y(n_9108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g678889 (
+	.A1(n_8463),
+	.A2(n_31869),
+	.B1(brqrv_top_brqrv_lsu_lsu_pkt_r[11]),
+	.C1(n_31408),
+	.Y(n_9107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g678890 (
+	.A(brqrv_top_brqrv_dec_tlu_dec_tlu_pmu_fw_halted),
+	.B(n_8504),
+	.C(brqrv_top_brqrv_dec_tlu_mhpme4[9]),
+	.D(n_8514),
+	.Y(n_9106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g678891 (
+	.A1(n_8724),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [0]),
+	.B1(n_8400),
+	.Y(n_9105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g678892 (
+	.A1(n_8810),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rsp_pickage[10]),
+	.B1(n_8703),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspage_in[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g678893 (
+	.A(brqrv_top_brqrv_dec_tlu_dec_tlu_pmu_fw_halted),
+	.B(n_8363),
+	.C(brqrv_top_brqrv_dec_tlu_mhpme5[9]),
+	.D(n_8390),
+	.Y(n_30927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g678895 (
+	.A1(n_8832),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[3]),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[2]),
+	.Y(n_9104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g678896 (
+	.A1_N(n_8824),
+	.A2_N(brqrv_top_brqrv_dec_tlu_n_3813),
+	.B1(n_8823),
+	.B2(n_31545),
+	.Y(n_9103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g678897 (
+	.A1(n_8813),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rsp_pickage[5]),
+	.B1(n_8699),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspage_in[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g678898 (
+	.A1(n_32267),
+	.A2(n_8853),
+	.B1(n_32266),
+	.Y(n_9102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g678899 (
+	.A1(n_32275),
+	.A2(n_8852),
+	.B1(n_32274),
+	.Y(n_9101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g678900 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [3]),
+	.A2(n_8712),
+	.B1(n_31395),
+	.B2(n_8386),
+	.Y(n_9100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g678901 (
+	.A(n_32274),
+	.B(n_8365),
+	.C(n_8791),
+	.D_N(brqrv_top_brqrv_ifu_pmu_bus_busy),
+	.Y(n_9099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g678902 (
+	.A(n_32266),
+	.B(n_8367),
+	.C(n_8789),
+	.D_N(brqrv_top_brqrv_ifu_pmu_bus_busy),
+	.Y(n_9098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g678903 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [26]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [27]),
+	.C(n_8753),
+	.Y(n_9097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g678904 (
+	.A1(n_8695),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sideeffect[1]),
+	.B1(n_8684),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sideeffect[0]),
+	.Y(n_9096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g678905 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [3]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [0]),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [1]),
+	.D(n_8413),
+	.Y(n_9095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g678906 (
+	.A1(brqrv_top_brqrv_dec_tlu_ce_int),
+	.A2(n_8199),
+	.B1(n_8663),
+	.C1(n_8618),
+	.Y(n_9094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g678907 (
+	.A1(n_32267),
+	.A2(n_8689),
+	.B1(n_8484),
+	.B2(n_8513),
+	.Y(n_9093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g678908 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [10]),
+	.A2(n_8752),
+	.B1(n_8253),
+	.B2(n_31387),
+	.Y(n_9092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g678909 (
+	.A1(n_8261),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[3]),
+	.B1(n_8347),
+	.C1(n_8582),
+	.D1(n_8876),
+	.Y(n_9091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g678910 (
+	.A1(n_32275),
+	.A2(n_8689),
+	.B1(n_8486),
+	.B2(n_8513),
+	.Y(n_9090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g678911 (
+	.A1(n_31099),
+	.A2(n_8689),
+	.B1(n_8362),
+	.B2(n_8513),
+	.Y(n_9089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g678912 (
+	.A(n_31865),
+	.B(n_8696),
+	.C(n_31084),
+	.Y(n_9088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g678913 (
+	.A(n_31805),
+	.B(n_8694),
+	.C(n_31082),
+	.Y(n_9087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g678914 (
+	.A1(n_8807),
+	.A2(n_8816),
+	.B1_N(n_31102),
+	.Y(n_9086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g678915 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[51]),
+	.A2(n_8167),
+	.B1(n_8601),
+	.C1(n_8645),
+	.Y(n_9085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g678916 (
+	.A1(n_8822),
+	.A2(brqrv_top_brqrv_exu_pmu_i0_pc4),
+	.B1(n_8875),
+	.Y(n_9084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o311ai_1 g678917 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [20]),
+	.A2(n_8302),
+	.A3(n_31389),
+	.B1(n_8542),
+	.C1(n_8851),
+	.Y(n_9083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g678918 (
+	.A1(n_8261),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[99]),
+	.B1(n_8464),
+	.C1(n_8445),
+	.D1(n_8556),
+	.Y(n_9082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g678919 (
+	.A1(n_8165),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[93]),
+	.B1(n_8341),
+	.C1(n_8340),
+	.D1(n_8563),
+	.Y(n_9081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g678920 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[47]),
+	.A2(n_8272),
+	.B1(n_8659),
+	.C1(n_8648),
+	.Y(n_9080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g678921 (
+	.A1(n_8157),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[43]),
+	.B1(n_8614),
+	.C1(n_8647),
+	.Y(n_9079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g678922 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[55]),
+	.A2(n_8160),
+	.B1(n_8608),
+	.C1(n_8559),
+	.Y(n_9078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g678923 (
+	.A1(n_31757),
+	.A2(n_8668),
+	.B1(n_8466),
+	.B2(n_31758),
+	.Y(n_9077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g678924 (
+	.A1(n_8389),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [3]),
+	.B1(n_8939),
+	.Y(n_9076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g678925 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme5[5]),
+	.B(n_8255),
+	.C(n_8715),
+	.Y(n_9133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g678926 (
+	.A(n_8805),
+	.B(n_8152),
+	.C(n_8288),
+	.X(n_9132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g678927 (
+	.A1(n_8503),
+	.A2(n_8433),
+	.B1(n_8436),
+	.Y(n_9131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g678928 (
+	.A1_N(n_8528),
+	.A2_N(n_8826),
+	.B1(n_8528),
+	.B2(n_8826),
+	.Y(n_9130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g678929 (
+	.A1(n_31531),
+	.A2(n_8709),
+	.B1(n_30263),
+	.Y(n_9129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g678930 (
+	.A1(brqrv_top_brqrv_exu_mp_pkt[32]),
+	.A2(n_8709),
+	.B1(brqrv_top_brqrv_exu_i0_br_way_r),
+	.B2(n_32706),
+	.Y(n_9128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678931 (
+	.A(n_8923),
+	.B(n_8925),
+	.Y(n_9127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g678932 (
+	.A(n_8925),
+	.B(n_8923),
+	.Y(n_9126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g678935 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme3[5]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme3[0]),
+	.C(n_8818),
+	.X(n_32264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g678936 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme6[5]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme6[0]),
+	.C(n_8820),
+	.X(n_31067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g678937 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme4[5]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme4[0]),
+	.C(n_8817),
+	.Y(n_9125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g678938 (
+	.A(n_8796),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme5[0]),
+	.C(brqrv_top_brqrv_dec_tlu_mhpme5[3]),
+	.Y(n_32253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g678939 (
+	.A(n_8684),
+	.B(n_8148),
+	.C(n_8301),
+	.Y(n_9124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g678940 (
+	.A_N(n_9052),
+	.B(n_8800),
+	.Y(n_9123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g678941 (
+	.A_N(n_8924),
+	.B(n_8922),
+	.Y(n_9122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678942 (
+	.A(n_8922),
+	.B(n_8924),
+	.Y(n_9121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g678944 (
+	.A(n_8835),
+	.B(brqrv_top_brqrv_exu_i0_br_valid_r),
+	.C(n_8700),
+	.Y(n_9118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g678945 (
+	.A(n_8505),
+	.Y(n_31123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g678947 (
+	.A(n_9059),
+	.Y(n_9058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g678948 (
+	.A(n_9050),
+	.Y(n_9051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g678949 (
+	.A(n_9047),
+	.Y(n_9046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678950 (
+	.A(n_9044),
+	.Y(n_9043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g678951 (
+	.A(n_9041),
+	.Y(n_9040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678952 (
+	.A(n_9039),
+	.Y(n_9038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g678953 (
+	.A(n_9037),
+	.Y(n_9036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g678954 (
+	.A(n_9027),
+	.Y(n_9026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g678955 (
+	.A(n_9025),
+	.Y(n_9024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g678956 (
+	.A(n_9023),
+	.Y(n_9022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678957 (
+	.A(n_9020),
+	.Y(n_9021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678958 (
+	.A(n_9018),
+	.Y(n_9019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g678959 (
+	.A(n_9017),
+	.Y(n_9016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g678960 (
+	.A(n_9015),
+	.Y(n_9014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g678961 (
+	.A(n_9013),
+	.Y(n_9012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678962 (
+	.A(n_9011),
+	.Y(n_9010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678963 (
+	.A(n_9009),
+	.Y(n_9008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678964 (
+	.A(n_9007),
+	.Y(n_9006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678965 (
+	.A(n_9005),
+	.Y(n_9004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678966 (
+	.A(n_9003),
+	.Y(n_9002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g678967 (
+	.A(n_9001),
+	.Y(n_9000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g678968 (
+	.A(n_8999),
+	.Y(n_8998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g678969 (
+	.A(n_8997),
+	.Y(n_8996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g678970 (
+	.A(n_8995),
+	.Y(n_8994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678971 (
+	.A(n_8991),
+	.Y(n_8990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678972 (
+	.A(n_8989),
+	.Y(n_8988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678973 (
+	.A(n_8987),
+	.Y(n_8986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678974 (
+	.A(n_8985),
+	.Y(n_8984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678975 (
+	.A(n_8983),
+	.Y(n_8982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678976 (
+	.A(n_8981),
+	.Y(n_8980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678977 (
+	.A(n_8979),
+	.Y(n_8978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678978 (
+	.A(n_8977),
+	.Y(n_8976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g678979 (
+	.A(n_8975),
+	.Y(n_8974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g678980 (
+	.A(n_8973),
+	.Y(n_8972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678981 (
+	.A(n_8971),
+	.Y(n_8970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678982 (
+	.A(n_8969),
+	.Y(n_8968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678983 (
+	.A(n_8967),
+	.Y(n_8966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678984 (
+	.A(n_8965),
+	.Y(n_8964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g678985 (
+	.A(n_8963),
+	.Y(n_8962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678986 (
+	.A(n_8961),
+	.Y(n_8960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g678987 (
+	.A(n_8959),
+	.Y(n_8958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678988 (
+	.A(n_8957),
+	.Y(n_8956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678989 (
+	.A(n_8955),
+	.Y(n_8954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678990 (
+	.A(n_8953),
+	.Y(n_8952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g678991 (
+	.A(n_8951),
+	.Y(n_8950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g678992 (
+	.A(n_8823),
+	.B(n_31578),
+	.X(n_30907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678993 (
+	.A(n_8819),
+	.B(n_31578),
+	.Y(n_368752_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g678994 (
+	.A1(n_39144),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[122]),
+	.B1(n_39145),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[90]),
+	.C1(n_8596),
+	.X(n_31235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g678995 (
+	.A(n_30462),
+	.B_N(n_8814),
+	.Y(n_8949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g678996 (
+	.A(n_30462),
+	.B_N(n_8812),
+	.Y(n_8948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g678997 (
+	.A_N(n_8703),
+	.B(n_31433),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g678998 (
+	.A_N(n_8699),
+	.B(n_31439),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g678999 (
+	.A(n_31742),
+	.B(n_8579),
+	.Y(n_8947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679000 (
+	.A(n_8819),
+	.B(n_31545),
+	.Y(n_8946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679002 (
+	.A(brqrv_top_brqrv_lsu_store_stall_any),
+	.B(n_8792),
+	.Y(n_8945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679003 (
+	.A(n_31063),
+	.B(brqrv_top_brqrv_dec_tlu_mstatus[0]),
+	.Y(n_8944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g679004 (
+	.A1(n_39144),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[121]),
+	.B1(n_39145),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[89]),
+	.C1(n_8654),
+	.X(n_31234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679005 (
+	.A1(n_8150),
+	.A2(n_8388),
+	.B1(n_31396),
+	.Y(n_8943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g679006 (
+	.A_N(n_8823),
+	.B(brqrv_top_brqrv_dec_tlu_n_3314),
+	.Y(n_32163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g679007 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_samedw[0]),
+	.B(n_8474),
+	.C_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dual[0]),
+	.Y(n_8942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679010 (
+	.A(n_8284),
+	.B(n_8821),
+	.Y(n_8940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g679011 (
+	.A_N(n_31064),
+	.B(n_8514),
+	.Y(n_30920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g679012 (
+	.A_N(n_31068),
+	.B(n_8512),
+	.Y(n_30922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g679013 (
+	.A_N(n_8702),
+	.B(n_8470),
+	.C(n_31801),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g679014 (
+	.A1(n_8353),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [0]),
+	.B1(n_8418),
+	.Y(n_8939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g679015 (
+	.A_N(n_31065),
+	.B(n_8393),
+	.Y(n_30924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g679016 (
+	.A_N(n_8698),
+	.B(n_8338),
+	.C(n_32661),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g679017 (
+	.A_N(n_8697),
+	.B(n_8339),
+	.C(n_31807),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g679018 (
+	.A_N(n_8710),
+	.B(n_8458),
+	.C(n_31811),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g679019 (
+	.A_N(n_8704),
+	.B(n_8456),
+	.C(n_31813),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g679020 (
+	.A_N(n_8705),
+	.B(n_8346),
+	.C(n_31806),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g679021 (
+	.A_N(n_8706),
+	.B(n_8451),
+	.C(n_31803),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g679022 (
+	.A1(n_8194),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[10]),
+	.B1(n_8722),
+	.Y(n_8938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679023 (
+	.A(n_8396),
+	.B(n_8821),
+	.Y(n_8937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g679024 (
+	.A1(n_8344),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [2]),
+	.B1(n_8418),
+	.Y(n_8936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679025 (
+	.A(brqrv_top_brqrv_lsu_store_stall_any),
+	.B(n_8790),
+	.Y(n_8935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g679026 (
+	.A_N(n_31102),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme5[3]),
+	.Y(n_9075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679027 (
+	.A(n_8794),
+	.B(n_8376),
+	.Y(n_9074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679028 (
+	.A(n_8817),
+	.B_N(n_8405),
+	.Y(n_9073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679030 (
+	.A(n_8834),
+	.B(n_8279),
+	.Y(n_9072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679031 (
+	.A(n_8793),
+	.B(n_8381),
+	.Y(n_9071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 g679033 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen[0]),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen[1]),
+	.A3(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen[3]),
+	.A4(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen[2]),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_write),
+	.Y(n_31461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679034 (
+	.A(n_8849),
+	.B(n_8742),
+	.Y(n_9070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679035 (
+	.A(n_8686),
+	.B(n_8692),
+	.Y(n_9069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679036 (
+	.A(n_8790),
+	.B(n_8379),
+	.Y(n_31057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679037 (
+	.A(n_8792),
+	.B(n_8501),
+	.Y(n_31058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679038 (
+	.A(n_8692),
+	.B(n_8686),
+	.Y(n_9068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679039 (
+	.A(n_8818),
+	.B_N(n_8429),
+	.Y(n_9067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679040 (
+	.A(n_8820),
+	.B_N(n_8428),
+	.Y(n_9066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g679041 (
+	.A_N(n_32260),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme4[3]),
+	.Y(n_9065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679042 (
+	.A(n_8386),
+	.B(n_8831),
+	.Y(n_9064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679043 (
+	.A(n_8790),
+	.B(n_8485),
+	.Y(n_9063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679044 (
+	.A(n_8804),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme4[3]),
+	.Y(n_9062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679045 (
+	.A(n_32277),
+	.B(n_32278),
+	.Y(n_9061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679046 (
+	.A(n_32268),
+	.B(n_32269),
+	.Y(n_9060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679047 (
+	.A(n_8848),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [24]),
+	.Y(n_9059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679048 (
+	.A(n_8802),
+	.B(n_8403),
+	.Y(n_9057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679049 (
+	.A(n_30461),
+	.B(n_8828),
+	.Y(brqrv_top_brqrv_dec_tlu_ext_int_freeze), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g679050 (
+	.A(n_31102),
+	.B(n_31468),
+	.X(n_9056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679051 (
+	.A(n_8792),
+	.B(n_8487),
+	.Y(n_9055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679052 (
+	.A(n_8403),
+	.B(n_8802),
+	.Y(n_9054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679053 (
+	.A(n_8785),
+	.B(n_8500),
+	.Y(n_9053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679055 (
+	.A(n_8403),
+	.B(n_8801),
+	.Y(n_9052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679056 (
+	.A(n_8681),
+	.B(n_8678),
+	.Y(n_9050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679057 (
+	.A(n_8678),
+	.B(n_8682),
+	.Y(n_9049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679058 (
+	.A(n_8681),
+	.B(n_8679),
+	.Y(n_9048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679059 (
+	.A(n_8801),
+	.B(n_8403),
+	.Y(n_9047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679060 (
+	.A(n_8682),
+	.B(n_8677),
+	.Y(n_9045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679061 (
+	.A(n_8688),
+	.B(n_8690),
+	.Y(n_9044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679062 (
+	.A(n_8676),
+	.B(n_8682),
+	.Y(n_9042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679063 (
+	.A(n_8690),
+	.B(n_8688),
+	.Y(n_9041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679064 (
+	.A(n_8687),
+	.B(n_8690),
+	.Y(n_9039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679065 (
+	.A(n_8690),
+	.B(n_8687),
+	.Y(n_9037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g679066 (
+	.A(n_32461),
+	.B(n_8843),
+	.X(n_9035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679067 (
+	.A(n_8844),
+	.B(n_32461),
+	.Y(n_9034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679068 (
+	.A(n_8837),
+	.B(n_8151),
+	.Y(n_9033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g679069 (
+	.A(n_31311),
+	.B(n_8841),
+	.X(n_9032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679070 (
+	.A(n_8845),
+	.B(n_8256),
+	.Y(n_9031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679071 (
+	.A(n_8837),
+	.B(n_32461),
+	.Y(n_9030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679072 (
+	.A(n_8845),
+	.B(n_31311),
+	.Y(n_9029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679073 (
+	.A(n_8842),
+	.B(n_31311),
+	.Y(n_9028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679074 (
+	.A(n_8840),
+	.B(n_8155),
+	.Y(n_9027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679075 (
+	.A(n_8855),
+	.B(n_32458),
+	.Y(n_9025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679076 (
+	.A(n_8840),
+	.B(n_32458),
+	.Y(n_9023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679077 (
+	.A(n_32458),
+	.B(n_8123),
+	.Y(n_9020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679078 (
+	.A(n_32458),
+	.B(n_8850),
+	.Y(n_9018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679079 (
+	.A(n_8839),
+	.B(n_32458),
+	.Y(n_9017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g679080 (
+	.A_N(n_8850),
+	.B(n_32458),
+	.Y(n_9015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679081 (
+	.A(n_8839),
+	.B(n_8155),
+	.Y(n_9013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679082 (
+	.A(n_8769),
+	.B(n_8369),
+	.Y(n_9011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679083 (
+	.A(n_8368),
+	.B(n_8760),
+	.Y(n_9009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679084 (
+	.A(n_8769),
+	.B(n_8498),
+	.Y(n_9007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679085 (
+	.A(n_8763),
+	.B(n_8369),
+	.Y(n_9005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679086 (
+	.A(n_8770),
+	.B(n_8369),
+	.Y(n_9003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679087 (
+	.A(n_8757),
+	.B(n_8369),
+	.Y(n_9001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679088 (
+	.A(n_8767),
+	.B(n_8369),
+	.Y(n_8999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679089 (
+	.A(n_8757),
+	.B(n_8498),
+	.Y(n_8997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679090 (
+	.A(n_8767),
+	.B(n_8498),
+	.Y(n_8995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679091 (
+	.A(n_8760),
+	.B(n_8477),
+	.Y(n_8993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679092 (
+	.A(n_8760),
+	.B(n_8358),
+	.Y(n_8992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679093 (
+	.A(n_8758),
+	.B(n_8358),
+	.Y(n_8991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679094 (
+	.A(n_8758),
+	.B(n_8477),
+	.Y(n_8989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679095 (
+	.A(n_8765),
+	.B(n_8477),
+	.Y(n_8987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679096 (
+	.A(n_8766),
+	.B(n_8358),
+	.Y(n_8985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679097 (
+	.A(n_8766),
+	.B(n_8477),
+	.Y(n_8983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679098 (
+	.A(n_8756),
+	.B(n_8358),
+	.Y(n_8981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679099 (
+	.A(n_8756),
+	.B(n_8477),
+	.Y(n_8979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679100 (
+	.A(n_8765),
+	.B(n_8358),
+	.Y(n_8977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679101 (
+	.A(n_8764),
+	.B(n_8498),
+	.Y(n_8975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679102 (
+	.A(n_8764),
+	.B(n_8369),
+	.Y(n_8973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679103 (
+	.A(n_8763),
+	.B(n_8498),
+	.Y(n_8971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679104 (
+	.A(n_8771),
+	.B(n_8358),
+	.Y(n_8969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679105 (
+	.A(n_8771),
+	.B(n_8477),
+	.Y(n_8967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679106 (
+	.A(n_8770),
+	.B(n_8498),
+	.Y(n_8965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679107 (
+	.A(n_8759),
+	.B(n_8369),
+	.Y(n_8963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679108 (
+	.A(n_8497),
+	.B(n_8760),
+	.Y(n_8961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679109 (
+	.A(n_8759),
+	.B(n_8498),
+	.Y(n_8959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679110 (
+	.A(n_8762),
+	.B(n_8358),
+	.Y(n_8957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679111 (
+	.A(n_8762),
+	.B(n_8477),
+	.Y(n_8955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679112 (
+	.A(n_8768),
+	.B(n_8358),
+	.Y(n_8953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679113 (
+	.A(n_8768),
+	.B(n_8477),
+	.Y(n_8951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679115 (
+	.A(n_32254),
+	.Y(n_8926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g679119 (
+	.A(n_8921),
+	.Y(n_8920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g679120 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[81]),
+	.A2(n_8166),
+	.B1(n_8588),
+	.Y(n_8913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g679121 (
+	.A1(n_39144),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[126]),
+	.B1(n_39145),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[94]),
+	.C1(n_8600),
+	.X(n_31239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g679122 (
+	.A1(n_39144),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[127]),
+	.B1(n_39145),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[95]),
+	.C1(n_8624),
+	.X(n_31240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g679123 (
+	.A1(n_8404),
+	.A2(n_8205),
+	.B1(brqrv_top_brqrv_dec_decode_flush_final_r),
+	.Y(brqrv_top_brqrv_dec_decode_illegal_lockout_in), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g679124 (
+	.A1(n_8273),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[68]),
+	.B1(n_8268),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[70]),
+	.C1(n_8450),
+	.Y(n_8912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g679125 (
+	.A(n_8739),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[5]),
+	.C(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[3]),
+	.Y(n_31570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g679126 (
+	.A1(n_39144),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[120]),
+	.B1(n_39145),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[88]),
+	.C1(n_8607),
+	.X(n_31233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g679127 (
+	.A1(n_39144),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[124]),
+	.B1(n_39145),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[92]),
+	.C1(n_8590),
+	.X(n_31237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g679128 (
+	.A1(n_39144),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[123]),
+	.B1(n_39145),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[91]),
+	.C1(n_8626),
+	.X(n_31236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g679129 (
+	.A1(n_8268),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[102]),
+	.B1(n_8168),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[101]),
+	.C1(n_8460),
+	.Y(n_8911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g679130 (
+	.A1(n_6019),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[33]),
+	.B1(n_8192),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[1]),
+	.C1(n_8664),
+	.X(n_8910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4bb_1 g679131 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[31]),
+	.B(n_31829),
+	.C_N(brqrv_top_brqrv_dec_i0_predict_p_d[44]),
+	.D_N(n_8578),
+	.Y(n_8909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g679132 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [0]),
+	.A2(n_8257),
+	.B1(n_8159),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [1]),
+	.C1(n_8400),
+	.Y(n_8908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g679133 (
+	.A1(n_8263),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[40]),
+	.B1(n_8269),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[39]),
+	.C1(n_8469),
+	.Y(n_8907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g679134 (
+	.A1(n_8386),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [1]),
+	.B1(n_8389),
+	.Y(n_8906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g679135 (
+	.A1(n_8359),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[22]),
+	.B1(n_34461),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g679136 (
+	.A1(n_8359),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[6]),
+	.B1(n_34445),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g679138 (
+	.A1(n_8261),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[67]),
+	.B1(n_8349),
+	.C1(n_8550),
+	.Y(n_8905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g679139 (
+	.A1(n_8359),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[1]),
+	.B1(n_34440),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g679140 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[79]),
+	.A2(n_8272),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[82]),
+	.B2(n_8270),
+	.C1(n_8547),
+	.Y(n_8904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g679141 (
+	.A1(n_8160),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[87]),
+	.B1(n_8170),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[90]),
+	.C1(n_8657),
+	.Y(n_8903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g679142 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[71]),
+	.A2(n_8269),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[74]),
+	.B2(n_8158),
+	.C1(n_8661),
+	.Y(n_8902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g679143 (
+	.A1(n_8157),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[75]),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[78]),
+	.B2(n_8276),
+	.C1(n_8660),
+	.Y(n_8901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g679144 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[38]),
+	.A2(n_8268),
+	.B1(n_8593),
+	.Y(n_8900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g679145 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[54]),
+	.A2(n_8161),
+	.B1(n_8609),
+	.Y(n_8899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g679146 (
+	.A1(n_8160),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[119]),
+	.B1(n_8162),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[121]),
+	.C1(n_8546),
+	.Y(n_8898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g679147 (
+	.A1(n_8167),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[115]),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[117]),
+	.B2(n_8262),
+	.C1(n_8558),
+	.Y(n_8897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g679148 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[114]),
+	.A2(n_8270),
+	.B1(n_8620),
+	.Y(n_8896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g679149 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[111]),
+	.A2(n_8272),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[113]),
+	.B2(n_8166),
+	.C1(n_8564),
+	.Y(n_8895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g679150 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[30]),
+	.A2(n_8266),
+	.B1(n_8634),
+	.Y(n_8894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g679151 (
+	.A1(n_8157),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[107]),
+	.B1(n_8275),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[109]),
+	.C1(n_8551),
+	.Y(n_8893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g679152 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[106]),
+	.A2(n_8158),
+	.B1(n_8641),
+	.Y(n_8892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g679153 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[3]),
+	.A2(n_8261),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[4]),
+	.B2(n_8273),
+	.C1(n_8555),
+	.Y(n_8891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g679154 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[26]),
+	.A2(n_8170),
+	.B1(n_8631),
+	.Y(n_8890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g679155 (
+	.A1(n_8359),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[2]),
+	.B1(n_34441),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g679156 (
+	.A1(n_8157),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[11]),
+	.B1(n_8156),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[12]),
+	.C1(n_8595),
+	.Y(n_8889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g679157 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[22]),
+	.A2(n_8161),
+	.B1(n_8638),
+	.Y(n_8888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g679158 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[12]),
+	.A2(n_8156),
+	.B1(n_8616),
+	.Y(n_8887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g679159 (
+	.A1(n_8199),
+	.A2(brqrv_top_brqrv_dec_tlu_ce_int),
+	.B1(n_8627),
+	.X(n_8886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g679160 (
+	.A1(n_8260),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[27]),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[28]),
+	.B2(n_8163),
+	.C1(n_8554),
+	.Y(n_8885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g679161 (
+	.A1(n_8359),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[3]),
+	.B1(n_34442),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g679162 (
+	.A1(n_8359),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[4]),
+	.B1(n_34443),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g679163 (
+	.A1(n_8359),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[5]),
+	.B1(n_34444),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g679164 (
+	.A1(n_8170),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[122]),
+	.B1(n_8643),
+	.Y(n_8884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g679165 (
+	.A1(n_8162),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[89]),
+	.B1(n_8652),
+	.Y(n_8883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g679166 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[86]),
+	.A2(n_8161),
+	.B1(n_8653),
+	.Y(n_8882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g679167 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[11]),
+	.A2(n_8157),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[14]),
+	.B2(n_8276),
+	.C1(n_8549),
+	.Y(n_8881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g679168 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[7]),
+	.A2(n_8269),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[8]),
+	.B2(n_8263),
+	.C1(n_8557),
+	.X(n_8880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g679169 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[28]),
+	.A2(n_8163),
+	.B1(n_8598),
+	.Y(n_8879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g679170 (
+	.A1(n_8165),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[125]),
+	.B1(n_8266),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[126]),
+	.C1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_5601),
+	.Y(n_8878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g679171 (
+	.A1(n_8170),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[58]),
+	.B1(n_8606),
+	.Y(n_8877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g679172 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[31]),
+	.A2(n_8259),
+	.B1(n_8623),
+	.Y(n_8876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g679173 (
+	.A(brqrv_top_brqrv_exu_pmu_i0_pc4),
+	.B(n_31104),
+	.C(n_31101),
+	.Y(n_8875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g679174 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[46]),
+	.A2(n_8276),
+	.B1(n_8656),
+	.Y(n_8874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g679175 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[50]),
+	.A2(n_8270),
+	.B1(n_8649),
+	.Y(n_8873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g679176 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[6]),
+	.A2(n_8268),
+	.B1(n_8611),
+	.Y(n_8872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g679177 (
+	.A1(n_8275),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[77]),
+	.B1(n_8650),
+	.Y(n_8871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g679178 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[73]),
+	.A2(n_8271),
+	.B1(n_8651),
+	.Y(n_8870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g679179 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.B(n_8277),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [1]),
+	.D(n_31387),
+	.Y(n_8869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g679180 (
+	.A1(n_8359),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[7]),
+	.B1(n_34446),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g679181 (
+	.A1(n_39144),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[125]),
+	.B1(n_39145),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[93]),
+	.C1(n_8625),
+	.X(n_31238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679182 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[11]),
+	.A2_N(n_8194),
+	.B1(n_31079),
+	.B2(n_8406),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_state_ff_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679183 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[9]),
+	.A2_N(n_8194),
+	.B1(n_31866),
+	.B2(n_8406),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_state_ff_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g679184 (
+	.A1(n_8359),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[8]),
+	.B1(n_34447),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g679185 (
+	.A1(n_8359),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[9]),
+	.B1(n_34448),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g679186 (
+	.A1(n_8359),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[10]),
+	.B1(n_34449),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g679187 (
+	.A1(n_8359),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[11]),
+	.B1(n_34450),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g679188 (
+	.A1(n_8359),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[12]),
+	.B1(n_34451),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g679189 (
+	.A1(n_8359),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[13]),
+	.B1(n_34452),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g679190 (
+	.A(n_8449),
+	.B(n_32789),
+	.C(n_8448),
+	.Y(n_8868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g679191 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[20]),
+	.A2(n_8264),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[21]),
+	.B2(n_8262),
+	.C1(n_8442),
+	.Y(n_8867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g679192 (
+	.A1(n_8289),
+	.A2(n_8447),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[1]),
+	.B2(n_31078),
+	.Y(n_8866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g679193 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[16]),
+	.A2(n_8164),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[18]),
+	.B2(n_8270),
+	.C1(n_8440),
+	.Y(n_8865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679194 (
+	.A1(n_8271),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[9]),
+	.B1(n_8603),
+	.Y(n_8864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g679195 (
+	.A1_N(n_8362),
+	.A2_N(n_8510),
+	.B1(n_8361),
+	.B2(brqrv_top_brqrv_lsu_store_stall_any),
+	.Y(n_8863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679196 (
+	.A1_N(n_8360),
+	.A2_N(brqrv_top_brqrv_lsu_store_stall_any),
+	.B1(n_8479),
+	.B2(n_8510),
+	.Y(n_8862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g679197 (
+	.A1(n_8520),
+	.A2(n_8488),
+	.B1(brqrv_top_brqrv_dec_i0_decode_d),
+	.B2(n_8481),
+	.Y(n_8861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g679198 (
+	.A1(n_32276),
+	.A2(n_8374),
+	.B1(n_8731),
+	.Y(n_8860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g679199 (
+	.A1(n_32276),
+	.A2(n_8375),
+	.B1(n_8732),
+	.Y(n_8859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g679200 (
+	.A1(n_8508),
+	.A2(n_8493),
+	.B1(brqrv_top_brqrv_lsu_pmu_bus_error),
+	.B2(n_8489),
+	.Y(n_8858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g679201 (
+	.A1(n_8508),
+	.A2(n_8488),
+	.B1(brqrv_top_brqrv_lsu_pmu_bus_error),
+	.B2(n_8481),
+	.Y(n_8857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g679202 (
+	.A1_N(n_31099),
+	.A2_N(n_32536),
+	.B1(n_8493),
+	.B2(n_32276),
+	.Y(n_8856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g679203 (
+	.A1(n_8359),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[31]),
+	.B1(n_34470),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g679204 (
+	.A1(n_8359),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[30]),
+	.B1(n_34469),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g679205 (
+	.A1(n_8359),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[29]),
+	.B1(n_34468),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g679206 (
+	.A1(n_8359),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[28]),
+	.B1(n_34467),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g679207 (
+	.A1(n_8359),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[27]),
+	.B1(n_34466),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g679208 (
+	.A1(n_8359),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[26]),
+	.B1(n_34465),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g679209 (
+	.A1(n_8359),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[25]),
+	.B1(n_34464),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g679210 (
+	.A1(n_8359),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[24]),
+	.B1(n_34463),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g679211 (
+	.A1(n_8359),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[23]),
+	.B1(n_34462),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g679212 (
+	.A1(n_8359),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[21]),
+	.B1(n_34460),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g679213 (
+	.A1(n_8359),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[20]),
+	.B1(n_34459),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g679214 (
+	.A1(n_8359),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[19]),
+	.B1(n_34458),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g679215 (
+	.A1(n_8359),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[18]),
+	.B1(n_34457),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g679216 (
+	.A1(n_8359),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[17]),
+	.B1(n_34456),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g679217 (
+	.A1(n_8359),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[16]),
+	.B1(n_34455),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g679218 (
+	.A1(n_8359),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[15]),
+	.B1(n_34454),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g679219 (
+	.A1(n_8359),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[14]),
+	.B1(n_34453),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g679220 (
+	.A1(n_6019),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[2]),
+	.B1(n_8192),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[0]),
+	.C1(n_8665),
+	.Y(n_8934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g679221 (
+	.A1(n_8291),
+	.A2(n_31071),
+	.B1_N(n_8838),
+	.Y(n_8933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g679222 (
+	.A1(n_8292),
+	.A2(n_32494),
+	.B1_N(n_8847),
+	.Y(n_8932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g679223 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [2]),
+	.B(n_8257),
+	.C(n_8396),
+	.Y(n_8931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g679225 (
+	.A_N(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[11]),
+	.B(n_8571),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_picm_wren_ff),
+	.D(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[30]),
+	.Y(n_8929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g679226 (
+	.A_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ldfwd[0]),
+	.B(n_31079),
+	.C(n_31083),
+	.D(n_31081),
+	.Y(n_8928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g679227 (
+	.A(n_8384),
+	.B(n_8512),
+	.C(brqrv_top_brqrv_dec_tlu_mhpme6[9]),
+	.Y(n_31069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g679228 (
+	.A(n_8373),
+	.B(n_8393),
+	.C(brqrv_top_brqrv_dec_tlu_mhpme3[9]),
+	.Y(n_31066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g679229 (
+	.A_N(n_8522),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [29]),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [28]),
+	.Y(n_8927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g679230 (
+	.A_N(n_8391),
+	.B(n_8507),
+	.C(n_8279),
+	.Y(n_32254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g679231 (
+	.A(brqrv_top_brqrv_dec_tlu_dbg_halted),
+	.B(brqrv_top_brqrv_dec_tlu_wr_minstreth_r),
+	.C(n_8472),
+	.Y(brqrv_top_brqrv_dec_tlu_minstretl_cout_ns), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679232 (
+	.A1_N(n_8437),
+	.A2_N(n_8151),
+	.B1(n_8437),
+	.B2(n_8151),
+	.Y(n_8925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g679233 (
+	.A(n_8336),
+	.B(n_32460),
+	.X(n_8924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g679234 (
+	.A(n_8337),
+	.B(n_31310),
+	.X(n_8923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g679235 (
+	.A(n_8439),
+	.B(n_32462),
+	.X(n_8922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679236 (
+	.A1_N(n_8430),
+	.A2_N(n_8256),
+	.B1(n_8430),
+	.B2(n_8256),
+	.Y(n_8921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g679237 (
+	.A(n_32459),
+	.B(n_8151),
+	.C(n_8256),
+	.D(n_32460),
+	.X(n_8919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g679238 (
+	.A(n_8421),
+	.B(n_8258),
+	.C(n_32461),
+	.Y(n_8918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g679239 (
+	.A(n_8424),
+	.B(n_8256),
+	.C(n_32460),
+	.Y(n_8917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g679240 (
+	.A(n_8256),
+	.B(n_32460),
+	.C(n_32459),
+	.D(n_8151),
+	.Y(n_8916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g679241 (
+	.A(n_8420),
+	.B(n_8151),
+	.C(n_32459),
+	.Y(n_8915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g679242 (
+	.A(n_8422),
+	.B(n_8154),
+	.C(n_31311),
+	.Y(n_8914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679243 (
+	.A(n_8123),
+	.Y(n_8855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g679245 (
+	.A(n_8843),
+	.Y(n_8844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g679246 (
+	.A(n_8841),
+	.Y(n_8842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679248 (
+	.A(n_8832),
+	.Y(n_8833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g679251 (
+	.A(brqrv_top_brqrv_dec_tlu_n_3397),
+	.Y(n_8825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679252 (
+	.A(n_8816),
+	.Y(n_8815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g679253 (
+	.A(n_8802),
+	.Y(n_8801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g679254 (
+	.A(n_8799),
+	.Y(n_8800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g679255 (
+	.A(n_8796),
+	.Y(n_8795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g679256 (
+	.A(n_8794),
+	.Y(n_32278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g679257 (
+	.A(n_8793),
+	.Y(n_32269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g679258 (
+	.A(n_8792),
+	.Y(n_8791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g679259 (
+	.A(n_8790),
+	.Y(n_8789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g679260 (
+	.A(n_8788),
+	.Y(n_8787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g679261 (
+	.A(n_8786),
+	.Y(n_8785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679262 (
+	.A(n_8782),
+	.Y(n_8781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g679263 (
+	.A(n_8779),
+	.Y(n_8780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g679264 (
+	.A(n_8777),
+	.Y(n_8778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679265 (
+	.A(n_8776),
+	.Y(n_8775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679266 (
+	.A(n_8774),
+	.Y(n_8773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g679267 (
+	.A(n_8124),
+	.Y(n_8772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g679268 (
+	.A(n_8771),
+	.Y(n_8770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g679269 (
+	.A(n_8769),
+	.Y(n_8768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g679270 (
+	.A(n_8767),
+	.Y(n_8766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g679271 (
+	.A(n_8765),
+	.Y(n_8764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g679272 (
+	.A(n_8763),
+	.Y(n_8762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g679273 (
+	.A(n_8761),
+	.Y(n_8760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g679274 (
+	.A(n_8759),
+	.Y(n_8758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g679275 (
+	.A(n_8757),
+	.Y(n_8756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g679276 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[1]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[2]),
+	.C(n_39623),
+	.X(n_8755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679277 (
+	.A(n_8521),
+	.B(n_31301),
+	.Y(n_8754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679278 (
+	.A(n_8394),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [25]),
+	.Y(n_8753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679279 (
+	.A(n_8427),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [9]),
+	.Y(n_8752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679280 (
+	.A(n_8521),
+	.B(n_31494),
+	.Y(n_8751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679282 (
+	.A(n_8516),
+	.B(n_31301),
+	.Y(n_8750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679283 (
+	.A(n_31109),
+	.B_N(n_8512),
+	.Y(n_8749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g679285 (
+	.A_N(n_31109),
+	.B(n_8378),
+	.Y(n_30928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g679286 (
+	.A(n_39624),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[8]),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[7]),
+	.X(n_8748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679287 (
+	.A(n_31109),
+	.B_N(n_8393),
+	.Y(n_8747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g679288 (
+	.A_N(n_8411),
+	.B(n_8183),
+	.Y(n_30996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679289 (
+	.A(n_8427),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [10]),
+	.Y(n_8746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g679290 (
+	.A(n_8306),
+	.B(n_8195),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [6]),
+	.X(n_8745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679291 (
+	.A(n_8412),
+	.B(n_31494),
+	.Y(n_8744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g679292 (
+	.A_N(n_31578),
+	.B(n_8501),
+	.Y(n_30925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g679293 (
+	.A_N(n_30895),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_ff [5]),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_ff [0]),
+	.Y(n_8743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g679294 (
+	.A1(n_8298),
+	.A2(n_31573),
+	.B1(n_8505),
+	.Y(n_356182_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g679295 (
+	.A(n_31403),
+	.B(n_31405),
+	.C_N(n_31404),
+	.Y(n_8742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679296 (
+	.A(n_8394),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [26]),
+	.Y(n_8741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679297 (
+	.A(n_32276),
+	.B(n_8488),
+	.Y(n_8740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g679298 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[4]),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_n_2291),
+	.C(brqrv_top_brqrv_ifu_mem_ctl_n_2292),
+	.X(n_8739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g679299 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [29]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [28]),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [30]),
+	.X(n_8738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679300 (
+	.A(n_8516),
+	.B(n_31494),
+	.Y(n_8737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g679301 (
+	.A(n_32570),
+	.B(n_29898),
+	.C(n_32580),
+	.Y(n_8736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g679302 (
+	.A(n_32578),
+	.B(n_32785),
+	.C_N(n_32790),
+	.Y(n_8735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679303 (
+	.A(brqrv_top_brqrv_dec_decode_illegal_lockout),
+	.B(n_8404),
+	.Y(brqrv_top_brqrv_dec_decode_illegal_inst_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g679304 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[11]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[7]),
+	.C(brqrv_top_brqrv_dec_dec_i0_instr_d[10]),
+	.Y(n_8734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679305 (
+	.A(n_32270),
+	.B(n_32536),
+	.Y(n_8733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679306 (
+	.A(n_8372),
+	.B(n_31545),
+	.Y(n_8732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679307 (
+	.A(n_8383),
+	.B(n_31545),
+	.Y(n_8731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679308 (
+	.A(n_8412),
+	.B(n_32453),
+	.Y(n_8730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679309 (
+	.A(n_32279),
+	.B(n_32536),
+	.Y(n_8729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679310 (
+	.A(n_8516),
+	.B(n_32453),
+	.Y(n_8728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g679311 (
+	.A_N(n_31430),
+	.B(n_31422),
+	.C(n_31437),
+	.Y(n_31421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g679312 (
+	.A_N(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.sv2v_tmp_BEF15 [3]),
+	.B(n_39182),
+	.C(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.sv2v_tmp_BEF15 [2]),
+	.X(brqrv_top_brqrv_ifu_ifu_bp_ret_f[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g679314 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [26]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [27]),
+	.C(n_31392),
+	.Y(n_8727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g679315 (
+	.A_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[0]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dual[0]),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[1]),
+	.Y(n_8726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679316 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [1]),
+	.B(n_8418),
+	.Y(n_8725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679317 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [1]),
+	.A2(n_8253),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [2]),
+	.Y(n_8724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g679318 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [0]),
+	.B(n_8159),
+	.C(n_31395),
+	.X(n_8723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g679319 (
+	.A1(brqrv_top_brqrv_dec_tlu_minstretl_cout_f),
+	.A2(brqrv_top_brqrv_dec_tlu_minstret_enable_f),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_minstreth_r),
+	.X(brqrv_top_brqrv_dec_tlu_n_3335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g679320 (
+	.A1(n_31079),
+	.A2(n_31866),
+	.B1(n_8406),
+	.Y(n_8722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g679321 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_ff [0]),
+	.B1(n_8395),
+	.Y(n_8721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g679322 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [0]),
+	.A2(n_32363),
+	.B1(n_31388),
+	.Y(n_8720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g679323 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3215),
+	.A2(n_31415),
+	.B1_N(n_32656),
+	.Y(n_8719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g679324 (
+	.A1(n_8190),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [28]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [30]),
+	.Y(n_8718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g679325 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3215),
+	.A2(n_31413),
+	.B1_N(n_31799),
+	.Y(n_8717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g679326 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3215),
+	.A2(n_31409),
+	.B1_N(n_31423),
+	.Y(n_8716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g679327 (
+	.A1(n_8251),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [1]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g679328 (
+	.A1(n_8251),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [6]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679329 (
+	.A(n_8507),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme5[3]),
+	.Y(n_8715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679330 (
+	.A(brqrv_top_brqrv_dec_tlu_perfcnt_halted),
+	.B(n_8355),
+	.Y(n_32280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679331 (
+	.A(n_8351),
+	.B(n_31864),
+	.Y(n_8714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g679332 (
+	.A1(n_8251),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [13]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679333 (
+	.A(brqrv_top_brqrv_dec_tlu_perfcnt_halted),
+	.B(n_8454),
+	.Y(n_32271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g679334 (
+	.A1(n_8251),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [15]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g679336 (
+	.A1(n_29908),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpme4[9]),
+	.B1(n_8182),
+	.Y(n_276416_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679337 (
+	.A(brqrv_top_brqrv_dec_tlu_perfcnt_halted),
+	.B(n_8444),
+	.Y(n_31105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g679338 (
+	.A1(n_8251),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [2]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g679339 (
+	.A1(n_8251),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [3]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g679340 (
+	.A1(n_8251),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [4]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g679341 (
+	.A1(n_8251),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [5]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g679342 (
+	.A1(n_8251),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [7]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g679343 (
+	.A1(n_8251),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [8]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g679344 (
+	.A1(n_8251),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [9]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g679345 (
+	.A1(n_8251),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [10]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g679346 (
+	.A1(n_8251),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [11]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679347 (
+	.A(n_8508),
+	.B(n_8381),
+	.Y(n_32162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679348 (
+	.A(n_8508),
+	.B(n_8376),
+	.Y(n_32161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g679349 (
+	.A1(n_8251),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [12]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g679350 (
+	.A1(n_8251),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [14]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g679351 (
+	.A1(n_8251),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [16]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679352 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [17]),
+	.A2(n_8299),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [19]),
+	.Y(n_8713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679353 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [1]),
+	.B(n_8389),
+	.Y(n_8712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g679354 (
+	.A1(n_8201),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [28]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [30]),
+	.Y(n_8711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g679356 (
+	.A(n_8267),
+	.B(n_8400),
+	.X(n_8854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679357 (
+	.A(n_8408),
+	.B(n_8381),
+	.Y(n_8853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679358 (
+	.A(n_8408),
+	.B(n_8376),
+	.Y(n_8852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g679359 (
+	.A1(n_8304),
+	.A2(n_32499),
+	.B1(n_8537),
+	.X(n_8851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 g679360 (
+	.A(n_31575),
+	.B(n_31573),
+	.C_N(brqrv_top_brqrv_ifu_aln_f2val[0]),
+	.X(n_32343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679361 (
+	.A(n_8529),
+	.B(n_32462),
+	.Y(n_8850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g679362 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_timer[2]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_timer[1]),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_timer[0]),
+	.X(n_8849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679363 (
+	.A(n_32357),
+	.B_N(n_8394),
+	.Y(n_8848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g679364 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [5]),
+	.B(n_32494),
+	.C_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [4]),
+	.Y(n_8847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679365 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme6[3]),
+	.B_N(n_8519),
+	.Y(n_8846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679366 (
+	.A(n_32460),
+	.B(n_8423),
+	.Y(n_8845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679367 (
+	.A(n_8421),
+	.B(n_32460),
+	.Y(n_8843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679368 (
+	.A(n_8422),
+	.B(n_32459),
+	.Y(n_8841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679369 (
+	.A(n_8363),
+	.B(n_8380),
+	.Y(n_31063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679370 (
+	.A(n_32462),
+	.B(n_8122),
+	.Y(n_8840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679371 (
+	.A(n_32462),
+	.B_N(n_8529),
+	.Y(n_8839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g679372 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [13]),
+	.B(n_31071),
+	.C_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [12]),
+	.Y(n_8838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679373 (
+	.A(n_32459),
+	.B(n_8419),
+	.Y(n_8837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679374 (
+	.A(n_8121),
+	.B(n_8183),
+	.Y(n_31420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679375 (
+	.A1(n_31078),
+	.A2(n_8289),
+	.B1(n_8204),
+	.Y(n_8836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g679376 (
+	.A1(brqrv_top_brqrv_exu_pmu_i0_br_ataken),
+	.A2(brqrv_top_brqrv_exu_i0_br_mp_r),
+	.B1(n_32761),
+	.Y(n_8835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679377 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme5[3]),
+	.B(n_8506),
+	.Y(n_8834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679378 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[2]),
+	.A2(n_8289),
+	.B1(n_31078),
+	.Y(n_8832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g679379 (
+	.A(n_8267),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [3]),
+	.C(n_8159),
+	.Y(n_8831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679380 (
+	.A1(n_8300),
+	.A2(n_31390),
+	.B1(n_8536),
+	.Y(n_8830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679381 (
+	.A1(n_29869),
+	.A2(n_32496),
+	.B1(n_8407),
+	.Y(n_8829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g679382 (
+	.A(brqrv_top_brqrv_dec_tlu_take_ext_int_start_d2),
+	.B(brqrv_top_brqrv_dec_tlu_take_ext_int_start_d3),
+	.C(brqrv_top_brqrv_dec_tlu_take_ext_int_start_d1),
+	.Y(n_8828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g679383 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [15]),
+	.B(n_32497),
+	.C_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [14]),
+	.Y(n_8827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679384 (
+	.A(n_8527),
+	.B(n_8438),
+	.Y(n_8826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g679385 (
+	.A(brqrv_top_brqrv_dec_tlu_n_4585),
+	.B(brqrv_top_brqrv_dec_tlu_wr_mcycleh_r),
+	.C_N(brqrv_top_brqrv_dec_tlu_mcyclel_cout),
+	.Y(brqrv_top_brqrv_dec_tlu_n_3397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679386 (
+	.A(n_32258),
+	.B(n_8482),
+	.Y(n_8824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679387 (
+	.A(n_8480),
+	.B(n_8378),
+	.Y(n_31064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679388 (
+	.A(n_8488),
+	.B(n_8504),
+	.Y(n_8823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679389 (
+	.A(n_31100),
+	.B(n_8490),
+	.Y(n_8822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679390 (
+	.A(n_8413),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [1]),
+	.Y(n_8821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679391 (
+	.A(n_8519),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme6[3]),
+	.Y(n_8820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679392 (
+	.A(n_8493),
+	.B(n_8390),
+	.Y(n_8819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679393 (
+	.A(n_8524),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme3[3]),
+	.Y(n_8818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679394 (
+	.A(n_8487),
+	.B(n_8374),
+	.Y(n_31068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g679395 (
+	.A_N(n_8523),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme4[3]),
+	.Y(n_8817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679396 (
+	.A(n_8485),
+	.B(n_8375),
+	.Y(n_31065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679397 (
+	.A(n_31104),
+	.B(n_8490),
+	.Y(n_8816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679398 (
+	.A(n_32279),
+	.B(n_8365),
+	.Y(n_8814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679399 (
+	.A(n_8514),
+	.B(n_8185),
+	.Y(n_32260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679400 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt),
+	.B(n_8412),
+	.Y(n_8813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679401 (
+	.A(n_32270),
+	.B(n_8367),
+	.Y(n_8812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679402 (
+	.A(n_8515),
+	.B(n_8517),
+	.Y(n_8811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679403 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt),
+	.B(n_8521),
+	.Y(n_8810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679404 (
+	.A(n_8383),
+	.B(n_8365),
+	.Y(n_8809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679405 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt),
+	.B(n_8516),
+	.Y(n_8808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679406 (
+	.A(n_8391),
+	.B(n_8362),
+	.Y(n_8807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679407 (
+	.A(n_8372),
+	.B(n_8367),
+	.Y(n_8806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679408 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme4[3]),
+	.B(n_8523),
+	.Y(n_8805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g679409 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme4[4]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme4[9]),
+	.C(n_8288),
+	.Y(n_8804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g679410 (
+	.A_N(n_8515),
+	.B(n_8517),
+	.Y(n_8803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g679411 (
+	.A(brqrv_top_brqrv_dec_tlu_flush_lower_wb),
+	.B(n_31571),
+	.C_N(brqrv_top_brqrv_dec_decode_r_t[1]),
+	.Y(n_8802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g679412 (
+	.A_N(n_8517),
+	.B(n_8515),
+	.Y(n_8799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g679413 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme5[9]),
+	.B(n_31103),
+	.X(n_31102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679414 (
+	.A(n_8517),
+	.B(n_8515),
+	.Y(n_8798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g679415 (
+	.A(n_31581),
+	.B(n_31492),
+	.C(n_32440),
+	.X(n_8797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g679416 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme5[4]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme5[9]),
+	.C(n_8279),
+	.Y(n_8796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679417 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme6[9]),
+	.B_N(n_8512),
+	.Y(n_8794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679418 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme3[9]),
+	.B_N(n_8393),
+	.Y(n_8793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g679419 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme6[4]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme6[9]),
+	.C(n_8287),
+	.Y(n_8792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g679420 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme3[4]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme3[9]),
+	.C(n_8181),
+	.Y(n_8790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679421 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[5]),
+	.B_N(n_8532),
+	.Y(n_8788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679422 (
+	.A(n_8530),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[5]),
+	.Y(n_8786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679423 (
+	.A(n_8422),
+	.B(n_8421),
+	.Y(n_8784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679424 (
+	.A(n_8420),
+	.B(n_8424),
+	.Y(n_8783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679425 (
+	.A(n_8539),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[5]),
+	.Y(n_8782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679426 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[5]),
+	.B(n_8533),
+	.Y(n_8779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679427 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[5]),
+	.B(n_8538),
+	.Y(n_8777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g679428 (
+	.A_N(n_8533),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[5]),
+	.Y(n_8776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679429 (
+	.A(n_8532),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[5]),
+	.Y(n_8774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679431 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [6]),
+	.B(n_8541),
+	.Y(n_8771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679432 (
+	.A(n_8531),
+	.B(n_8172),
+	.Y(n_8769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679433 (
+	.A(n_8531),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [6]),
+	.Y(n_8767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679434 (
+	.A(n_8172),
+	.B(n_8541),
+	.Y(n_8765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679435 (
+	.A(n_8434),
+	.B(n_8172),
+	.Y(n_8763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679436 (
+	.A(n_8534),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [6]),
+	.Y(n_8761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679437 (
+	.A(n_8534),
+	.B(n_8172),
+	.Y(n_8759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679438 (
+	.A(n_8434),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [6]),
+	.Y(n_8757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679441 (
+	.A(n_8696),
+	.Y(n_8695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679442 (
+	.A(n_8694),
+	.Y(n_8693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g679445 (
+	.A(n_8688),
+	.Y(n_8687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g679447 (
+	.A(n_8685),
+	.Y(n_8684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g679448 (
+	.A(n_8683),
+	.Y(n_8682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g679449 (
+	.A(n_8681),
+	.Y(n_8680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g679450 (
+	.A(n_8679),
+	.Y(n_8678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g679451 (
+	.A(n_8677),
+	.Y(n_8676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g679452 (
+	.A(n_39625),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[5]),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[4]),
+	.X(n_8675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g679453 (
+	.A(brqrv_top_brqrv_dec_tlu_meicidpl[3]),
+	.B(brqrv_top_brqrv_dec_tlu_meicidpl_ns[3]),
+	.Y(n_8674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g679454 (
+	.A(brqrv_top_brqrv_dec_tlu_meicidpl[2]),
+	.B(brqrv_top_brqrv_dec_tlu_meicidpl_ns[2]),
+	.Y(n_8673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g679455 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [14]),
+	.B(n_32359),
+	.C_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [13]),
+	.Y(n_8672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g679456 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [27]),
+	.B(n_31392),
+	.C_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [26]),
+	.Y(n_8671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g679457 (
+	.A(brqrv_top_brqrv_dec_tlu_mstatus[1]),
+	.B(brqrv_top_brqrv_dec_tlu_mstatus_ns[1]),
+	.Y(n_8670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g679458 (
+	.A(brqrv_top_brqrv_dec_tlu_ic_diag_pkt[1]),
+	.B(brqrv_top_brqrv_dec_tlu_icache_rd_valid),
+	.X(n_8669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g679459 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[17]),
+	.B(n_31943),
+	.C(brqrv_top_brqrv_dec_dec_i0_instr_d[16]),
+	.Y(n_8668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g679460 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [31]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [30]),
+	.C_N(n_31059),
+	.Y(n_8667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g679461 (
+	.A1(n_6019),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[3]),
+	.B1(n_8192),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[1]),
+	.Y(n_8666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679462 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[4]),
+	.A2_N(n_6017),
+	.B1(n_8209),
+	.B2(n_31426),
+	.Y(n_8665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679463 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[65]),
+	.A2_N(n_6017),
+	.B1(n_8315),
+	.B2(n_31426),
+	.Y(n_8664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g679464 (
+	.A(brqrv_top_brqrv_mexintpend),
+	.B(brqrv_top_brqrv_dec_tlu_mip[2]),
+	.X(n_8663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g679465 (
+	.A1_N(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_n_37 ),
+	.A2_N(n_8182),
+	.B1(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_n_37 ),
+	.B2(n_8182),
+	.Y(n_8662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679466 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[72]),
+	.A2_N(n_8263),
+	.B1(n_8263),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[72]),
+	.Y(n_8661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679467 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[76]),
+	.A2_N(n_8156),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[76]),
+	.B2(n_8156),
+	.Y(n_8660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g679468 (
+	.A_N(n_31139),
+	.B(n_8467),
+	.C(n_31809),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g679469 (
+	.A_N(n_31136),
+	.B(n_8473),
+	.C(n_31800),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679470 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[49]),
+	.A2_N(n_8166),
+	.B1(n_8166),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[49]),
+	.Y(n_8659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679471 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[41]),
+	.A2_N(n_8271),
+	.B1(n_8271),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[41]),
+	.Y(n_8658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679472 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[88]),
+	.A2_N(n_8265),
+	.B1(n_8265),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[88]),
+	.Y(n_8657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g679473 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[44]),
+	.A2(n_8156),
+	.B1(n_8276),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[46]),
+	.Y(n_8656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g679474 (
+	.A1_N(n_31583),
+	.A2_N(n_32307),
+	.B1(n_31411),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3215),
+	.Y(n_8655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g679475 (
+	.A1(n_6006),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[57]),
+	.B1(n_6160),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[25]),
+	.X(n_8654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g679476 (
+	.A1(n_8262),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[85]),
+	.B1(n_8161),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[86]),
+	.Y(n_8653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g679477 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[89]),
+	.A2(n_8162),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[90]),
+	.B2(n_8170),
+	.Y(n_8652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g679478 (
+	.A1(n_8271),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[73]),
+	.B1(n_8158),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[74]),
+	.Y(n_8651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g679479 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[77]),
+	.A2(n_8275),
+	.B1(n_8276),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[78]),
+	.Y(n_8650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g679480 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[48]),
+	.A2(n_8164),
+	.B1(n_8270),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[50]),
+	.Y(n_8649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679481 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[48]),
+	.A2_N(n_8164),
+	.B1(n_8272),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[47]),
+	.Y(n_8648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679482 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[44]),
+	.A2_N(n_8156),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[43]),
+	.B2(n_8157),
+	.Y(n_8647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g679483 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[62]),
+	.A2(n_8266),
+	.B1(n_8259),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[63]),
+	.Y(n_8646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679484 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[52]),
+	.A2_N(n_8264),
+	.B1(n_8167),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[51]),
+	.Y(n_8645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g679485 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[126]),
+	.A2_N(n_8266),
+	.B1(n_8259),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[127]),
+	.Y(n_8644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g679486 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[121]),
+	.A2(n_8162),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[122]),
+	.B2(n_8170),
+	.Y(n_8643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g679487 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[109]),
+	.A2(n_8275),
+	.B1(n_8276),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[110]),
+	.Y(n_8642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g679488 (
+	.A1(n_8271),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[105]),
+	.B1(n_8158),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[106]),
+	.Y(n_8641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g679489 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[103]),
+	.A2(n_8269),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[105]),
+	.B2(n_8271),
+	.Y(n_8640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679490 (
+	.A1_N(n_8158),
+	.A2_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[10]),
+	.B1(n_8263),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[8]),
+	.Y(n_8639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g679491 (
+	.A1(n_8167),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[19]),
+	.B1(n_8161),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[22]),
+	.Y(n_8638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g679492 (
+	.A1(n_8269),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[7]),
+	.B1(n_8158),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[10]),
+	.Y(n_8637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g679493 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[23]),
+	.A2(n_8160),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[24]),
+	.B2(n_8265),
+	.Y(n_8636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679494 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[31]),
+	.A2_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[31]),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[31]),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[31]),
+	.Y(n_8635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g679495 (
+	.A1(n_8260),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[27]),
+	.B1(n_8266),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[30]),
+	.Y(n_8634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g679496 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[19]),
+	.A2(n_8167),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[20]),
+	.B2(n_8264),
+	.Y(n_8633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679497 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_ic_diag_pkt[0]),
+	.A2_N(n_32344),
+	.B1(brqrv_top_brqrv_dec_tlu_ic_diag_pkt[0]),
+	.B2(n_32344),
+	.Y(n_8632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g679498 (
+	.A1(n_8160),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[23]),
+	.B1(n_8170),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[26]),
+	.Y(n_8631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679499 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_fw_halted),
+	.A2_N(brqrv_top_brqrv_dec_tlu_fw_halted_ns),
+	.B1(brqrv_top_brqrv_dec_tlu_fw_halted),
+	.B2(brqrv_top_brqrv_dec_tlu_fw_halted_ns),
+	.Y(n_8630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679500 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_meicidpl[1]),
+	.A2_N(brqrv_top_brqrv_dec_tlu_meicidpl_ns[1]),
+	.B1(brqrv_top_brqrv_dec_tlu_meicidpl[1]),
+	.B2(brqrv_top_brqrv_dec_tlu_meicidpl_ns[1]),
+	.Y(n_8629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679501 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_meicidpl[0]),
+	.A2_N(brqrv_top_brqrv_dec_tlu_meicidpl_ns[0]),
+	.B1(brqrv_top_brqrv_dec_tlu_meicidpl[0]),
+	.B2(brqrv_top_brqrv_dec_tlu_meicidpl_ns[0]),
+	.Y(n_8628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679502 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mip[3]),
+	.A2_N(brqrv_top_brqrv_dec_tlu_dec_timer_t1_pulse),
+	.B1(brqrv_top_brqrv_dec_tlu_mip[3]),
+	.B2(brqrv_top_brqrv_dec_tlu_dec_timer_t1_pulse),
+	.Y(n_8627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g679503 (
+	.A1(n_6006),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[59]),
+	.B1(n_6160),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[27]),
+	.X(n_8626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g679504 (
+	.A1(n_6006),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[61]),
+	.B1(n_6160),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[29]),
+	.X(n_8625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g679505 (
+	.A1(n_6006),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[63]),
+	.B1(n_6160),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[31]),
+	.X(n_8624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g679506 (
+	.A1(n_8168),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[5]),
+	.B1(n_8268),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[6]),
+	.Y(n_8623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g679507 (
+	.A1(brqrv_top_brqrv_dec_tlu_mie[4]),
+	.A2(brqrv_top_brqrv_dec_tlu_mip[4]),
+	.B1(brqrv_top_brqrv_dec_tlu_mip[5]),
+	.B2(brqrv_top_brqrv_dec_tlu_mie[5]),
+	.Y(n_8622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g679508 (
+	.A1(n_8262),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[117]),
+	.B1(n_8161),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[118]),
+	.Y(n_8621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g679509 (
+	.A1(n_8166),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[113]),
+	.B1(n_8270),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[114]),
+	.Y(n_8620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g679510 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[13]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[13]),
+	.Y(n_8619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g679511 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mip[4]),
+	.A2_N(brqrv_top_brqrv_dec_tlu_dec_timer_t0_pulse),
+	.B1(brqrv_top_brqrv_dec_tlu_mip[4]),
+	.B2(brqrv_top_brqrv_dec_tlu_dec_timer_t0_pulse),
+	.Y(n_8618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679512 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[60]),
+	.A2_N(n_8163),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[60]),
+	.B2(n_8163),
+	.Y(n_8617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g679513 (
+	.A1(n_8156),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[12]),
+	.B1(n_8276),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[14]),
+	.Y(n_8616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g679514 (
+	.A1(n_8165),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[125]),
+	.B1(n_8259),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[127]),
+	.Y(n_8615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679515 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[45]),
+	.A2_N(n_8275),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[45]),
+	.B2(n_8275),
+	.Y(n_8614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g679516 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[17]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[17]),
+	.Y(n_8613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g679517 (
+	.A1_N(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_n_62 ),
+	.A2_N(n_32676),
+	.B1(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_n_62 ),
+	.B2(n_32676),
+	.Y(n_8612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g679518 (
+	.A1(n_8261),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[3]),
+	.B1(n_8268),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[6]),
+	.Y(n_8611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g679519 (
+	.A1_N(n_8270),
+	.A2_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[18]),
+	.B1(n_8270),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[18]),
+	.Y(n_8610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g679520 (
+	.A1(n_8264),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[52]),
+	.B1(n_8161),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[54]),
+	.Y(n_8609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679521 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[56]),
+	.A2_N(n_8265),
+	.B1(n_8160),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[55]),
+	.Y(n_8608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g679522 (
+	.A1(n_6006),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[56]),
+	.B1(n_6160),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[24]),
+	.X(n_8607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g679523 (
+	.A1(n_8265),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[56]),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[58]),
+	.B2(n_8170),
+	.Y(n_8606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g679524 (
+	.A1_N(n_8261),
+	.A2_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[35]),
+	.B1(n_8273),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[36]),
+	.Y(n_8605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g679525 (
+	.A1(brqrv_top_brqrv_dec_tlu_mie[2]),
+	.A2(brqrv_top_brqrv_dec_tlu_mip[2]),
+	.B1(brqrv_top_brqrv_dec_tlu_mip[3]),
+	.B2(brqrv_top_brqrv_dec_tlu_mie[3]),
+	.Y(n_8604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g679526 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[7]),
+	.A2(n_8269),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[9]),
+	.B2(n_8271),
+	.Y(n_8603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679527 (
+	.A1_N(n_8170),
+	.A2_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[26]),
+	.B1(n_8170),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[26]),
+	.Y(n_8602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679528 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[53]),
+	.A2_N(n_8262),
+	.B1(n_8262),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[53]),
+	.Y(n_8601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g679529 (
+	.A1(n_6006),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[62]),
+	.B1(n_6160),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[30]),
+	.X(n_8600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g679530 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[92]),
+	.A2(n_8163),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[94]),
+	.B2(n_8266),
+	.Y(n_8599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g679531 (
+	.A1(n_8163),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[28]),
+	.B1(n_8266),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[30]),
+	.Y(n_8598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679532 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[29]),
+	.A2_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[61]),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[29]),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[61]),
+	.Y(n_8597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g679533 (
+	.A1(n_6006),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[58]),
+	.B1(n_6160),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[26]),
+	.X(n_8596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 g679534 (
+	.A0(la_data_in[1]),
+	.A1(io_in[5]),
+	.S(la_oenb[1]),
+	.X(rx_i), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g679535 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[11]),
+	.A2(n_8157),
+	.B1(n_8276),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[14]),
+	.Y(n_8595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g679536 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[20]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[84]),
+	.Y(n_8594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g679537 (
+	.A1(n_8273),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[36]),
+	.B1(n_8268),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[38]),
+	.Y(n_8593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g679538 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[27]),
+	.A2(n_8260),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[30]),
+	.B2(n_8266),
+	.Y(n_8592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679539 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[124]),
+	.A2_N(n_8163),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[124]),
+	.B2(n_8163),
+	.Y(n_8591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g679540 (
+	.A1(n_6006),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[60]),
+	.B1(n_6160),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[28]),
+	.X(n_8590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g679541 (
+	.A1_N(n_8272),
+	.A2_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[15]),
+	.B1(n_8272),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[15]),
+	.Y(n_8589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g679542 (
+	.A1(n_8166),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[81]),
+	.B1(n_8270),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[82]),
+	.Y(n_8588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g679543 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[22]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[22]),
+	.Y(n_8587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679544 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[123]),
+	.A2_N(n_8260),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[123]),
+	.B2(n_8260),
+	.Y(n_8586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679545 (
+	.A1_N(n_8162),
+	.A2_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[25]),
+	.B1(n_8162),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[25]),
+	.Y(n_8585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679546 (
+	.A1_N(n_8265),
+	.A2_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[24]),
+	.B1(n_8265),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[24]),
+	.Y(n_8584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g679547 (
+	.A1_N(n_8262),
+	.A2_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[21]),
+	.B1(n_8262),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[21]),
+	.Y(n_8583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g679548 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[4]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[4]),
+	.Y(n_8582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679549 (
+	.A1_N(n_8263),
+	.A2_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[8]),
+	.B1(n_8263),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[8]),
+	.Y(n_8581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g679550 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[59]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[63]),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[28]),
+	.D(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[61]),
+	.Y(n_8580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g679551 (
+	.A(n_31832),
+	.B(n_31879),
+	.C(n_555),
+	.D(n_31070),
+	.X(n_8579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g679552 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[20]),
+	.B(n_31743),
+	.C(n_31131),
+	.D_N(brqrv_top_brqrv_dec_dec_i0_instr_d[21]),
+	.Y(n_8578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g679553 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[11]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[15]),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[6]),
+	.D(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[13]),
+	.Y(n_8577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g679554 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[39]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[35]),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[16]),
+	.D(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[37]),
+	.Y(n_8576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g679555 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[31]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[29]),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[14]),
+	.D(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[27]),
+	.Y(n_8575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g679556 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[43]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[47]),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[20]),
+	.D(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[45]),
+	.Y(n_8574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g679557 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[19]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[23]),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[8]),
+	.D(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[21]),
+	.Y(n_8573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g679558 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[2]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[3]),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[1]),
+	.D(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[3]),
+	.Y(n_8572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g679559 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[9]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[8]),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[7]),
+	.D(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[10]),
+	.Y(n_8571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g679560 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[55]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[53]),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[24]),
+	.D(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[51]),
+	.Y(n_8570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g679561 (
+	.A(n_8259),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[95]),
+	.X(n_8569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g679562 (
+	.A(n_8162),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[25]),
+	.X(n_8568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679563 (
+	.A1_N(n_8160),
+	.A2_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[23]),
+	.B1(n_8160),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[23]),
+	.Y(n_8567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g679564 (
+	.A1_N(n_8167),
+	.A2_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[19]),
+	.B1(n_8167),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[19]),
+	.Y(n_8566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g679565 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[19]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[83]),
+	.Y(n_8565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679566 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[112]),
+	.A2_N(n_8164),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[112]),
+	.B2(n_8164),
+	.Y(n_8564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g679567 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[91]),
+	.A2_N(n_8260),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[91]),
+	.B2(n_8260),
+	.Y(n_8563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679568 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[42]),
+	.A2_N(n_8158),
+	.B1(n_8158),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[42]),
+	.Y(n_8562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g679569 (
+	.A(n_8168),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[37]),
+	.X(n_8561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679570 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[59]),
+	.A2_N(n_8260),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[59]),
+	.B2(n_8260),
+	.Y(n_8560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679571 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[57]),
+	.A2_N(n_8162),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[57]),
+	.B2(n_8162),
+	.Y(n_8559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679572 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[116]),
+	.A2_N(n_8264),
+	.B1(n_8264),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[116]),
+	.Y(n_8558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679573 (
+	.A1_N(n_8271),
+	.A2_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[9]),
+	.B1(n_8271),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[9]),
+	.Y(n_8557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g679574 (
+	.A(n_8273),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[100]),
+	.X(n_8556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679575 (
+	.A1_N(n_8168),
+	.A2_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[5]),
+	.B1(n_8168),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[5]),
+	.Y(n_8555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679576 (
+	.A1_N(n_8165),
+	.A2_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[29]),
+	.B1(n_8165),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[29]),
+	.Y(n_8554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g679577 (
+	.A1_N(n_8166),
+	.A2_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[17]),
+	.B1(n_8166),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[17]),
+	.Y(n_8553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g679578 (
+	.A(n_8164),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[16]),
+	.X(n_8552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679579 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[108]),
+	.A2_N(n_8156),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[108]),
+	.B2(n_8156),
+	.Y(n_8551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g679580 (
+	.A(n_8168),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[69]),
+	.X(n_8550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679581 (
+	.A1_N(n_8275),
+	.A2_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[13]),
+	.B1(n_8275),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[13]),
+	.Y(n_8549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g679582 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[29]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[29]),
+	.Y(n_8548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679583 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[80]),
+	.A2_N(n_8164),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[80]),
+	.B2(n_8164),
+	.Y(n_8547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679584 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[120]),
+	.A2_N(n_8265),
+	.B1(n_8265),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[120]),
+	.Y(n_8546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g679585 (
+	.A(n_8263),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[104]),
+	.X(n_8545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679586 (
+	.A1_N(n_8158),
+	.A2_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[10]),
+	.B1(n_8158),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[10]),
+	.Y(n_8544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g679587 (
+	.A1(n_31583),
+	.A2(n_31140),
+	.B1(n_31584),
+	.B2(n_31141),
+	.Y(n_8710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 g679588 (
+	.A(brqrv_top_brqrv_exu_i0_predict_p_x[37]),
+	.B(n_31288),
+	.C_N(brqrv_top_brqrv_exu_i0_predict_p_x[54]),
+	.X(n_8709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g679589 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_ff [2]),
+	.X(n_8708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g679590 (
+	.A1(n_8178),
+	.A2(n_31388),
+	.B1(n_8193),
+	.B2(n_31387),
+	.Y(n_8707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g679591 (
+	.A0(brqrv_top_brqrv_lsu_lsu_pkt_r[6]),
+	.A1(n_31401),
+	.S(n_31408),
+	.Y(n_32364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g679592 (
+	.A1(n_32455),
+	.A2(n_31493),
+	.B1(n_31446),
+	.B2(n_31491),
+	.Y(n_8706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g679593 (
+	.A1(n_31583),
+	.A2(n_31493),
+	.B1(n_31584),
+	.B2(n_31491),
+	.Y(n_8705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g679594 (
+	.A1(n_31581),
+	.A2(n_31140),
+	.B1(n_31580),
+	.B2(n_31141),
+	.Y(n_8704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g679595 (
+	.A1(n_32455),
+	.A2(n_31140),
+	.B1(n_31446),
+	.B2(n_31141),
+	.Y(n_8703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g679596 (
+	.A1(n_31581),
+	.A2(n_31137),
+	.B1(n_31580),
+	.B2(n_31138),
+	.Y(n_8702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679597 (
+	.A1_N(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.A2_N(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.B1(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.B2(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Y(n_8701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679598 (
+	.A1_N(brqrv_top_brqrv_exu_n_1748),
+	.A2_N(brqrv_top_brqrv_exu_pmu_i0_pc4),
+	.B1(brqrv_top_brqrv_exu_n_1748),
+	.B2(brqrv_top_brqrv_exu_pmu_i0_pc4),
+	.Y(n_8700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g679599 (
+	.A1(n_31583),
+	.A2(n_31137),
+	.B1(n_31584),
+	.B2(n_31138),
+	.Y(n_8699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g679600 (
+	.A1(n_32455),
+	.A2(n_31137),
+	.B1(n_31446),
+	.B2(n_31138),
+	.Y(n_8698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g679601 (
+	.A1(n_31581),
+	.A2(n_31493),
+	.B1(n_31580),
+	.B2(n_31491),
+	.Y(n_8697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g679602 (
+	.A_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[5]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[3]),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[4]),
+	.Y(n_8696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g679603 (
+	.A_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[8]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[6]),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[7]),
+	.Y(n_8694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679604 (
+	.A1_N(brqrv_top_brqrv_exu_predpipe_x[16]),
+	.A2_N(n_32458),
+	.B1(brqrv_top_brqrv_exu_predpipe_x[16]),
+	.B2(n_32458),
+	.Y(n_8692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679605 (
+	.A1_N(brqrv_top_brqrv_exu_i0_br_index_r[7]),
+	.A2_N(n_7870),
+	.B1(n_31310),
+	.B2(n_7870),
+	.Y(n_8691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g679606 (
+	.A1(n_32706),
+	.A2(n_8258),
+	.B1(n_7870),
+	.B2(brqrv_top_brqrv_exu_i0_br_index_r[3]),
+	.Y(n_8690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g679607 (
+	.A_N(brqrv_top_brqrv_dec_tlu_flush_lower_wb),
+	.B(brqrv_top_brqrv_dec_tlu_n_3314),
+	.C(brqrv_top_brqrv_dec_decode_r_t[7]),
+	.Y(n_8689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g679608 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_ff [4]),
+	.B1(n_8385),
+	.Y(n_8543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g679609 (
+	.A1(n_32706),
+	.A2(n_8282),
+	.B1(n_7870),
+	.B2(brqrv_top_brqrv_exu_i0_br_index_r[2]),
+	.Y(n_8688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g679610 (
+	.A1_N(brqrv_top_brqrv_exu_predpipe_x[15]),
+	.A2_N(n_32459),
+	.B1(brqrv_top_brqrv_exu_predpipe_x[15]),
+	.B2(n_32459),
+	.Y(n_8686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g679611 (
+	.A_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[2]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[0]),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[1]),
+	.Y(n_8685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g679612 (
+	.A1(n_32706),
+	.A2(n_8154),
+	.B1(n_7870),
+	.B2(brqrv_top_brqrv_exu_i0_br_index_r[4]),
+	.Y(n_8683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g679613 (
+	.A1(n_32706),
+	.A2(n_8256),
+	.B1(n_7870),
+	.B2(brqrv_top_brqrv_exu_i0_br_index_r[6]),
+	.Y(n_8681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g679614 (
+	.A1(n_32706),
+	.A2(n_8151),
+	.B1(n_7870),
+	.B2(brqrv_top_brqrv_exu_i0_br_index_r[8]),
+	.Y(n_8679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g679615 (
+	.A1(n_32706),
+	.A2(n_8155),
+	.B1(n_7870),
+	.B2(brqrv_top_brqrv_exu_i0_br_index_r[5]),
+	.Y(n_8677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g679616 (
+	.A(n_8538),
+	.Y(n_8539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g679619 (
+	.A(n_8506),
+	.Y(n_8507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679620 (
+	.A(n_8504),
+	.Y(n_32258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g679621 (
+	.A(n_8502),
+	.Y(n_8503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g679624 (
+	.A(n_32277),
+	.Y(n_8501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679625 (
+	.A(n_8500),
+	.Y(n_8499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679626 (
+	.A(n_8498),
+	.Y(n_8497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g679627 (
+	.A(n_8495),
+	.Y(n_8494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g679628 (
+	.A(n_31101),
+	.Y(n_8493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g679629 (
+	.A(n_8492),
+	.Y(n_8491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g679630 (
+	.A(n_8490),
+	.Y(n_8489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g679631 (
+	.A(n_32259),
+	.Y(n_8488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g679632 (
+	.A(n_8487),
+	.Y(n_8486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g679633 (
+	.A(n_8485),
+	.Y(n_8484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g679634 (
+	.A(n_8483),
+	.Y(n_32267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g679635 (
+	.A(n_8482),
+	.Y(n_8481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g679636 (
+	.A(n_8480),
+	.Y(n_8479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g679637 (
+	.A(n_8478),
+	.Y(n_32275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g679638 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_i0_predict_p_x[40]),
+	.X(brqrv_top_brqrv_exu_mp_pkt[40]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679639 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[9]),
+	.B(n_31080),
+	.Y(n_8474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679640 (
+	.A(n_32777),
+	.B_N(n_33103),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g679641 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_i0_predict_p_x[49]),
+	.X(brqrv_top_brqrv_exu_mp_pkt[49]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679642 (
+	.A(n_32777),
+	.B_N(n_33111),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679643 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3227),
+	.B(n_31413),
+	.Y(n_8473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679644 (
+	.A(brqrv_top_brqrv_dec_tlu_minstretl_cout),
+	.B(brqrv_top_brqrv_dec_tlu_i0_valid_no_ebreak_ecall_r),
+	.Y(n_8472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g679645 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_i0_predict_p_x[45]),
+	.X(brqrv_top_brqrv_exu_mp_pkt[45]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679646 (
+	.A(n_32777),
+	.B_N(n_33104),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679647 (
+	.A(n_32777),
+	.B_N(brqrv_top_brqrv_exu_i0_rs2_d[2]),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679648 (
+	.A(brqrv_top_brqrv_dec_decode_x_d[0]),
+	.B(brqrv_top_brqrv_dec_decode_postsync_stall),
+	.Y(n_8471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679649 (
+	.A(n_32777),
+	.B_N(n_33096),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679650 (
+	.A(n_33746),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_30940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679651 (
+	.A(n_32777),
+	.B_N(brqrv_top_brqrv_exu_i0_rs2_d[3]),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679652 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3227),
+	.B(n_31409),
+	.Y(n_8470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679653 (
+	.A(n_33763),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_30970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679654 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[40]),
+	.B(n_8263),
+	.Y(n_8469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679655 (
+	.A(n_31392),
+	.B_N(n_31393),
+	.Y(n_8468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g679656 (
+	.A_N(n_31000),
+	.B(n_31400),
+	.Y(n_30999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g679657 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_i0_predict_p_x[42]),
+	.X(brqrv_top_brqrv_exu_mp_pkt[42]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679658 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3311),
+	.B(n_31413),
+	.Y(n_8467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679659 (
+	.A(n_32777),
+	.B_N(n_33117),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679660 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[8]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[9]),
+	.Y(n_8466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g679661 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_i0_predict_p_x[39]),
+	.X(brqrv_top_brqrv_exu_mp_pkt[39]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679662 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[85]),
+	.B(n_8262),
+	.Y(n_8465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679663 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[101]),
+	.B(n_8168),
+	.Y(n_8464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g679664 (
+	.A_N(brqrv_top_brqrv_lsu_lsu_pkt_r[10]),
+	.B(n_32218),
+	.Y(n_8463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679666 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[22]),
+	.B(n_31074),
+	.Y(n_8462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679667 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[25]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[26]),
+	.Y(n_8461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679668 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[102]),
+	.B(n_8268),
+	.Y(n_8460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679669 (
+	.A(n_33759),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_30968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679670 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[29]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[30]),
+	.Y(n_8459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679671 (
+	.A(n_32777),
+	.B_N(brqrv_top_brqrv_exu_i0_rs2_d[4]),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g679672 (
+	.A_N(n_31490),
+	.B(n_31505),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679673 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3311),
+	.B(n_31411),
+	.Y(n_8458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679674 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[13]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[12]),
+	.Y(n_8457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g679675 (
+	.A(n_39182),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.sv2v_tmp_BEF15 [5]),
+	.X(brqrv_top_brqrv_ifu_ifu_bp_pc4_f[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679676 (
+	.A(n_33747),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_30936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679677 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3311),
+	.B(n_31409),
+	.Y(n_8456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679678 (
+	.A(n_32777),
+	.B_N(n_33107),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679679 (
+	.A(n_32777),
+	.B_N(n_33119),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679680 (
+	.A(n_8269),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[7]),
+	.Y(n_8455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679681 (
+	.A(n_29908),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme3[9]),
+	.Y(n_8454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679682 (
+	.A(n_31391),
+	.B_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [23]),
+	.Y(n_8453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679683 (
+	.A(n_32777),
+	.B_N(n_33126),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679684 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[5]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[4]),
+	.Y(n_8452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679685 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_en[3]),
+	.B(n_31415),
+	.Y(n_8451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679686 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[68]),
+	.B(n_8273),
+	.Y(n_8450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679687 (
+	.A(n_32574),
+	.B(n_32579),
+	.Y(n_8449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679688 (
+	.A(n_32581),
+	.B(n_32572),
+	.Y(n_8448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679690 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [18]),
+	.Y(n_30947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g679691 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_i0_predict_p_x[48]),
+	.X(brqrv_top_brqrv_exu_mp_pkt[48]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679692 (
+	.A(n_31078),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[1]),
+	.Y(n_8447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679693 (
+	.A(n_32355),
+	.B_N(n_32356),
+	.Y(n_8446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679694 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[99]),
+	.B(n_8261),
+	.Y(n_8445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679695 (
+	.A(n_33755),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_30980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679697 (
+	.A(n_29908),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme5[9]),
+	.Y(n_8444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679698 (
+	.A(n_31576),
+	.B(brqrv_top_brqrv_ifu_aln_f1val[1]),
+	.Y(n_32176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679700 (
+	.A(n_33754),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_30946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679701 (
+	.A(n_32777),
+	.B_N(n_33100),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679702 (
+	.A(n_32777),
+	.B_N(n_33097),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g679703 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_i0_predict_p_x[47]),
+	.X(brqrv_top_brqrv_exu_mp_pkt[47]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679704 (
+	.A(n_33741),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_30988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679706 (
+	.A(n_32777),
+	.B_N(n_33112),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679707 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[10]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[9]),
+	.Y(n_8443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g679708 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_fir_dccm_access_error_m),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_fir_nondccm_access_error_m),
+	.X(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_fir_error_m [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679709 (
+	.A(n_8262),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[21]),
+	.Y(n_8442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679711 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [28]),
+	.Y(n_30979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g679712 (
+	.A_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [17]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [18]),
+	.Y(n_8441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679714 (
+	.A(n_33765),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_30942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679719 (
+	.A(n_33764),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_30978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679720 (
+	.A(n_33742),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_30990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679721 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [9]),
+	.Y(n_30939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g679722 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel_cout_f),
+	.B(brqrv_top_brqrv_dec_tlu_wr_mcycleh_r),
+	.X(brqrv_top_brqrv_dec_tlu_n_3325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679723 (
+	.A(n_33760),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_30948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679724 (
+	.A(n_8270),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[18]),
+	.Y(n_8440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g679725 (
+	.A_N(n_31948),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [17]),
+	.Y(n_8542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679726 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [8]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [7]),
+	.Y(n_8541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679727 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [18]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [17]),
+	.Y(n_8540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g679728 (
+	.A_N(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[6]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[4]),
+	.Y(n_8538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g679729 (
+	.A_N(n_32498),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [16]),
+	.Y(n_8537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g679730 (
+	.A(n_8186),
+	.B(n_31389),
+	.X(n_8536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679731 (
+	.A(n_32094),
+	.B_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [21]),
+	.Y(n_8535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679732 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [7]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [8]),
+	.Y(n_8534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679733 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[6]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[4]),
+	.Y(n_8533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679734 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[4]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[6]),
+	.Y(n_8532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679735 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [7]),
+	.B_N(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [8]),
+	.Y(n_8531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679737 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[4]),
+	.B_N(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[6]),
+	.Y(n_8530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679738 (
+	.A(n_31310),
+	.B(n_31507),
+	.Y(n_8529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679739 (
+	.A(n_31432),
+	.B_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[2]),
+	.Y(n_8528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679740 (
+	.A(n_31438),
+	.B_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[1]),
+	.Y(n_8527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679741 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [5]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [4]),
+	.Y(n_8526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679742 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [4]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [5]),
+	.Y(n_8525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679743 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_predpipe_x[13]),
+	.Y(n_8439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679744 (
+	.A(n_32777),
+	.B_N(n_32365),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679745 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme3[9]),
+	.B_N(brqrv_top_brqrv_dec_tlu_mhpme3[4]),
+	.Y(n_8524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679746 (
+	.A(n_8185),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme4[4]),
+	.Y(n_8523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679747 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [30]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [31]),
+	.Y(n_8522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679748 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3467),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_7543),
+	.Y(n_8521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679749 (
+	.A(brqrv_top_brqrv_exu_pmu_i0_pc4),
+	.B(n_31468),
+	.Y(n_8520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679750 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme6[9]),
+	.B_N(brqrv_top_brqrv_dec_tlu_mhpme6[4]),
+	.Y(n_8519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679751 (
+	.A(n_31383),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_valid_ff ),
+	.Y(n_8518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679752 (
+	.A(n_30260),
+	.B(n_31571),
+	.Y(n_8517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679753 (
+	.A(n_31816),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_7535),
+	.Y(n_8516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679754 (
+	.A(n_29929),
+	.B(n_31571),
+	.Y(n_8515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679755 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme4[4]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme4[5]),
+	.Y(n_8514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679756 (
+	.A(brqrv_top_brqrv_dec_tlu_n_3314),
+	.B(brqrv_top_brqrv_exu_i0_br_mp_r),
+	.Y(n_8513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679757 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme6[5]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme6[4]),
+	.Y(n_8512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g679758 (
+	.A(brqrv_top_brqrv_dec_tlu_n_3314),
+	.B(brqrv_top_brqrv_dec_tlu_lsu_pmu_load_external_r),
+	.X(n_8511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g679759 (
+	.A_N(n_32543),
+	.B(brqrv_top_brqrv_dec_dec_ib0_valid_d),
+	.Y(n_8510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679760 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[2]),
+	.B_N(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[3]),
+	.Y(n_8509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679761 (
+	.A(n_31582),
+	.B(n_31532),
+	.Y(n_8508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679762 (
+	.A(n_8294),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme5[4]),
+	.Y(n_8506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679763 (
+	.A(n_31573),
+	.B(n_8298),
+	.Y(n_8505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679764 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme4[3]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme4[0]),
+	.Y(n_8504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679765 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_ff [3]),
+	.Y(n_8502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679766 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme3[3]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme3[0]),
+	.Y(n_32266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679767 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme6[3]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme6[0]),
+	.Y(n_32274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g679768 (
+	.A_N(brqrv_top_brqrv_dec_tlu_mhpme6[1]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme6[2]),
+	.Y(n_32277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679769 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[2]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[3]),
+	.Y(n_8500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679770 (
+	.A(brqrv_top_brqrv_exu_i0_br_valid_r),
+	.B(brqrv_top_brqrv_exu_n_1745),
+	.Y(n_8498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679771 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [3]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [2]),
+	.Y(n_8496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g679772 (
+	.A_N(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [2]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [3]),
+	.Y(n_8495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g679773 (
+	.A_N(brqrv_top_brqrv_dec_tlu_mhpme5[1]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme5[2]),
+	.Y(n_31101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g679774 (
+	.A_N(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [3]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [2]),
+	.Y(n_8492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679775 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme5[2]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme5[1]),
+	.Y(n_8490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g679776 (
+	.A_N(brqrv_top_brqrv_dec_tlu_mhpme4[1]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme4[2]),
+	.Y(n_32259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679777 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme6[1]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme6[2]),
+	.Y(n_8487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679778 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme3[1]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme3[2]),
+	.Y(n_8485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679779 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme3[2]),
+	.B_N(brqrv_top_brqrv_dec_tlu_mhpme3[1]),
+	.Y(n_8483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679780 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme4[2]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme4[1]),
+	.Y(n_8482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679781 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme4[1]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme4[2]),
+	.Y(n_8480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679782 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme6[2]),
+	.B_N(brqrv_top_brqrv_dec_tlu_mhpme6[1]),
+	.Y(n_8478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679783 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_i0_predict_p_x[50]),
+	.Y(n_8477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_4 g679785 (
+	.A(n_30469),
+	.B(n_8250),
+	.X(n_8475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g679787 (
+	.A(n_8423),
+	.Y(n_8424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g679788 (
+	.A(n_8419),
+	.Y(n_8420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g679789 (
+	.A(n_8416),
+	.Y(n_8417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679790 (
+	.A(n_8414),
+	.Y(n_8415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679791 (
+	.A(n_8410),
+	.Y(n_8409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g679792 (
+	.A(n_8390),
+	.Y(n_31100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679793 (
+	.A(n_8389),
+	.Y(n_8388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g679794 (
+	.A(n_8387),
+	.Y(n_8386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679795 (
+	.A(n_8384),
+	.Y(n_8383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g679796 (
+	.A(n_8382),
+	.Y(n_8381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g679797 (
+	.A(n_8380),
+	.Y(n_31104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g679798 (
+	.A(n_32268),
+	.Y(n_8379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679799 (
+	.A(n_8378),
+	.Y(n_32262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g679800 (
+	.A(n_8377),
+	.Y(n_8376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g679801 (
+	.A(n_8375),
+	.Y(n_32270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g679802 (
+	.A(n_8374),
+	.Y(n_32279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g679803 (
+	.A(n_8373),
+	.Y(n_8372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g679804 (
+	.A(n_8371),
+	.Y(n_8370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679805 (
+	.A(n_8369),
+	.Y(n_8368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g679806 (
+	.A(n_8367),
+	.Y(n_8366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g679807 (
+	.A(n_8365),
+	.Y(n_8364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g679808 (
+	.A(n_8363),
+	.Y(n_8362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g679809 (
+	.A(n_8361),
+	.Y(n_31099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679810 (
+	.A(n_32257),
+	.Y(n_8360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679813 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[35]),
+	.B(n_8261),
+	.Y(n_8357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679814 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_valid),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_nosend),
+	.Y(n_8356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679815 (
+	.A(n_29908),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme6[9]),
+	.Y(n_8355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g679817 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_i0_predict_p_x[38]),
+	.X(brqrv_top_brqrv_exu_mp_pkt[38]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679818 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [3]),
+	.Y(n_30963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679819 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[6]),
+	.B(n_31082),
+	.Y(n_8354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679820 (
+	.A(n_8253),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [3]),
+	.Y(n_8353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679823 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [7]),
+	.Y(n_30957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679824 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [23]),
+	.Y(n_30969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679825 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [19]),
+	.Y(n_30981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679826 (
+	.A(n_32777),
+	.B_N(n_33115),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679827 (
+	.A(n_33739),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_30962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679829 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[21]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[22]),
+	.Y(n_8352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679831 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_7535),
+	.B(n_8148),
+	.Y(n_8351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679832 (
+	.A(n_33750),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_30952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679833 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [27]),
+	.Y(n_30971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679834 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [6]),
+	.Y(n_30991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679835 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [14]),
+	.Y(n_30953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679836 (
+	.A(brqrv_top_brqrv_dec_tlu_bpred_disable),
+	.B_N(\brqrv_top_brqrv_ifu_bpred.bp_vwayhit_f [0]),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_valid_f[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679837 (
+	.A(n_33745),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_30938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679840 (
+	.A(brqrv_top_brqrv_dec_tlu_bpred_disable),
+	.B_N(n_39182),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_valid_f[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679841 (
+	.A(n_31383),
+	.B(n_31488),
+	.Y(n_8350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679843 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[70]),
+	.B(n_8268),
+	.Y(n_8349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679844 (
+	.A(n_32777),
+	.B_N(n_33127),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679845 (
+	.A(n_31814),
+	.B_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_nosend),
+	.Y(n_8348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679846 (
+	.A(n_32777),
+	.B_N(n_33123),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679848 (
+	.A(n_32777),
+	.B_N(n_33122),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679850 (
+	.A(n_32777),
+	.B_N(n_33118),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g679851 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_i0_predict_p_x[41]),
+	.X(brqrv_top_brqrv_exu_mp_pkt[41]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g679852 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_i0_predict_p_x[46]),
+	.X(brqrv_top_brqrv_exu_mp_pkt[46]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679853 (
+	.A(n_32777),
+	.B_N(n_33114),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679854 (
+	.A(n_32777),
+	.B_N(n_33110),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679856 (
+	.A(n_32777),
+	.B_N(n_33105),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679857 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[5]),
+	.B(n_8168),
+	.Y(n_8347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679859 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [24]),
+	.Y(n_30949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679864 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_en[3]),
+	.B(n_31411),
+	.Y(n_8346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679865 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [10]),
+	.Y(n_30941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679866 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [29]),
+	.Y(n_30943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679867 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [5]),
+	.Y(n_30989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679868 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [1]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [0]),
+	.Y(n_8345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679869 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [3]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [2]),
+	.Y(n_8344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g679870 (
+	.A_N(n_31426),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[7]),
+	.Y(n_8343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679871 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[17]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[18]),
+	.Y(n_8342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679872 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [11]),
+	.Y(n_30937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g679873 (
+	.A_N(brqrv_top_brqrv_ifu_ifc_fetch_req_f),
+	.B(n_31507),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_n_6610 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g679875 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_i0_predict_p_x[43]),
+	.X(brqrv_top_brqrv_exu_mp_pkt[43]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679876 (
+	.A(n_8163),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[92]),
+	.Y(n_8341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679877 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[93]),
+	.B(n_8165),
+	.Y(n_8340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679878 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_en[3]),
+	.B(n_31409),
+	.Y(n_8339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679880 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3227),
+	.B(n_31415),
+	.Y(n_8338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g679882 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_i0_predict_p_x[44]),
+	.X(brqrv_top_brqrv_exu_mp_pkt[44]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679883 (
+	.A(n_33743),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.Y(n_30956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679884 (
+	.A(n_32777),
+	.B_N(n_33109),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679885 (
+	.A(n_32777),
+	.B_N(n_33106),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679886 (
+	.A(brqrv_top_brqrv_dec_tlu_n_4585),
+	.B(n_31511),
+	.Y(brqrv_top_brqrv_dec_tlu_n_3324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679887 (
+	.A(n_32777),
+	.B_N(n_33113),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679888 (
+	.A(n_31436),
+	.B_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[3]),
+	.Y(n_8438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679889 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_predpipe_x[19]),
+	.Y(n_8437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679890 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_ff [2]),
+	.Y(n_8436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679891 (
+	.A(n_8257),
+	.B(n_31396),
+	.Y(n_8435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g679892 (
+	.A_N(brqrv_top_brqrv_dec_tlu_mhpme5[4]),
+	.B(n_8279),
+	.Y(n_31103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679893 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [8]),
+	.B_N(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [7]),
+	.Y(n_8434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679894 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_ff [3]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.Y(n_8433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679895 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [22]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [21]),
+	.Y(n_8432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679896 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_predpipe_x[18]),
+	.Y(n_8337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g679897 (
+	.A_N(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [4]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [5]),
+	.Y(n_8431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679898 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_predpipe_x[17]),
+	.Y(n_8430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679899 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme3[5]),
+	.B(n_8283),
+	.Y(n_8429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679900 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme6[5]),
+	.B(n_8174),
+	.Y(n_8428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679901 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [11]),
+	.B(n_32496),
+	.Y(n_8427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679903 (
+	.A(n_32777),
+	.B_N(brqrv_top_brqrv_exu_i0_rs2_d[31]),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g679904 (
+	.A_N(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [5]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [4]),
+	.Y(n_8426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679905 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_predpipe_x[14]),
+	.Y(n_8336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g679906 (
+	.A_N(n_32177),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [24]),
+	.Y(n_8425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679907 (
+	.A(n_32459),
+	.B(n_32461),
+	.Y(n_8423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679908 (
+	.A(n_32461),
+	.B(n_32460),
+	.Y(n_8422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679909 (
+	.A(n_32459),
+	.B(n_31311),
+	.Y(n_8421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679910 (
+	.A(n_32460),
+	.B(n_31311),
+	.Y(n_8419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679911 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [3]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [1]),
+	.Y(n_8418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679912 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [24]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [25]),
+	.Y(n_8416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679913 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [17]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [18]),
+	.Y(n_8414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679914 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [3]),
+	.B(n_8159),
+	.Y(n_8413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679915 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3460),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_7539),
+	.Y(n_8412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679916 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_done),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_cmd_done),
+	.Y(n_8411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679917 (
+	.A(n_8178),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [1]),
+	.Y(n_8410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679918 (
+	.A(n_31384),
+	.B(n_31468),
+	.Y(n_8408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g679919 (
+	.A_N(n_30997),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [8]),
+	.Y(n_8407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679920 (
+	.A(n_31076),
+	.B(n_8148),
+	.Y(n_8406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679921 (
+	.A(n_8152),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme4[5]),
+	.Y(n_8405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679923 (
+	.A(brqrv_top_brqrv_dec_i0_decode_d),
+	.B(n_32779),
+	.Y(n_8404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679924 (
+	.A(n_29912),
+	.B(n_31571),
+	.Y(n_8403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679925 (
+	.A(brqrv_top_brqrv_dec_tlu_n_3314),
+	.B(brqrv_top_brqrv_exu_pmu_i0_br_ataken),
+	.Y(n_8402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679926 (
+	.A(brqrv_top_brqrv_dec_dec_ib0_valid_d),
+	.B(brqrv_top_brqrv_dec_decode_postsync_stall),
+	.Y(n_8401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679927 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [3]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [2]),
+	.Y(n_8400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679928 (
+	.A(n_30518),
+	.B(brqrv_top_brqrv_dec_dec_ib0_valid_d),
+	.Y(n_8399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679929 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[3]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[2]),
+	.Y(n_8398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679930 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[3]),
+	.B_N(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[2]),
+	.Y(n_8397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679931 (
+	.A(n_8267),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [2]),
+	.Y(n_8396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679932 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_ff [0]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.Y(n_8395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679933 (
+	.A(n_32356),
+	.B(n_32355),
+	.Y(n_8394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679934 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme3[5]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme3[4]),
+	.Y(n_8393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679935 (
+	.A(brqrv_top_brqrv_dec_tlu_n_3314),
+	.B(brqrv_top_brqrv_dec_tlu_lsu_pmu_store_external_r),
+	.Y(n_8392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679936 (
+	.A(n_8255),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme5[3]),
+	.Y(n_8391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679937 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme5[3]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme5[0]),
+	.Y(n_8390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679938 (
+	.A(n_8284),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [1]),
+	.Y(n_8389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679939 (
+	.A(n_8277),
+	.B(n_8253),
+	.Y(n_8387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679940 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_ff [4]),
+	.Y(n_8385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679941 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme6[0]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme6[3]),
+	.Y(n_8384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679942 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme3[3]),
+	.B(n_8283),
+	.Y(n_8382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679943 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme5[3]),
+	.B(n_8255),
+	.Y(n_8380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g679944 (
+	.A_N(brqrv_top_brqrv_dec_tlu_mhpme3[1]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme3[2]),
+	.Y(n_32268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679945 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme4[3]),
+	.B(n_8152),
+	.Y(n_8378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679946 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme6[3]),
+	.B(n_8174),
+	.Y(n_8377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679947 (
+	.A(n_8283),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme3[3]),
+	.Y(n_8375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679948 (
+	.A(n_8174),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme6[3]),
+	.Y(n_8374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679949 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme3[0]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme3[3]),
+	.Y(n_8373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679950 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [2]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [3]),
+	.Y(n_8371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679951 (
+	.A(brqrv_top_brqrv_exu_i0_br_valid_r),
+	.B(brqrv_top_brqrv_exu_n_1746),
+	.Y(n_8369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679952 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme3[2]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme3[1]),
+	.Y(n_8367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679953 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme6[2]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme6[1]),
+	.Y(n_8365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679954 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme5[1]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme5[2]),
+	.Y(n_8363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g679955 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme5[2]),
+	.B_N(brqrv_top_brqrv_dec_tlu_mhpme5[1]),
+	.Y(n_8361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g679956 (
+	.A_N(brqrv_top_brqrv_dec_tlu_mhpme4[2]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme4[1]),
+	.Y(n_32257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g679957 (
+	.A(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.B(brqrv_top_brqrv_dec_tlu_dbg_halted),
+	.Y(n_8359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g679958 (
+	.A(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.B(brqrv_top_brqrv_exu_i0_predict_p_x[51]),
+	.Y(n_8358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679959 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [409]),
+	.Y(n_8335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679960 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [377]),
+	.Y(n_8334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g679961 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[96]),
+	.Y(n_8333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679962 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [63]),
+	.Y(n_8332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679963 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [185]),
+	.Y(n_8331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679966 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [297]),
+	.Y(n_8328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679967 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [153]),
+	.Y(n_8327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679969 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [59]),
+	.Y(n_8325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g679970 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[32]),
+	.Y(n_8324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679972 (
+	.A(brqrv_top_brqrv_dec_tlu_mdseac_locked_ns),
+	.Y(n_8322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679973 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [319]),
+	.Y(n_8321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679974 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [313]),
+	.Y(n_8320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679975 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [315]),
+	.Y(n_8319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g679979 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[97]),
+	.Y(n_8315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679980 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [61]),
+	.Y(n_8314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679981 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [89]),
+	.Y(n_8313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679984 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [301]),
+	.Y(n_8310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679985 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [51]),
+	.Y(n_8309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679986 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [281]),
+	.Y(n_8308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679987 (
+	.A(n_32361),
+	.Y(n_8307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679988 (
+	.A(n_32495),
+	.Y(n_8306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679989 (
+	.A(n_31419),
+	.Y(n_8305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679990 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [18]),
+	.Y(n_8304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679991 (
+	.A(n_31383),
+	.Y(n_8303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679992 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [19]),
+	.Y(n_8302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g679993 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[0]),
+	.Y(n_8301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679994 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [22]),
+	.Y(n_8300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679995 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [18]),
+	.Y(n_8299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g679996 (
+	.A(n_31575),
+	.Y(n_8298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g679997 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [10]),
+	.Y(n_8297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g679998 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_ff [5]),
+	.Y(n_8296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g679999 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [8]),
+	.Y(n_8295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g680000 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme5[9]),
+	.Y(n_8294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g680001 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [12]),
+	.Y(n_8293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g680004 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [5]),
+	.Y(n_8292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g680006 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [13]),
+	.Y(n_8291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g680009 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [19]),
+	.Y(n_8290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680010 (
+	.A(n_31077),
+	.Y(n_8289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680011 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme4[5]),
+	.Y(n_8288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g680012 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme6[5]),
+	.Y(n_8287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g680013 (
+	.A(n_31078),
+	.Y(n_8286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g680015 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [0]),
+	.Y(n_8284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g680016 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme3[0]),
+	.Y(n_8283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g680017 (
+	.A(n_32462),
+	.Y(n_8282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g680018 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [7]),
+	.Y(n_8281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g680019 (
+	.A(n_32440),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680020 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme5[5]),
+	.Y(n_8279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680022 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [0]),
+	.Y(n_8277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680023 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[14]),
+	.Y(n_8276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680024 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[13]),
+	.Y(n_8275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680025 (
+	.A(n_31531),
+	.Y(brqrv_top_brqrv_exu_mp_pkt[32]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680026 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[4]),
+	.Y(n_8273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680027 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[15]),
+	.Y(n_8272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680028 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[9]),
+	.Y(n_8271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680029 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[18]),
+	.Y(n_8270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680030 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[7]),
+	.Y(n_8269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680031 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[6]),
+	.Y(n_8268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680032 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [3]),
+	.Y(n_8267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680033 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[30]),
+	.Y(n_8266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680034 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[24]),
+	.Y(n_8265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680035 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[20]),
+	.Y(n_8264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680036 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[8]),
+	.Y(n_8263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680037 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[21]),
+	.Y(n_8262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680038 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[3]),
+	.Y(n_8261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680039 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[27]),
+	.Y(n_8260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680040 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[31]),
+	.Y(n_8259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g680041 (
+	.A(n_32460),
+	.Y(n_8258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g680042 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [3]),
+	.Y(n_8257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680043 (
+	.A(n_31311),
+	.Y(n_8256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680044 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme5[0]),
+	.Y(n_8255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g680045 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.Y(n_8254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680046 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [1]),
+	.Y(n_8253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g680047 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.Y(n_8252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680048 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_valid_ff ),
+	.Y(n_8251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_12 g680050 (
+	.A(n_32248),
+	.Y(n_8250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g680052 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [299]),
+	.Y(n_8248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g680057 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [441]),
+	.Y(n_8243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g680059 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [41]),
+	.Y(n_8241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g680060 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [317]),
+	.Y(n_8240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g680063 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [318]),
+	.Y(n_8237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g680065 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [57]),
+	.Y(n_8235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g680066 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [43]),
+	.Y(n_8234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g680067 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [505]),
+	.Y(n_8233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g680068 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [345]),
+	.Y(n_8232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g680071 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [249]),
+	.Y(n_8229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g680072 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [60]),
+	.Y(n_8228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g680075 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [49]),
+	.Y(n_8225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g680076 (
+	.A(n_31646),
+	.Y(brqrv_top_brqrv_i0_ap[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g680078 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [25]),
+	.Y(n_8223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g680079 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [45]),
+	.Y(n_8222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g680080 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [305]),
+	.Y(n_8221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g680082 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[5]),
+	.Y(n_8219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g680083 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [307]),
+	.Y(n_8218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g680084 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [316]),
+	.Y(n_8217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g680085 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [53]),
+	.Y(n_8216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g680088 (
+	.A(brqrv_top_brqrv_dec_tlu_mdseac_en_BAR),
+	.Y(n_8213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g680090 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [121]),
+	.Y(n_8211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g680091 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [309]),
+	.Y(n_8210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g680092 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[6]),
+	.Y(n_8209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g680094 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [62]),
+	.Y(n_8208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g680097 (
+	.A(brqrv_top_brqrv_dec_decode_illegal_lockout),
+	.Y(n_8205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680098 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[5]),
+	.Y(n_8204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680099 (
+	.A(brqrv_top_brqrv_dec_tlu_ext_int_freeze_d1),
+	.Y(n_8203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g680100 (
+	.A(n_32497),
+	.Y(n_8202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g680101 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [29]),
+	.Y(n_8201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g680102 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [24]),
+	.Y(n_8200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g680103 (
+	.A(brqrv_top_brqrv_dec_tlu_mip[5]),
+	.Y(n_8199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680104 (
+	.A(brqrv_top_brqrv_dec_tlu_minstret_enable_f),
+	.Y(n_8198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680105 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_ff [1]),
+	.Y(n_8197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680107 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [7]),
+	.Y(n_8195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g680108 (
+	.A(n_31076),
+	.Y(n_8194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g680109 (
+	.A(n_31396),
+	.Y(n_8193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g680112 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [29]),
+	.Y(n_8190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g680113 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [5]),
+	.Y(n_8189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g680114 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [16]),
+	.Y(n_8188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g680115 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [20]),
+	.Y(n_8187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g680116 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [20]),
+	.Y(n_8186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680117 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme4[9]),
+	.Y(n_8185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g680119 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [6]),
+	.Y(n_8184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g680120 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_write),
+	.Y(n_8183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g680121 (
+	.A(brqrv_top_brqrv_dec_tlu_perfcnt_halted),
+	.Y(n_8182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g680122 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme3[5]),
+	.Y(n_8181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g680123 (
+	.A(brqrv_top_brqrv_dec_tlu_mstatus[0]),
+	.Y(n_8180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g680125 (
+	.A(n_31395),
+	.Y(n_8178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g680126 (
+	.A(n_31494),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_en[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g680127 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [2]),
+	.Y(n_8176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680129 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme6[0]),
+	.Y(n_8174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680131 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [6]),
+	.Y(n_8172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680133 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[26]),
+	.Y(n_8170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680134 (
+	.A(n_30518),
+	.Y(brqrv_top_brqrv_dec_i0_decode_d), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680135 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[5]),
+	.Y(n_8168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680136 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[19]),
+	.Y(n_8167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680137 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[17]),
+	.Y(n_8166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680138 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[29]),
+	.Y(n_8165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680139 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[16]),
+	.Y(n_8164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680140 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[28]),
+	.Y(n_8163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680141 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[25]),
+	.Y(n_8162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680142 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[22]),
+	.Y(n_8161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680143 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[23]),
+	.Y(n_8160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680144 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [2]),
+	.Y(n_8159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680145 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[10]),
+	.Y(n_8158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680146 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[11]),
+	.Y(n_8157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680147 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[12]),
+	.Y(n_8156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680148 (
+	.A(n_32458),
+	.Y(n_8155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g680149 (
+	.A(n_32459),
+	.Y(n_8154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680150 (
+	.A(n_31468),
+	.Y(brqrv_top_brqrv_dec_tlu_n_3314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g680151 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpme4[0]),
+	.Y(n_8152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680152 (
+	.A(n_32461),
+	.Y(n_8151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g680153 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [1]),
+	.Y(n_8150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680154 (
+	.A(n_32706),
+	.Y(n_7870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g680155 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt),
+	.Y(n_8148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 g680156 (
+	.A(n_31386),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g850563 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [9]),
+	.B_N(n_11219),
+	.Y(n_8147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g680157 (
+	.A_N(n_11200),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [9]),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [8]),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [10]),
+	.Y(n_8146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g680158 (
+	.A_N(n_10299),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [20]),
+	.Y(n_8145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 g680159 (
+	.A(n_9124),
+	.B(n_8928),
+	.C_N(n_9743),
+	.X(n_8144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g680160 (
+	.A_N(n_9603),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [24]),
+	.Y(n_8143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g680161 (
+	.A_N(n_9456),
+	.B(n_10907),
+	.C(n_10826),
+	.D(n_9451),
+	.Y(n_8142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g680162 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[13]),
+	.B_N(n_9300),
+	.Y(n_8141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g680163 (
+	.A(n_8496),
+	.B_N(n_9285),
+	.Y(n_8140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g680164 (
+	.A(n_8691),
+	.B_N(n_9128),
+	.Y(n_8139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g680165 (
+	.A_N(n_9072),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme5[0]),
+	.Y(n_8138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g680166 (
+	.A_N(n_8929),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[12]),
+	.Y(n_8137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g680167 (
+	.A(n_8923),
+	.B_N(n_8925),
+	.Y(n_8136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g680168 (
+	.A(n_8925),
+	.B_N(n_8923),
+	.Y(n_8135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g680169 (
+	.A_N(n_8922),
+	.B(n_8924),
+	.Y(n_8134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g680170 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [24]),
+	.B_N(n_8848),
+	.Y(n_8133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g680171 (
+	.A_N(n_8836),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[4]),
+	.Y(n_8132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g680172 (
+	.A_N(n_8831),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [1]),
+	.Y(n_8131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 g680173 (
+	.A(n_8301),
+	.B(n_31424),
+	.C_N(n_8826),
+	.X(n_8130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g680174 (
+	.A(n_31507),
+	.B(brqrv_top_brqrv_exu_i0_predict_p_x[34]),
+	.C(n_31055),
+	.D_N(n_8701),
+	.Y(n_8129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g680175 (
+	.A_N(n_8700),
+	.B(n_8835),
+	.C(brqrv_top_brqrv_exu_i0_br_valid_r),
+	.Y(n_8128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g680176 (
+	.A(n_8686),
+	.B_N(n_8692),
+	.Y(n_8127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g680177 (
+	.A_N(n_8691),
+	.B(n_9129),
+	.Y(n_8126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g680178 (
+	.A(n_8692),
+	.B_N(n_8686),
+	.Y(n_8125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g680179 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[5]),
+	.B_N(n_8530),
+	.Y(n_8124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g680180 (
+	.A_N(n_32266),
+	.B(n_11245),
+	.Y(n_32265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g680181 (
+	.A_N(n_32274),
+	.B(n_11240),
+	.Y(n_32273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g680182 (
+	.A_N(n_8122),
+	.B(n_32462),
+	.Y(n_8123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g680183 (
+	.A_N(n_31507),
+	.B(n_31310),
+	.Y(n_8122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g680184 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_nosend),
+	.B_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_valid),
+	.Y(n_8121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g680185 (
+	.A(n_8143),
+	.B_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [23]),
+	.Y(n_8120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g680186 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[3]),
+	.A2(n_31084),
+	.B1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[1]),
+	.Y(n_8119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g680187 (
+	.A(n_31468),
+	.B_N(brqrv_top_brqrv_exu_pmu_i0_pc4),
+	.Y(n_8118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 g680188 (
+	.A0(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.A1(n_8359),
+	.S(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[0]),
+	.X(brqrv_top_brqrv_dec_tlu_force_halt_ctr[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g680189 (
+	.A_N(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[13]),
+	.B(n_9192),
+	.C(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[14]),
+	.Y(n_8117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g850564 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder5_out [36]),
+	.A2(n_8116),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder5_out [36]),
+	.C1(n_8104),
+	.Y(n_32292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g850565 (
+	.A(n_32301),
+	.B(n_33840),
+	.C(n_8109),
+	.D(n_8115),
+	.X(n_8116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g850566 (
+	.A(n_33841),
+	.B(n_33846),
+	.C(n_8110),
+	.D(n_8114),
+	.X(n_8115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g850567 (
+	.A(n_8113),
+	.B(n_8105),
+	.C(n_8106),
+	.D(n_8102),
+	.Y(n_8114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g850568 (
+	.A(n_33853),
+	.B(n_33858),
+	.C(n_8112),
+	.Y(n_8113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g850569 (
+	.A(n_8111),
+	.B(n_8108),
+	.C(n_8107),
+	.D(n_8103),
+	.Y(n_8112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g850570 (
+	.A1(n_8101),
+	.A2(n_31056),
+	.B1(n_31048),
+	.Y(n_31406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g850571 (
+	.A1(n_8099),
+	.A2(n_31429),
+	.B1(n_32093),
+	.C1(n_327053_BAR),
+	.Y(n_31425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g850572 (
+	.A(n_33865),
+	.B(n_33870),
+	.C(n_33871),
+	.Y(n_8111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g850573 (
+	.A1(n_325463_BAR),
+	.A2(n_31429),
+	.B1(n_32226),
+	.Y(n_31407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g850574 (
+	.A1(n_8100),
+	.A2(n_31429),
+	.B1(n_32103),
+	.C1(n_326111_BAR),
+	.Y(n_31399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g850575 (
+	.A(n_33842),
+	.B(n_33843),
+	.C(n_33844),
+	.D(n_33845),
+	.X(n_8110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g605116 (
+	.A(n_33836),
+	.B(n_33837),
+	.C(n_33838),
+	.D(n_33839),
+	.X(n_8109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g605117 (
+	.A(n_33866),
+	.B(n_33867),
+	.C(n_33868),
+	.D(n_33869),
+	.Y(n_8108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g605118 (
+	.A(n_33860),
+	.B(n_33861),
+	.C(n_33862),
+	.D(n_33863),
+	.Y(n_8107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g605119 (
+	.A(n_33848),
+	.B(n_33849),
+	.C(n_33850),
+	.D(n_33851),
+	.Y(n_8106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g605120 (
+	.A(n_33854),
+	.B(n_33855),
+	.C(n_33856),
+	.D(n_33857),
+	.Y(n_8105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605121 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder5_out [36]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.Y(n_8104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g605122 (
+	.A(n_33859),
+	.B(n_33864),
+	.Y(n_8103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g605123 (
+	.A(n_33847),
+	.B(n_33852),
+	.Y(n_8102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g605124 (
+	.A(n_31950),
+	.B(n_31429),
+	.Y(n_8101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g605125 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_samedw[0]),
+	.Y(n_8100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g605126 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sideeffect[0]),
+	.Y(n_8099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g607104 (
+	.A1(n_8098),
+	.A2(n_33712),
+	.B1(n_8024),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [30]),
+	.Y(n_352552_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g607105 (
+	.A1(n_8098),
+	.A2(n_33710),
+	.B1(n_8024),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [29]),
+	.Y(n_352910_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g607106 (
+	.A1(n_8097),
+	.A2(n_33708),
+	.B1(n_8024),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [28]),
+	.Y(n_351520_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g607107 (
+	.A1(n_8091),
+	.A2(n_33708),
+	.B1(n_8097),
+	.X(n_8098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g607108 (
+	.A1(n_8095),
+	.A2(n_33688),
+	.B1(n_8024),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [13]),
+	.C1(n_8096),
+	.X(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g607109 (
+	.A1(n_8095),
+	.A2(n_33696),
+	.B1(n_8024),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [21]),
+	.Y(n_273353_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g607110 (
+	.A(n_33710),
+	.B(n_31591),
+	.X(n_31588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g607111 (
+	.A1(n_33714),
+	.A2(n_8089),
+	.B1(n_8091),
+	.C1(n_8093),
+	.Y(n_31590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g607112 (
+	.A1(n_8095),
+	.A2(n_33690),
+	.B1(n_8024),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [15]),
+	.Y(n_273323_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g607113 (
+	.A1(n_8095),
+	.A2(n_33691),
+	.B1(n_8024),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [16]),
+	.Y(n_273263_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g607114 (
+	.A1(n_8095),
+	.A2(n_33693),
+	.B1(n_8024),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [18]),
+	.Y(n_273383_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g607115 (
+	.A1(n_8095),
+	.A2(n_33694),
+	.B1(n_8024),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [19]),
+	.Y(n_273413_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g607116 (
+	.A1(n_8095),
+	.A2(n_33695),
+	.B1(n_8024),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [20]),
+	.Y(n_273308_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g607117 (
+	.A1(n_8084),
+	.A2(n_8092),
+	.B1(n_8094),
+	.Y(n_8097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g607118 (
+	.A1(n_8095),
+	.A2(n_33692),
+	.B1(n_8024),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [17]),
+	.Y(n_262607_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g607119 (
+	.A1(n_8095),
+	.A2(n_33698),
+	.B1(n_8024),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [23]),
+	.Y(n_273368_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g607120 (
+	.A1(n_8095),
+	.A2(n_33700),
+	.B1(n_8024),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [24]),
+	.Y(n_273338_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g607121 (
+	.A1(n_8095),
+	.A2(n_33702),
+	.B1(n_8024),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [25]),
+	.Y(n_273428_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g607122 (
+	.A1(n_8095),
+	.A2(n_33704),
+	.B1(n_8024),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [26]),
+	.Y(n_273293_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g607123 (
+	.A1(n_8095),
+	.A2(n_33689),
+	.B1(n_8024),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [14]),
+	.Y(n_273398_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g607124 (
+	.A1(n_8095),
+	.A2(n_33706),
+	.B1(n_8024),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [27]),
+	.Y(n_273443_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g607125 (
+	.A1(n_8095),
+	.A2(n_33714),
+	.B1(n_8024),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [31]),
+	.Y(n_268781_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g607126 (
+	.A1(n_8095),
+	.A2(n_33697),
+	.B1(n_8024),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [22]),
+	.Y(n_273278_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g607127 (
+	.A1(n_8053),
+	.A2(n_8052),
+	.B1(n_8091),
+	.Y(n_32369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g607128 (
+	.A(n_8002),
+	.B(n_8092),
+	.X(n_32370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g607129 (
+	.A1(n_8077),
+	.A2(n_8076),
+	.B1(n_8091),
+	.Y(n_32368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g607130 (
+	.A1(n_8081),
+	.A2(n_8080),
+	.B1(n_8091),
+	.Y(n_32378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g607131 (
+	.A_N(n_8090),
+	.B(n_8091),
+	.Y(n_31589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g607132 (
+	.A1(n_8045),
+	.A2(n_8044),
+	.B1(n_8091),
+	.Y(n_32621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g607133 (
+	.A1(n_8068),
+	.A2(n_8069),
+	.B1(n_8091),
+	.Y(n_32372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g607134 (
+	.A1(n_8060),
+	.A2(n_8061),
+	.B1(n_8091),
+	.Y(n_32373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g607135 (
+	.A1(n_33697),
+	.A2(n_8061),
+	.B1(n_8065),
+	.C1(n_8091),
+	.Y(n_32379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g607136 (
+	.A1(n_33700),
+	.A2(n_8069),
+	.B1(n_8073),
+	.C1(n_8091),
+	.Y(n_32374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g607137 (
+	.A1(n_33695),
+	.A2(n_8052),
+	.B1(n_8057),
+	.C1(n_8091),
+	.Y(n_32377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g607138 (
+	.A1(n_33706),
+	.A2(n_8080),
+	.B1(n_8085),
+	.C1(n_8091),
+	.Y(n_32367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g607139 (
+	.A(n_33688),
+	.B(n_8026),
+	.C(n_8088),
+	.Y(n_8096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g607140 (
+	.A1(n_33691),
+	.A2(n_8020),
+	.B1(n_8034),
+	.C1(n_8091),
+	.Y(n_32380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g607141 (
+	.A1(n_33693),
+	.A2(n_8044),
+	.B1(n_8049),
+	.C1(n_8091),
+	.Y(n_32371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g607142 (
+	.A1(n_8019),
+	.A2(n_8020),
+	.B1(n_8091),
+	.Y(n_32376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g607143 (
+	.A_N(n_33708),
+	.B(n_8091),
+	.C(n_8084),
+	.Y(n_31591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g607144 (
+	.A(n_8094),
+	.Y(n_8095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g607145 (
+	.A(n_8089),
+	.B(n_33714),
+	.Y(n_8093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g607146 (
+	.A(n_8088),
+	.B(n_8025),
+	.Y(n_8094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g607147 (
+	.A(n_8092),
+	.Y(n_8091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g607148 (
+	.A1(n_33712),
+	.A2(n_33710),
+	.B1(n_8089),
+	.Y(n_8090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g607149 (
+	.A(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[11]),
+	.B(n_8026),
+	.C(n_8087),
+	.Y(n_265364_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g607150 (
+	.A(n_8087),
+	.B(n_8025),
+	.C(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[11]),
+	.Y(n_8092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g607151 (
+	.A(n_33712),
+	.B(n_33710),
+	.C(n_33708),
+	.D_N(n_8084),
+	.Y(n_8089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g607152 (
+	.A1_N(n_8027),
+	.A2_N(n_8087),
+	.B1(n_8027),
+	.B2(n_8087),
+	.Y(n_8088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g607153 (
+	.A1_N(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [12]),
+	.A2_N(n_8024),
+	.B1(n_8026),
+	.B2(n_8086),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g607154 (
+	.A(n_8027),
+	.B(n_8010),
+	.CIN(n_8082),
+	.COUT(n_8087),
+	.SUM(n_8086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g607155 (
+	.A1_N(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [11]),
+	.A2_N(n_8024),
+	.B1(n_8026),
+	.B2(n_8083),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g607156 (
+	.A(n_8080),
+	.B(n_33706),
+	.Y(n_8085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g607157 (
+	.A(n_33706),
+	.B_N(n_8080),
+	.Y(n_8084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g607158 (
+	.A(n_8037),
+	.B(n_8007),
+	.CIN(n_8078),
+	.COUT(n_8082),
+	.SUM(n_8083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g607159 (
+	.A1_N(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [10]),
+	.A2_N(n_8024),
+	.B1(n_8026),
+	.B2(n_8079),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g607160 (
+	.A(n_8076),
+	.B_N(n_33704),
+	.Y(n_8081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g607161 (
+	.A(n_33704),
+	.B_N(n_8076),
+	.Y(n_8080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g607162 (
+	.A(n_8041),
+	.B(n_8012),
+	.CIN(n_8074),
+	.COUT(n_8078),
+	.SUM(n_8079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g607163 (
+	.A1_N(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [9]),
+	.A2_N(n_8024),
+	.B1(n_8026),
+	.B2(n_8075),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g607164 (
+	.A(n_8072),
+	.B_N(n_33702),
+	.Y(n_8077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g607165 (
+	.A(n_33702),
+	.B_N(n_8072),
+	.Y(n_8076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g607166 (
+	.A(n_8038),
+	.B(n_8005),
+	.CIN(n_8070),
+	.COUT(n_8074),
+	.SUM(n_8075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g607167 (
+	.A1_N(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [8]),
+	.A2_N(n_8024),
+	.B1(n_8026),
+	.B2(n_8071),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g607168 (
+	.A(n_8069),
+	.B(n_33700),
+	.Y(n_8073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g607169 (
+	.A(n_33700),
+	.B_N(n_8069),
+	.Y(n_8072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g607170 (
+	.A(n_8039),
+	.B(n_8009),
+	.CIN(n_8066),
+	.COUT(n_8070),
+	.SUM(n_8071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g607171 (
+	.A1_N(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [7]),
+	.A2_N(n_8024),
+	.B1(n_8026),
+	.B2(n_8067),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g607172 (
+	.A(n_33698),
+	.B(n_8064),
+	.Y(n_8069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g607173 (
+	.A(n_8064),
+	.B(n_33698),
+	.X(n_8068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g607174 (
+	.A(n_8043),
+	.B(n_8011),
+	.CIN(n_8062),
+	.COUT(n_8066),
+	.SUM(n_8067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g607175 (
+	.A1_N(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [6]),
+	.A2_N(n_8024),
+	.B1(n_8026),
+	.B2(n_8063),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g607176 (
+	.A(n_8061),
+	.B(n_33697),
+	.Y(n_8065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g607177 (
+	.A_N(n_33697),
+	.B(n_8061),
+	.Y(n_8064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g607178 (
+	.A(n_8042),
+	.B(n_8013),
+	.CIN(n_8058),
+	.COUT(n_8062),
+	.SUM(n_8063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g607179 (
+	.A1_N(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [5]),
+	.A2_N(n_8024),
+	.B1(n_8026),
+	.B2(n_8059),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g607180 (
+	.A(n_33696),
+	.B(n_8056),
+	.Y(n_8061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g607181 (
+	.A(n_8056),
+	.B(n_33696),
+	.X(n_8060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g607182 (
+	.A(n_8028),
+	.B(n_8008),
+	.CIN(n_8054),
+	.COUT(n_8058),
+	.SUM(n_8059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g607183 (
+	.A1_N(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [4]),
+	.A2_N(n_8024),
+	.B1(n_8026),
+	.B2(n_8055),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g607184 (
+	.A(n_8052),
+	.B(n_33695),
+	.Y(n_8057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g607185 (
+	.A_N(n_33695),
+	.B(n_8052),
+	.Y(n_8056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g607186 (
+	.A(n_8029),
+	.B(n_8006),
+	.CIN(n_8050),
+	.COUT(n_8054),
+	.SUM(n_8055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g607187 (
+	.A1_N(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [3]),
+	.A2_N(n_8024),
+	.B1(n_8026),
+	.B2(n_8051),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g607188 (
+	.A(n_8048),
+	.B_N(n_33694),
+	.Y(n_8053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g607189 (
+	.A(n_33694),
+	.B_N(n_8048),
+	.Y(n_8052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g607190 (
+	.A(n_8030),
+	.B(n_8004),
+	.CIN(n_8046),
+	.COUT(n_8050),
+	.SUM(n_8051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g607191 (
+	.A1(n_8047),
+	.A2(n_8025),
+	.B1(n_8024),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [2]),
+	.X(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g607192 (
+	.A(n_8044),
+	.B(n_33693),
+	.Y(n_8049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g607193 (
+	.A(n_33693),
+	.B_N(n_8044),
+	.Y(n_8048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g607194 (
+	.A1_N(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [1]),
+	.A2_N(n_8024),
+	.B1(n_8036),
+	.B2(n_8026),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g607195 (
+	.A(n_8031),
+	.B(n_8040),
+	.Y(n_8047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g607196 (
+	.A1(n_8035),
+	.A2(n_8031),
+	.B1(n_8032),
+	.Y(n_8046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g607197 (
+	.A(n_8033),
+	.B_N(n_33692),
+	.Y(n_8045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g607198 (
+	.A(n_33692),
+	.B_N(n_8033),
+	.Y(n_8044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g607199 (
+	.A(n_8043),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g607200 (
+	.A(n_8042),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g607201 (
+	.A(n_8041),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g607202 (
+	.A_N(n_8032),
+	.B(n_8035),
+	.Y(n_8040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g607203 (
+	.A1(n_33008),
+	.A2(n_8022),
+	.B1(n_30474),
+	.B2(n_33007),
+	.C1(n_8023),
+	.C2(n_33009),
+	.Y(n_8043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g607204 (
+	.A1(n_33005),
+	.A2(n_8022),
+	.B1(n_30474),
+	.B2(n_33004),
+	.C1(n_8023),
+	.C2(n_33006),
+	.Y(n_8042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g607205 (
+	.A1(n_33018),
+	.A2(n_8023),
+	.B1(n_30474),
+	.B2(n_33016),
+	.C1(n_8022),
+	.C2(n_33017),
+	.Y(n_8041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g607206 (
+	.A(n_8039),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g607207 (
+	.A(n_8038),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g607208 (
+	.A(n_8037),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g607209 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bp_total_branch_offset_f [1]),
+	.B(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[0]),
+	.Y(n_8036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g607210 (
+	.A1(n_33012),
+	.A2(n_8023),
+	.B1(n_30474),
+	.B2(n_33010),
+	.C1(n_8022),
+	.C2(n_33011),
+	.Y(n_8039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g607211 (
+	.A1(n_33014),
+	.A2(n_8022),
+	.B1(n_30474),
+	.B2(n_33013),
+	.C1(n_8023),
+	.C2(n_33015),
+	.Y(n_8038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g607212 (
+	.A1(n_33020),
+	.A2(n_8022),
+	.B1(n_30474),
+	.B2(n_33019),
+	.C1(n_8023),
+	.C2(n_33021),
+	.Y(n_8037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g607213 (
+	.A(n_8020),
+	.B(n_33691),
+	.Y(n_8034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g607214 (
+	.A(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[1]),
+	.B(n_32980),
+	.X(n_8035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g607215 (
+	.A(n_33691),
+	.B_N(n_8020),
+	.Y(n_8033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g607216 (
+	.A(n_32980),
+	.B(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[1]),
+	.X(n_8032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g607217 (
+	.A(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[0]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bp_total_branch_offset_f [1]),
+	.X(n_8031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g607218 (
+	.A(n_8030),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g607219 (
+	.A1(n_8000),
+	.A2(n_32995),
+	.B1(n_8014),
+	.B2(n_32994),
+	.C1(n_8016),
+	.X(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g607220 (
+	.A1(n_8000),
+	.A2(n_32998),
+	.B1(n_8014),
+	.B2(n_32997),
+	.C1(n_8015),
+	.Y(n_8030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g607221 (
+	.A1(n_8000),
+	.A2(n_32992),
+	.B1(n_8014),
+	.B2(n_32991),
+	.C1(n_8017),
+	.X(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g607222 (
+	.A(n_8029),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g607223 (
+	.A(n_8028),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g607224 (
+	.A(n_8027),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g607225 (
+	.A1(n_8000),
+	.A2(n_33001),
+	.B1(n_8014),
+	.B2(n_33000),
+	.C1(n_8018),
+	.Y(n_8029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g607226 (
+	.A1(n_32232),
+	.A2(n_30474),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_bloc_f [1]),
+	.B2(n_33003),
+	.C1(n_7995),
+	.C2(n_32231),
+	.Y(n_8028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g607227 (
+	.A1(n_32230),
+	.A2(n_30474),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_bloc_f [1]),
+	.B2(n_33022),
+	.C1(n_7995),
+	.C2(n_32229),
+	.Y(n_8027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g607228 (
+	.A(n_8026),
+	.Y(n_8025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g607229 (
+	.A_N(n_30476),
+	.B(n_8021),
+	.Y(n_8026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g607230 (
+	.A(n_30476),
+	.B(n_8021),
+	.Y(n_8024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g607231 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_sel_data_f [4]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bloc_f [1]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_bp_total_branch_offset_f [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g607232 (
+	.A1(n_30473),
+	.A2(n_7997),
+	.B1(n_30471),
+	.B2(n_32647),
+	.Y(n_8023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g607233 (
+	.A1(n_30472),
+	.A2(n_7997),
+	.B1(n_30470),
+	.B2(n_32647),
+	.Y(n_8022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g607234 (
+	.A(n_7998),
+	.B_N(n_33690),
+	.Y(n_8019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g607235 (
+	.A_N(n_30475),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [0]),
+	.Y(n_8021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g607236 (
+	.A(n_33690),
+	.B_N(n_7998),
+	.Y(n_8020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g607237 (
+	.A1_N(n_33002),
+	.A2_N(n_8001),
+	.B1(n_57463_BAR),
+	.B2(n_7995),
+	.Y(n_8018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g607238 (
+	.A1_N(n_32993),
+	.A2_N(n_8001),
+	.B1(n_57502_BAR),
+	.B2(n_7995),
+	.Y(n_8017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g607239 (
+	.A1_N(n_32996),
+	.A2_N(n_8001),
+	.B1(n_57489_BAR),
+	.B2(n_7995),
+	.Y(n_8016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g607240 (
+	.A1_N(n_32999),
+	.A2_N(n_8001),
+	.B1(n_57476_BAR),
+	.B2(n_7995),
+	.Y(n_8015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g607241 (
+	.A(n_7997),
+	.Y(n_8014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g607242 (
+	.A(n_8013),
+	.Y(n_32984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g607243 (
+	.A(n_8012),
+	.Y(n_32988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g607244 (
+	.A(n_8011),
+	.Y(n_32985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g607245 (
+	.A(n_8010),
+	.Y(n_32990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g607246 (
+	.A(n_8009),
+	.Y(n_32986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g607247 (
+	.A(n_8003),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_sel_data_f [1]),
+	.Y(n_30475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g607248 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [6]),
+	.A2(n_405937_BAR),
+	.B1(n_405933_BAR),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[6]),
+	.C1(n_7996),
+	.C2(n_33729),
+	.Y(n_8013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g607249 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [10]),
+	.A2(n_405937_BAR),
+	.B1(n_7996),
+	.B2(n_33719),
+	.C1(n_405933_BAR),
+	.C2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[10]),
+	.Y(n_8012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g607250 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [7]),
+	.A2(n_405937_BAR),
+	.B1(n_405933_BAR),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[7]),
+	.C1(n_7996),
+	.C2(n_33732),
+	.Y(n_8011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g607251 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [12]),
+	.A2(n_405937_BAR),
+	.B1(n_405933_BAR),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[12]),
+	.C1(n_7996),
+	.C2(n_33725),
+	.Y(n_8010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g607252 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [8]),
+	.A2(n_405937_BAR),
+	.B1(n_405933_BAR),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[8]),
+	.C1(n_7996),
+	.C2(n_33735),
+	.Y(n_8009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g607253 (
+	.A(n_8008),
+	.Y(n_32983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g607254 (
+	.A(n_8007),
+	.Y(n_32989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g607255 (
+	.A(n_8006),
+	.Y(n_32982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g607256 (
+	.A(n_8005),
+	.Y(n_32987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g607257 (
+	.A(n_8004),
+	.Y(n_32981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g607258 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [5]),
+	.A2(n_405937_BAR),
+	.B1(n_7996),
+	.B2(n_33726),
+	.C1(n_405933_BAR),
+	.C2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[5]),
+	.Y(n_8008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g607259 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [11]),
+	.A2(n_405937_BAR),
+	.B1(n_7996),
+	.B2(n_33722),
+	.C1(n_405933_BAR),
+	.C2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[11]),
+	.Y(n_8007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g607260 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [4]),
+	.A2(n_405937_BAR),
+	.B1(n_405933_BAR),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[4]),
+	.C1(n_7996),
+	.C2(n_33723),
+	.Y(n_8006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g607261 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [9]),
+	.A2(n_405937_BAR),
+	.B1(n_7996),
+	.B2(n_33717),
+	.C1(n_405933_BAR),
+	.C2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[9]),
+	.Y(n_8005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g607262 (
+	.A1(n_33720),
+	.A2(n_7996),
+	.B1(n_405937_BAR),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [3]),
+	.C1(n_405933_BAR),
+	.C2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[3]),
+	.Y(n_8004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g607263 (
+	.A1(n_405933_BAR),
+	.A2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[2]),
+	.B1(n_405937_BAR),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [2]),
+	.C1(n_7999),
+	.X(n_32980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g607264 (
+	.A(n_8003),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_btb_sel_data_f [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g607265 (
+	.A1(n_33689),
+	.A2(n_33688),
+	.B1(n_7998),
+	.Y(n_8002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g607266 (
+	.A1(n_30474),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.sv2v_tmp_BEF15 [3]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.sv2v_tmp_F09CF [3]),
+	.Y(n_8003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g607267 (
+	.A1(n_30474),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.sv2v_tmp_BEF15 [2]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.sv2v_tmp_F09CF [2]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_btb_sel_data_f [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g607268 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[1]),
+	.B(n_30471),
+	.C(n_30474),
+	.Y(n_8001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g607269 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[1]),
+	.B(n_30470),
+	.C(n_30474),
+	.Y(n_8000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g607270 (
+	.A1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[1]),
+	.A2(n_7995),
+	.B1(n_7997),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_bloc_f [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g607271 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[2]),
+	.B(n_30477),
+	.Y(n_7999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g607272 (
+	.A(n_33688),
+	.B(n_33689),
+	.Y(n_7998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g607273 (
+	.A(n_7995),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[1]),
+	.Y(n_7997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g607275 (
+	.A(n_30474),
+	.Y(n_7995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850576 (
+	.A(n_7990),
+	.B(n_7864),
+	.Y(brqrv_top_brqrv_dec_tlu_flush_path_r[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850577 (
+	.A(n_7989),
+	.B(n_7911),
+	.Y(brqrv_top_brqrv_dec_tlu_flush_path_r[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850578 (
+	.A(n_7986),
+	.B(n_7911),
+	.Y(brqrv_top_brqrv_dec_tlu_flush_path_r[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850579 (
+	.A(n_7991),
+	.B(n_7911),
+	.Y(brqrv_top_brqrv_dec_tlu_flush_path_r[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850580 (
+	.A(n_7994),
+	.B(n_7864),
+	.Y(brqrv_top_brqrv_dec_tlu_flush_path_r[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850581 (
+	.A(n_7992),
+	.B(n_7864),
+	.Y(brqrv_top_brqrv_dec_tlu_flush_path_r[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850582 (
+	.A(n_7993),
+	.B(n_7911),
+	.Y(brqrv_top_brqrv_dec_tlu_flush_path_r[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850583 (
+	.A(n_7983),
+	.B(n_7864),
+	.Y(brqrv_top_brqrv_dec_tlu_flush_path_r[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850584 (
+	.A(n_7987),
+	.B(n_7864),
+	.Y(brqrv_top_brqrv_dec_tlu_flush_path_r[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850585 (
+	.A(n_7985),
+	.B(n_7864),
+	.Y(brqrv_top_brqrv_dec_tlu_flush_path_r[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850586 (
+	.A(n_7984),
+	.B(n_7864),
+	.Y(brqrv_top_brqrv_dec_tlu_flush_path_r[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850587 (
+	.A(n_7988),
+	.B(n_7864),
+	.Y(brqrv_top_brqrv_dec_tlu_flush_path_r[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g850588 (
+	.A(n_7981),
+	.B(n_7924),
+	.C(n_7911),
+	.Y(brqrv_top_brqrv_dec_tlu_flush_path_r[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850589 (
+	.A(n_7982),
+	.B(n_7864),
+	.Y(brqrv_top_brqrv_dec_tlu_flush_path_r[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g850590 (
+	.A1(n_7825),
+	.A2(brqrv_top_brqrv_dec_tlu_mtvec[21]),
+	.B1(n_34667),
+	.B2(n_39139),
+	.C1(n_7975),
+	.Y(n_7994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g850591 (
+	.A1(n_7825),
+	.A2(brqrv_top_brqrv_dec_tlu_mtvec[30]),
+	.B1(n_34676),
+	.B2(n_39139),
+	.C1(n_7974),
+	.Y(n_7993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g850592 (
+	.A1(n_7825),
+	.A2(brqrv_top_brqrv_dec_tlu_mtvec[20]),
+	.B1(n_34666),
+	.B2(n_39139),
+	.C1(n_7972),
+	.Y(n_7992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g850593 (
+	.A1(n_7825),
+	.A2(brqrv_top_brqrv_dec_tlu_mtvec[28]),
+	.B1(n_34674),
+	.B2(n_39139),
+	.C1(n_7979),
+	.Y(n_7991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g850594 (
+	.A1(n_7825),
+	.A2(brqrv_top_brqrv_dec_tlu_mtvec[19]),
+	.B1(n_34665),
+	.B2(n_39139),
+	.C1(n_7971),
+	.Y(n_7990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g850595 (
+	.A1(n_7825),
+	.A2(brqrv_top_brqrv_dec_tlu_mtvec[25]),
+	.B1(n_34671),
+	.B2(n_39139),
+	.C1(n_7969),
+	.Y(n_7989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g850596 (
+	.A1(n_7825),
+	.A2(brqrv_top_brqrv_dec_tlu_mtvec[13]),
+	.B1(n_34659),
+	.B2(n_39139),
+	.C1(n_7973),
+	.Y(n_7988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g850597 (
+	.A1(n_7825),
+	.A2(brqrv_top_brqrv_dec_tlu_mtvec[17]),
+	.B1(n_34663),
+	.B2(n_39139),
+	.C1(n_7968),
+	.Y(n_7987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g850598 (
+	.A1(n_7825),
+	.A2(brqrv_top_brqrv_dec_tlu_mtvec[24]),
+	.B1(n_34670),
+	.B2(n_39139),
+	.C1(n_7965),
+	.Y(n_7986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g850599 (
+	.A1(n_7825),
+	.A2(brqrv_top_brqrv_dec_tlu_mtvec[16]),
+	.B1(n_34662),
+	.B2(n_39139),
+	.C1(n_7967),
+	.Y(n_7985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g850600 (
+	.A1(n_7825),
+	.A2(brqrv_top_brqrv_dec_tlu_mtvec[15]),
+	.B1(n_34661),
+	.B2(n_39139),
+	.C1(n_7966),
+	.Y(n_7984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g850601 (
+	.A1(n_7825),
+	.A2(brqrv_top_brqrv_dec_tlu_mtvec[22]),
+	.B1(n_34668),
+	.B2(n_39139),
+	.C1(n_7978),
+	.Y(n_7983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g850602 (
+	.A1(n_7825),
+	.A2(brqrv_top_brqrv_dec_tlu_mtvec[18]),
+	.B1(n_34664),
+	.B2(n_39139),
+	.C1(n_7970),
+	.Y(n_7982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g850603 (
+	.A1(n_7825),
+	.A2(brqrv_top_brqrv_dec_tlu_mtvec[14]),
+	.B1(n_7865),
+	.B2(brqrv_top_brqrv_lsu_fir_addr[15]),
+	.C1(n_7976),
+	.X(brqrv_top_brqrv_dec_tlu_flush_path_r[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g850604 (
+	.A1(n_34669),
+	.A2(n_39139),
+	.B1(n_7865),
+	.B2(brqrv_top_brqrv_lsu_fir_addr[24]),
+	.C1(n_7980),
+	.X(brqrv_top_brqrv_dec_tlu_flush_path_r[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g850605 (
+	.A1(n_7825),
+	.A2(brqrv_top_brqrv_dec_tlu_mtvec[12]),
+	.B1(n_7865),
+	.B2(brqrv_top_brqrv_lsu_fir_addr[13]),
+	.C1(n_7977),
+	.X(brqrv_top_brqrv_dec_tlu_flush_path_r[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g850606 (
+	.A1(n_7903),
+	.A2(n_7964),
+	.B1(n_7864),
+	.Y(n_30515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g850607 (
+	.A1(n_7825),
+	.A2(brqrv_top_brqrv_dec_tlu_mtvec[26]),
+	.B1(n_7827),
+	.B2(brqrv_top_brqrv_dec_tlu_dpc[27]),
+	.C1(n_7960),
+	.Y(n_7981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g850608 (
+	.A(n_7959),
+	.B(n_7939),
+	.C(n_7904),
+	.D(n_7932),
+	.Y(brqrv_top_brqrv_dec_tlu_flush_path_r[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g850609 (
+	.A(n_7928),
+	.B(n_7955),
+	.C(n_7864),
+	.D(n_7908),
+	.Y(n_7980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g850610 (
+	.A1(n_6185),
+	.A2(brqrv_top_brqrv_dec_tlu_mepc[29]),
+	.B1(n_7827),
+	.B2(brqrv_top_brqrv_dec_tlu_dpc[29]),
+	.C1(n_7961),
+	.X(n_7979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g850611 (
+	.A1(n_7827),
+	.A2(brqrv_top_brqrv_dec_tlu_dpc[23]),
+	.B1(brqrv_top_brqrv_dec_tlu_sel_npc_resume),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r_d1[23]),
+	.C1(n_7962),
+	.X(n_7978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g850612 (
+	.A(n_7934),
+	.B(n_7958),
+	.C(n_7864),
+	.D(n_7906),
+	.Y(n_7977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a41o_1 g850613 (
+	.A1(n_7938),
+	.A2(n_7933),
+	.A3(n_7899),
+	.A4(n_7902),
+	.B1(brqrv_top_brqrv_dec_tlu_reset_delayed),
+	.X(n_29904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g850614 (
+	.A(n_7929),
+	.B(n_7956),
+	.C(n_7864),
+	.D(n_7907),
+	.Y(n_7976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g850615 (
+	.A(n_7944),
+	.B(n_7921),
+	.C(n_7898),
+	.D(n_7875),
+	.Y(n_7975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g850616 (
+	.A(n_7943),
+	.B(n_7920),
+	.C(n_7892),
+	.D(n_7877),
+	.Y(n_7974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g850617 (
+	.A(n_7945),
+	.B(n_7913),
+	.C(n_7867),
+	.D(n_7889),
+	.Y(n_7973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g850618 (
+	.A(n_7954),
+	.B(n_7923),
+	.C(n_7878),
+	.D(n_7897),
+	.Y(n_7972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g850619 (
+	.A(n_7953),
+	.B(n_7922),
+	.C(n_7876),
+	.D(n_7888),
+	.Y(n_7971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g850620 (
+	.A(n_7952),
+	.B(n_7925),
+	.C(n_7881),
+	.D(n_7893),
+	.Y(n_7970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g850621 (
+	.A(n_7951),
+	.B(n_7919),
+	.C(n_7879),
+	.D(n_7891),
+	.Y(n_7969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g850622 (
+	.A(n_7950),
+	.B(n_7918),
+	.C(n_7882),
+	.D(n_7890),
+	.Y(n_7968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g850623 (
+	.A(n_7949),
+	.B(n_7912),
+	.C(n_7880),
+	.D(n_7887),
+	.Y(n_7967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g850624 (
+	.A(n_7946),
+	.B(n_7914),
+	.C(n_7869),
+	.D(n_7895),
+	.Y(n_7966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g850625 (
+	.A1(n_6185),
+	.A2(brqrv_top_brqrv_dec_tlu_mepc[25]),
+	.B1(n_7827),
+	.B2(brqrv_top_brqrv_dec_tlu_dpc[25]),
+	.C1(n_7963),
+	.X(n_7965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g850626 (
+	.A(n_7937),
+	.B(n_7931),
+	.C(n_7900),
+	.D(n_7886),
+	.Y(n_7964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g850627 (
+	.A(n_7942),
+	.B(n_7915),
+	.C(n_7868),
+	.Y(n_7963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g850628 (
+	.A1(n_6185),
+	.A2(brqrv_top_brqrv_dec_tlu_mepc[23]),
+	.B1(n_7909),
+	.B2(brqrv_top_brqrv_dec_tlu_mtvec[22]),
+	.C1(n_7948),
+	.X(n_7962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g850629 (
+	.A1(brqrv_top_brqrv_dec_tlu_sel_npc_resume),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[29]),
+	.B1(n_7909),
+	.B2(brqrv_top_brqrv_dec_tlu_mtvec[28]),
+	.C1(n_7947),
+	.X(n_7961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g850630 (
+	.A1(n_6185),
+	.A2(brqrv_top_brqrv_dec_tlu_mepc[27]),
+	.B1(n_34672),
+	.B2(n_39139),
+	.C1(n_7957),
+	.X(n_7960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g850631 (
+	.A1(n_34656),
+	.A2(n_39139),
+	.A3(n_7864),
+	.B1(n_7742),
+	.B2(brqrv_top_brqrv_dec_tlu_mtvec[10]),
+	.Y(n_7959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g850632 (
+	.A1(n_6185),
+	.A2(brqrv_top_brqrv_dec_tlu_mepc[13]),
+	.B1(n_7827),
+	.B2(brqrv_top_brqrv_dec_tlu_dpc[13]),
+	.C1(n_7935),
+	.Y(n_7958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g850633 (
+	.A1(brqrv_top_brqrv_dec_tlu_sel_npc_r),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[27]),
+	.B1(n_7865),
+	.B2(brqrv_top_brqrv_lsu_fir_addr[27]),
+	.C1(n_7930),
+	.X(n_7957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g850634 (
+	.A1(n_6185),
+	.A2(brqrv_top_brqrv_dec_tlu_mepc[15]),
+	.B1(n_7827),
+	.B2(brqrv_top_brqrv_dec_tlu_dpc[15]),
+	.C1(n_7927),
+	.Y(n_7956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g608810 (
+	.A1(n_6185),
+	.A2(brqrv_top_brqrv_dec_tlu_mepc[24]),
+	.B1(n_7827),
+	.B2(brqrv_top_brqrv_dec_tlu_dpc[24]),
+	.C1(n_7926),
+	.Y(n_7955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608811 (
+	.A1(brqrv_top_brqrv_dec_tlu_npc_r[21]),
+	.A2(brqrv_top_brqrv_dec_tlu_sel_npc_r),
+	.B1(n_7858),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[21]),
+	.C1(n_7865),
+	.C2(brqrv_top_brqrv_lsu_fir_addr[21]),
+	.Y(n_7954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608812 (
+	.A1(brqrv_top_brqrv_dec_tlu_npc_r[20]),
+	.A2(brqrv_top_brqrv_dec_tlu_sel_npc_r),
+	.B1(n_7858),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[20]),
+	.C1(n_7865),
+	.C2(brqrv_top_brqrv_lsu_fir_addr[20]),
+	.Y(n_7953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608813 (
+	.A1(brqrv_top_brqrv_dec_tlu_npc_r[19]),
+	.A2(brqrv_top_brqrv_dec_tlu_sel_npc_r),
+	.B1(n_7858),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[19]),
+	.C1(n_7865),
+	.C2(brqrv_top_brqrv_lsu_fir_addr[19]),
+	.Y(n_7952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608814 (
+	.A1(brqrv_top_brqrv_dec_tlu_npc_r[26]),
+	.A2(brqrv_top_brqrv_dec_tlu_sel_npc_r),
+	.B1(n_7858),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[26]),
+	.C1(n_7865),
+	.C2(brqrv_top_brqrv_lsu_fir_addr[26]),
+	.Y(n_7951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608815 (
+	.A1(brqrv_top_brqrv_dec_tlu_npc_r[18]),
+	.A2(brqrv_top_brqrv_dec_tlu_sel_npc_r),
+	.B1(n_7858),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[18]),
+	.C1(n_7865),
+	.C2(brqrv_top_brqrv_lsu_fir_addr[18]),
+	.Y(n_7950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608816 (
+	.A1(brqrv_top_brqrv_dec_tlu_npc_r[17]),
+	.A2(brqrv_top_brqrv_dec_tlu_sel_npc_r),
+	.B1(n_7858),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[17]),
+	.C1(n_7865),
+	.C2(brqrv_top_brqrv_lsu_fir_addr[17]),
+	.Y(n_7949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g608817 (
+	.A(n_7941),
+	.Y(n_7948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g608818 (
+	.A(n_7940),
+	.Y(n_7947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608819 (
+	.A1(brqrv_top_brqrv_dec_tlu_npc_r[16]),
+	.A2(brqrv_top_brqrv_dec_tlu_sel_npc_r),
+	.B1(n_7858),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[16]),
+	.C1(n_7865),
+	.C2(brqrv_top_brqrv_lsu_fir_addr[16]),
+	.Y(n_7946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608820 (
+	.A1(brqrv_top_brqrv_dec_tlu_npc_r[14]),
+	.A2(brqrv_top_brqrv_dec_tlu_sel_npc_r),
+	.B1(n_7858),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[14]),
+	.C1(n_7865),
+	.C2(brqrv_top_brqrv_lsu_fir_addr[14]),
+	.Y(n_7945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608821 (
+	.A1(brqrv_top_brqrv_dec_tlu_npc_r[22]),
+	.A2(brqrv_top_brqrv_dec_tlu_sel_npc_r),
+	.B1(n_7865),
+	.B2(brqrv_top_brqrv_lsu_fir_addr[22]),
+	.C1(n_7858),
+	.C2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[22]),
+	.Y(n_7944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608822 (
+	.A1(brqrv_top_brqrv_dec_tlu_npc_r[31]),
+	.A2(brqrv_top_brqrv_dec_tlu_sel_npc_r),
+	.B1(n_7865),
+	.B2(brqrv_top_brqrv_lsu_fir_addr[31]),
+	.C1(n_7858),
+	.C2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[31]),
+	.Y(n_7943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608823 (
+	.A1(brqrv_top_brqrv_dec_tlu_npc_r[25]),
+	.A2(brqrv_top_brqrv_dec_tlu_sel_npc_r),
+	.B1(n_7865),
+	.B2(brqrv_top_brqrv_lsu_fir_addr[25]),
+	.C1(n_7858),
+	.C2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[25]),
+	.Y(n_7942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608824 (
+	.A1(brqrv_top_brqrv_dec_tlu_npc_r[23]),
+	.A2(brqrv_top_brqrv_dec_tlu_sel_npc_r),
+	.B1(n_7858),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[23]),
+	.C1(n_7865),
+	.C2(brqrv_top_brqrv_lsu_fir_addr[23]),
+	.Y(n_7941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g608825 (
+	.A1(brqrv_top_brqrv_dec_tlu_npc_r[29]),
+	.A2(brqrv_top_brqrv_dec_tlu_sel_npc_r),
+	.B1(n_7858),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[29]),
+	.C1(n_7865),
+	.C2(brqrv_top_brqrv_lsu_fir_addr[29]),
+	.Y(n_7940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g608826 (
+	.A1(n_7858),
+	.A2(n_7864),
+	.A3(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[11]),
+	.B1(n_7746),
+	.B2(brqrv_top_brqrv_lsu_fir_addr[11]),
+	.Y(n_7939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608827 (
+	.A1(n_7917),
+	.A2(brqrv_top_brqrv_dec_tlu_mtvec[27]),
+	.B1(n_34673),
+	.B2(n_39139),
+	.Y(n_7938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608828 (
+	.A1(n_7917),
+	.A2(brqrv_top_brqrv_dec_tlu_mtvec[29]),
+	.B1(n_34675),
+	.B2(n_39139),
+	.Y(n_7937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g608830 (
+	.A1(n_7909),
+	.A2(brqrv_top_brqrv_dec_tlu_mtvec[12]),
+	.B1(brqrv_top_brqrv_dec_tlu_sel_npc_resume),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r_d1[13]),
+	.X(n_7935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608831 (
+	.A1(n_7858),
+	.A2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[13]),
+	.B1(n_34658),
+	.B2(n_39139),
+	.Y(n_7934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608832 (
+	.A1(n_7858),
+	.A2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[28]),
+	.B1(brqrv_top_brqrv_dec_tlu_sel_npc_r),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[28]),
+	.Y(n_7933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g608833 (
+	.A1(brqrv_top_brqrv_dec_tlu_sel_npc_resume),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[11]),
+	.A3(n_7864),
+	.B1(n_7916),
+	.Y(n_7932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608834 (
+	.A(n_7917),
+	.B(n_7864),
+	.Y(n_31551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608835 (
+	.A1(n_7858),
+	.A2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[30]),
+	.B1(brqrv_top_brqrv_dec_tlu_sel_npc_r),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[30]),
+	.Y(n_7931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g608836 (
+	.A1(n_7909),
+	.A2(brqrv_top_brqrv_dec_tlu_mtvec[26]),
+	.B1(brqrv_top_brqrv_dec_tlu_sel_npc_resume),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r_d1[27]),
+	.X(n_7930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608837 (
+	.A1(n_7858),
+	.A2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[15]),
+	.B1(n_34660),
+	.B2(n_39139),
+	.Y(n_7929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608838 (
+	.A1(n_7858),
+	.A2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[24]),
+	.B1(n_7825),
+	.B2(brqrv_top_brqrv_dec_tlu_mtvec[23]),
+	.Y(n_7928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g608839 (
+	.A1(n_7909),
+	.A2(brqrv_top_brqrv_dec_tlu_mtvec[14]),
+	.B1(brqrv_top_brqrv_dec_tlu_sel_npc_resume),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r_d1[15]),
+	.X(n_7927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g608840 (
+	.A1(n_7909),
+	.A2(brqrv_top_brqrv_dec_tlu_mtvec[23]),
+	.B1(brqrv_top_brqrv_dec_tlu_sel_npc_resume),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r_d1[24]),
+	.X(n_7926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608841 (
+	.A(n_7909),
+	.B(brqrv_top_brqrv_dec_tlu_mtvec[18]),
+	.Y(n_7925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608842 (
+	.A(n_7858),
+	.B(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[27]),
+	.Y(n_7924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608843 (
+	.A(n_7909),
+	.B(brqrv_top_brqrv_dec_tlu_mtvec[20]),
+	.Y(n_7923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608844 (
+	.A(n_7909),
+	.B(brqrv_top_brqrv_dec_tlu_mtvec[19]),
+	.Y(n_7922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608845 (
+	.A(n_7909),
+	.B(brqrv_top_brqrv_dec_tlu_mtvec[21]),
+	.Y(n_7921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608846 (
+	.A(n_7909),
+	.B(brqrv_top_brqrv_dec_tlu_mtvec[30]),
+	.Y(n_7920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608847 (
+	.A(n_7909),
+	.B(brqrv_top_brqrv_dec_tlu_mtvec[25]),
+	.Y(n_7919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608848 (
+	.A(n_7909),
+	.B(brqrv_top_brqrv_dec_tlu_mtvec[17]),
+	.Y(n_7918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g608849 (
+	.A(n_31555),
+	.B_N(brqrv_top_brqrv_dec_tlu_npc_r[11]),
+	.Y(n_7916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608850 (
+	.A(n_7909),
+	.B(brqrv_top_brqrv_dec_tlu_mtvec[24]),
+	.Y(n_7915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608851 (
+	.A(n_7909),
+	.B(brqrv_top_brqrv_dec_tlu_mtvec[15]),
+	.Y(n_7914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608852 (
+	.A(n_7909),
+	.B(brqrv_top_brqrv_dec_tlu_mtvec[13]),
+	.Y(n_7913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608853 (
+	.A(n_7909),
+	.B(brqrv_top_brqrv_dec_tlu_mtvec[16]),
+	.Y(n_7912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608854 (
+	.A(n_7858),
+	.B(n_7864),
+	.Y(n_31554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608855 (
+	.A(n_39139),
+	.B(n_7864),
+	.Y(n_31552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608856 (
+	.A(n_31718),
+	.B(n_30489),
+	.Y(n_7917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g608857 (
+	.A(n_7825),
+	.Y(n_31718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g608858 (
+	.A(n_7909),
+	.Y(n_30489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608859 (
+	.A(brqrv_top_brqrv_dec_tlu_sel_npc_r),
+	.B(brqrv_top_brqrv_dec_tlu_npc_r[24]),
+	.Y(n_7908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608860 (
+	.A(brqrv_top_brqrv_dec_tlu_sel_npc_r),
+	.B(brqrv_top_brqrv_dec_tlu_npc_r[15]),
+	.Y(n_7907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608861 (
+	.A(brqrv_top_brqrv_dec_tlu_sel_npc_r),
+	.B(brqrv_top_brqrv_dec_tlu_npc_r[13]),
+	.Y(n_7906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608862 (
+	.A(brqrv_top_brqrv_dec_tlu_sel_npc_r),
+	.B(n_7864),
+	.Y(n_31555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g608863 (
+	.A1(n_39138),
+	.A2(brqrv_top_brqrv_exu_i0_flush_path_upper_r[23]),
+	.B1(n_39152),
+	.B2(brqrv_top_brqrv_exu_pred_correct_npc_r[23]),
+	.C1(n_7901),
+	.X(brqrv_top_brqrv_dec_tlu_npc_r[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g608864 (
+	.A(brqrv_top_brqrv_dec_tlu_reset_delayed),
+	.B(n_7903),
+	.Y(n_7911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g608865 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[0]),
+	.B(n_7871),
+	.C_N(n_30465),
+	.Y(n_7825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g608866 (
+	.A(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B_N(brqrv_top_brqrv_dec_tlu_n_4284),
+	.Y(n_7909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g608867 (
+	.A(n_7858),
+	.Y(n_30485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608869 (
+	.A1(n_7748),
+	.A2(brqrv_top_brqrv_dec_tlu_mepc[11]),
+	.B1(n_7749),
+	.B2(brqrv_top_brqrv_dec_tlu_dpc[11]),
+	.Y(n_7904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g608870 (
+	.A1(n_39138),
+	.A2(brqrv_top_brqrv_exu_i0_flush_path_upper_r[29]),
+	.B1(n_39152),
+	.B2(brqrv_top_brqrv_exu_pred_correct_npc_r[29]),
+	.C1(n_7883),
+	.X(brqrv_top_brqrv_dec_tlu_npc_r[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g608871 (
+	.A1(n_39138),
+	.A2(brqrv_top_brqrv_exu_i0_flush_path_upper_r[22]),
+	.B1(n_39152),
+	.B2(brqrv_top_brqrv_exu_pred_correct_npc_r[22]),
+	.C1(n_7894),
+	.X(brqrv_top_brqrv_dec_tlu_npc_r[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g608872 (
+	.A1(n_39138),
+	.A2(brqrv_top_brqrv_exu_i0_flush_path_upper_r[25]),
+	.B1(n_39152),
+	.B2(brqrv_top_brqrv_exu_pred_correct_npc_r[25]),
+	.C1(n_7885),
+	.X(brqrv_top_brqrv_dec_tlu_npc_r[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g608873 (
+	.A1(n_39138),
+	.A2(brqrv_top_brqrv_exu_i0_flush_path_upper_r[31]),
+	.B1(n_39152),
+	.B2(brqrv_top_brqrv_exu_pred_correct_npc_r[31]),
+	.C1(n_7896),
+	.X(brqrv_top_brqrv_dec_tlu_npc_r[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g608874 (
+	.A1(n_39138),
+	.A2(brqrv_top_brqrv_exu_i0_flush_path_upper_r[11]),
+	.B1(n_39152),
+	.B2(brqrv_top_brqrv_exu_pred_correct_npc_r[11]),
+	.C1(n_7884),
+	.X(brqrv_top_brqrv_dec_tlu_npc_r[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608878 (
+	.A1(n_6185),
+	.A2(brqrv_top_brqrv_dec_tlu_mepc[28]),
+	.B1(n_7827),
+	.B2(brqrv_top_brqrv_dec_tlu_dpc[28]),
+	.Y(n_7902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g608879 (
+	.A1(n_1488),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[23]),
+	.B1(n_1291),
+	.B2(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[23]),
+	.X(n_7901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608880 (
+	.A1(n_6185),
+	.A2(brqrv_top_brqrv_dec_tlu_mepc[30]),
+	.B1(n_7827),
+	.B2(brqrv_top_brqrv_dec_tlu_dpc[30]),
+	.Y(n_7900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608881 (
+	.A1(n_7865),
+	.A2(brqrv_top_brqrv_lsu_fir_addr[28]),
+	.B1(brqrv_top_brqrv_dec_tlu_sel_npc_resume),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r_d1[28]),
+	.Y(n_7899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608882 (
+	.A1(n_6185),
+	.A2(brqrv_top_brqrv_dec_tlu_mepc[22]),
+	.B1(n_7827),
+	.B2(brqrv_top_brqrv_dec_tlu_dpc[22]),
+	.Y(n_7898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608883 (
+	.A1(n_7827),
+	.A2(brqrv_top_brqrv_dec_tlu_dpc[21]),
+	.B1(brqrv_top_brqrv_dec_tlu_sel_npc_resume),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r_d1[21]),
+	.Y(n_7897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g608884 (
+	.A1(n_1488),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[31]),
+	.B1(n_1291),
+	.B2(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[31]),
+	.X(n_7896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608885 (
+	.A1(n_7827),
+	.A2(brqrv_top_brqrv_dec_tlu_dpc[16]),
+	.B1(brqrv_top_brqrv_dec_tlu_sel_npc_resume),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r_d1[16]),
+	.Y(n_7895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g608886 (
+	.A(n_30465),
+	.B(n_7871),
+	.Y(n_7903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g608887 (
+	.A(n_30458),
+	.B(n_32676),
+	.C(n_30481),
+	.Y(brqrv_top_brqrv_dec_tlu_n_4284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g608888 (
+	.A1(n_30452),
+	.A2(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B1(n_38505),
+	.Y(brqrv_top_brqrv_dec_tlu_sel_npc_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g608889 (
+	.A1(n_1488),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[22]),
+	.B1(n_1291),
+	.B2(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[22]),
+	.X(n_7894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608890 (
+	.A1(n_7827),
+	.A2(brqrv_top_brqrv_dec_tlu_dpc[19]),
+	.B1(brqrv_top_brqrv_dec_tlu_sel_npc_resume),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r_d1[19]),
+	.Y(n_7893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608891 (
+	.A1(n_6185),
+	.A2(brqrv_top_brqrv_dec_tlu_mepc[31]),
+	.B1(n_7827),
+	.B2(brqrv_top_brqrv_dec_tlu_dpc[31]),
+	.Y(n_7892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608892 (
+	.A1(n_7827),
+	.A2(brqrv_top_brqrv_dec_tlu_dpc[26]),
+	.B1(brqrv_top_brqrv_dec_tlu_sel_npc_resume),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r_d1[26]),
+	.Y(n_7891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608893 (
+	.A1(n_6185),
+	.A2(brqrv_top_brqrv_dec_tlu_mepc[18]),
+	.B1(brqrv_top_brqrv_dec_tlu_sel_npc_resume),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r_d1[18]),
+	.Y(n_7890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608894 (
+	.A1(n_7827),
+	.A2(brqrv_top_brqrv_dec_tlu_dpc[14]),
+	.B1(brqrv_top_brqrv_dec_tlu_sel_npc_resume),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r_d1[14]),
+	.Y(n_7889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608895 (
+	.A1(n_7827),
+	.A2(brqrv_top_brqrv_dec_tlu_dpc[20]),
+	.B1(brqrv_top_brqrv_dec_tlu_sel_npc_resume),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r_d1[20]),
+	.Y(n_7888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608896 (
+	.A1(n_6185),
+	.A2(brqrv_top_brqrv_dec_tlu_mepc[17]),
+	.B1(brqrv_top_brqrv_dec_tlu_sel_npc_resume),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r_d1[17]),
+	.Y(n_7887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g608897 (
+	.A1(n_7865),
+	.A2(brqrv_top_brqrv_lsu_fir_addr[30]),
+	.B1(brqrv_top_brqrv_dec_tlu_sel_npc_resume),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r_d1[30]),
+	.Y(n_7886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g608898 (
+	.A1(n_1488),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[25]),
+	.B1(n_1291),
+	.B2(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[25]),
+	.X(n_7885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g608899 (
+	.A1(n_1488),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[11]),
+	.B1(n_1291),
+	.B2(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[11]),
+	.X(n_7884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g608900 (
+	.A1(n_1488),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[29]),
+	.B1(n_1291),
+	.B2(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[29]),
+	.X(n_7883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608901 (
+	.A(n_7827),
+	.B(brqrv_top_brqrv_dec_tlu_dpc[18]),
+	.Y(n_7882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608902 (
+	.A(n_6185),
+	.B(brqrv_top_brqrv_dec_tlu_mepc[19]),
+	.Y(n_7881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608903 (
+	.A(n_7827),
+	.B(brqrv_top_brqrv_dec_tlu_dpc[17]),
+	.Y(n_7880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608904 (
+	.A(n_6185),
+	.B(brqrv_top_brqrv_dec_tlu_mepc[26]),
+	.Y(n_7879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608905 (
+	.A(n_6185),
+	.B(brqrv_top_brqrv_dec_tlu_mepc[21]),
+	.Y(n_7878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608906 (
+	.A(brqrv_top_brqrv_dec_tlu_sel_npc_resume),
+	.B(brqrv_top_brqrv_dec_tlu_npc_r_d1[31]),
+	.Y(n_7877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608907 (
+	.A(n_6185),
+	.B(brqrv_top_brqrv_dec_tlu_mepc[20]),
+	.Y(n_7876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608908 (
+	.A(brqrv_top_brqrv_dec_tlu_sel_npc_resume),
+	.B(brqrv_top_brqrv_dec_tlu_npc_r_d1[22]),
+	.Y(n_7875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g608909 (
+	.A_N(brqrv_top_brqrv_dec_tlu_trigger_hit_dmode_r),
+	.B(brqrv_top_brqrv_dec_tlu_i0_trigger_hit_raw_r),
+	.Y(n_30481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g608911 (
+	.A(n_7748),
+	.Y(n_31556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608914 (
+	.A(n_6185),
+	.B(brqrv_top_brqrv_dec_tlu_mepc[16]),
+	.Y(n_7869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608915 (
+	.A(brqrv_top_brqrv_dec_tlu_sel_npc_resume),
+	.B(brqrv_top_brqrv_dec_tlu_npc_r_d1[25]),
+	.Y(n_7868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608916 (
+	.A(n_6185),
+	.B(brqrv_top_brqrv_dec_tlu_mepc[14]),
+	.Y(n_7867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608917 (
+	.A(brqrv_top_brqrv_dec_tlu_sel_npc_resume),
+	.B(n_7864),
+	.Y(n_31558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608918 (
+	.A(n_7827),
+	.B(n_7864),
+	.Y(n_31557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g608919 (
+	.A(brqrv_top_brqrv_dec_tlu_reset_delayed),
+	.B(n_30460),
+	.Y(n_7748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608920 (
+	.A(n_7865),
+	.B(n_7864),
+	.Y(n_31553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g608921 (
+	.A(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.B(n_30462),
+	.Y(n_7871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g608923 (
+	.A(n_30462),
+	.Y(n_7865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g608924 (
+	.A(brqrv_top_brqrv_dec_tlu_reset_delayed),
+	.Y(n_7864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g608928 (
+	.A(n_32730),
+	.Y(n_7827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g608929 (
+	.A(n_30460),
+	.Y(n_6185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g850635 (
+	.A_N(brqrv_top_brqrv_dec_tlu_sel_npc_r),
+	.B(n_30465),
+	.C(n_7870),
+	.X(n_7858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g850636 (
+	.A1(n_7838),
+	.A2(n_31555),
+	.B1(n_7841),
+	.B2(n_31558),
+	.C1(n_7857),
+	.Y(brqrv_top_brqrv_dec_tlu_flush_path_r[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g850637 (
+	.A1(n_7847),
+	.A2(n_31555),
+	.B1(n_7836),
+	.B2(n_31558),
+	.C1(n_7856),
+	.Y(brqrv_top_brqrv_dec_tlu_flush_path_r[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g850638 (
+	.A(n_7853),
+	.B(n_7855),
+	.C(n_7851),
+	.Y(n_7857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g850639 (
+	.A(n_7850),
+	.B(n_7854),
+	.C(n_7852),
+	.Y(n_7856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g850640 (
+	.A1(n_7845),
+	.A2(n_31553),
+	.B1(n_7835),
+	.B2(n_31554),
+	.Y(n_7855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g850641 (
+	.A1(n_7843),
+	.A2(n_31553),
+	.B1(n_7840),
+	.B2(n_31554),
+	.Y(n_7854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g850642 (
+	.A1(n_7849),
+	.A2(n_31556),
+	.B1(n_7846),
+	.B2(n_31557),
+	.Y(n_7853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g850643 (
+	.A1(n_7844),
+	.A2(n_31552),
+	.B1(n_7839),
+	.B2(n_31551),
+	.Y(n_7852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g850644 (
+	.A1(n_31552),
+	.A2(n_7837),
+	.B1(n_7842),
+	.B2(n_31551),
+	.Y(n_7851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g850645 (
+	.A1(n_7834),
+	.A2(n_31556),
+	.B1(n_7848),
+	.B2(n_31557),
+	.Y(n_7850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g850646 (
+	.A(brqrv_top_brqrv_dec_tlu_mepc[6]),
+	.Y(n_7849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g850647 (
+	.A(brqrv_top_brqrv_dec_tlu_dpc[2]),
+	.Y(n_7848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g850648 (
+	.A(brqrv_top_brqrv_dec_tlu_npc_r[2]),
+	.Y(n_7847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g850649 (
+	.A(brqrv_top_brqrv_dec_tlu_dpc[6]),
+	.Y(n_7846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g850650 (
+	.A(brqrv_top_brqrv_lsu_fir_addr[6]),
+	.Y(n_7845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g850651 (
+	.A(n_34647),
+	.Y(n_7844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g850652 (
+	.A(brqrv_top_brqrv_lsu_fir_addr[2]),
+	.Y(n_7843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g850653 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[5]),
+	.Y(n_7842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g850654 (
+	.A(brqrv_top_brqrv_dec_tlu_npc_r_d1[6]),
+	.Y(n_7841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g850655 (
+	.A(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[2]),
+	.Y(n_7840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g850656 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[1]),
+	.Y(n_7839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g850657 (
+	.A(brqrv_top_brqrv_dec_tlu_npc_r[6]),
+	.Y(n_7838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g850658 (
+	.A(n_34651),
+	.Y(n_7837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g850659 (
+	.A(brqrv_top_brqrv_dec_tlu_npc_r_d1[2]),
+	.Y(n_7836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g850660 (
+	.A(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[6]),
+	.Y(n_7835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g850661 (
+	.A(brqrv_top_brqrv_dec_tlu_mepc[2]),
+	.Y(n_7834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g850662 (
+	.A(n_32779),
+	.B(n_30518),
+	.X(n_30519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g850663 (
+	.A1(n_34657),
+	.A2(n_39139),
+	.B1(n_7825),
+	.B2(brqrv_top_brqrv_dec_tlu_mtvec[11]),
+	.C1(n_7833),
+	.X(brqrv_top_brqrv_dec_tlu_flush_path_r[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g850664 (
+	.A1(n_7822),
+	.A2(n_30489),
+	.B1(n_7828),
+	.B2(n_30460),
+	.C1(n_7832),
+	.Y(n_7833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g850665 (
+	.A1(n_7827),
+	.A2(brqrv_top_brqrv_dec_tlu_dpc[12]),
+	.B1(brqrv_top_brqrv_dec_tlu_sel_npc_resume),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r_d1[12]),
+	.C1(n_7831),
+	.Y(n_7832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g850666 (
+	.A1(n_7823),
+	.A2(n_30485),
+	.B1(n_7820),
+	.B2(n_30462),
+	.C1(n_7830),
+	.Y(n_7831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g850667 (
+	.A1(brqrv_top_brqrv_dec_tlu_sel_npc_r),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[12]),
+	.B1(brqrv_top_brqrv_dec_tlu_reset_delayed),
+	.Y(n_7830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g850668 (
+	.A1(n_39138),
+	.A2(brqrv_top_brqrv_exu_i0_flush_path_upper_r[12]),
+	.B1(n_39152),
+	.B2(brqrv_top_brqrv_exu_pred_correct_npc_r[12]),
+	.C1(n_7829),
+	.X(brqrv_top_brqrv_dec_tlu_npc_r[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g850669 (
+	.A_N(brqrv_top_brqrv_exu_flush_final),
+	.B(n_32603),
+	.Y(n_32602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g850670 (
+	.A1(n_7818),
+	.A2(brqrv_top_brqrv_dec_tlu_n_4856),
+	.B1(n_7824),
+	.B2(n_30482),
+	.Y(n_7829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850671 (
+	.A(brqrv_top_brqrv_ifu_ic_fetch_val_f[0]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_req_f),
+	.Y(n_32603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g850672 (
+	.A(brqrv_top_brqrv_dec_tlu_mepc[12]),
+	.Y(n_7828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g850676 (
+	.A(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[12]),
+	.Y(n_7824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g850677 (
+	.A(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[12]),
+	.Y(n_7823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g850678 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[11]),
+	.Y(n_7822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g850680 (
+	.A(brqrv_top_brqrv_lsu_fir_addr[12]),
+	.Y(n_7820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g850682 (
+	.A(brqrv_top_brqrv_dec_tlu_npc_r_d1[12]),
+	.Y(n_7818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g606353 (
+	.A1(n_7797),
+	.A2(brqrv_top_brqrv_ifu_ifc_fb_full_f),
+	.B1(n_7817),
+	.Y(n_377915_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g606354 (
+	.A(n_31004),
+	.B_N(n_31563),
+	.Y(n_7817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111o_1 g606355 (
+	.A1(brqrv_top_brqrv_ifu_ifc_fb_write_ns[3]),
+	.A2(n_7797),
+	.B1(brqrv_top_brqrv_ifu_ifc_dma_iccm_stall_any_f),
+	.C1(n_7764),
+	.D1(brqrv_top_brqrv_dec_tlu_flush_noredir_r),
+	.X(n_31563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g606356 (
+	.A(n_7816),
+	.B(n_7768),
+	.C(n_7761),
+	.Y(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g606357 (
+	.A(n_7815),
+	.B(n_7778),
+	.Y(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g606358 (
+	.A1(brqrv_top_brqrv_dec_tlu_flush_lower_r),
+	.A2(brqrv_top_brqrv_dec_tlu_flush_path_r[10]),
+	.B1(n_7737),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[10]),
+	.C1(n_39272),
+	.C2(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[10]),
+	.Y(n_7816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g606359 (
+	.A(n_7814),
+	.B(n_7807),
+	.Y(brqrv_top_brqrv_ifu_ifc_fb_write_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g606360 (
+	.A(n_7808),
+	.B(n_7780),
+	.C(n_7762),
+	.Y(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g606361 (
+	.A1(brqrv_top_brqrv_dec_tlu_flush_lower_r),
+	.A2(brqrv_top_brqrv_dec_tlu_flush_path_r[1]),
+	.B1(n_7796),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[1]),
+	.C1(n_39272),
+	.C2(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[1]),
+	.Y(n_7815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g606362 (
+	.A_N(n_31564),
+	.B(brqrv_top_brqrv_ifu_ifc_fb_full_f),
+	.Y(n_7814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g606363 (
+	.A1(n_7737),
+	.A2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[8]),
+	.B1(n_7736),
+	.B2(n_34131),
+	.C1(n_7813),
+	.X(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g606364 (
+	.A(n_7805),
+	.B(n_7777),
+	.C(n_7763),
+	.Y(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g606365 (
+	.A1(n_7737),
+	.A2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[5]),
+	.B1(n_7736),
+	.B2(n_34128),
+	.C1(n_7811),
+	.X(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g606366 (
+	.A1(n_7737),
+	.A2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[7]),
+	.B1(n_7736),
+	.B2(n_34130),
+	.C1(n_7812),
+	.X(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g606367 (
+	.A1(n_7737),
+	.A2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[4]),
+	.B1(n_7736),
+	.B2(n_34127),
+	.C1(n_7810),
+	.X(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g606368 (
+	.A1(n_7758),
+	.A2(n_31559),
+	.B1(n_7809),
+	.Y(n_7813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g606369 (
+	.A1(n_7760),
+	.A2(n_31559),
+	.B1(n_7806),
+	.Y(n_7812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g606370 (
+	.A1(n_7756),
+	.A2(n_32812),
+	.B1(n_7803),
+	.Y(n_7811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g606371 (
+	.A1(n_7757),
+	.A2(n_32812),
+	.B1(n_7804),
+	.Y(n_7810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g606372 (
+	.A(n_7802),
+	.B(n_7769),
+	.C(n_7781),
+	.Y(brqrv_top_brqrv_dec_tlu_flush_path_r[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g606373 (
+	.A(brqrv_top_brqrv_exu_flush_final),
+	.B(n_7784),
+	.C(n_7800),
+	.D(n_31565),
+	.X(n_31564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g606374 (
+	.A1(brqrv_top_brqrv_dec_tlu_flush_lower_r),
+	.A2(brqrv_top_brqrv_dec_tlu_flush_path_r[8]),
+	.B1(n_39271),
+	.B2(n_33735),
+	.C1(n_39272),
+	.C2(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[8]),
+	.Y(n_7809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g606375 (
+	.A1(brqrv_top_brqrv_dec_tlu_flush_lower_r),
+	.A2(brqrv_top_brqrv_dec_tlu_flush_path_r[9]),
+	.B1(n_7737),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[9]),
+	.C1(n_39272),
+	.C2(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[9]),
+	.Y(n_7808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g606376 (
+	.A_N(n_31566),
+	.B(brqrv_top_brqrv_ifu_ifc_fb_write_f[2]),
+	.Y(n_7807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g606377 (
+	.A1(brqrv_top_brqrv_dec_tlu_flush_lower_r),
+	.A2(brqrv_top_brqrv_dec_tlu_flush_path_r[7]),
+	.B1(n_39271),
+	.B2(n_33732),
+	.C1(n_39272),
+	.C2(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[7]),
+	.Y(n_7806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g606378 (
+	.A1(brqrv_top_brqrv_dec_tlu_flush_lower_r),
+	.A2(brqrv_top_brqrv_dec_tlu_flush_path_r[3]),
+	.B1(n_7737),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[3]),
+	.C1(n_39272),
+	.C2(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[3]),
+	.Y(n_7805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g606379 (
+	.A1(brqrv_top_brqrv_dec_tlu_flush_lower_r),
+	.A2(brqrv_top_brqrv_dec_tlu_flush_path_r[4]),
+	.B1(n_7738),
+	.B2(n_31463),
+	.C1(n_39272),
+	.C2(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[4]),
+	.Y(n_7804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g606380 (
+	.A1(brqrv_top_brqrv_dec_tlu_flush_lower_r),
+	.A2(brqrv_top_brqrv_dec_tlu_flush_path_r[5]),
+	.B1(n_7738),
+	.B2(n_32509),
+	.C1(n_39272),
+	.C2(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[5]),
+	.Y(n_7803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g606381 (
+	.A1(n_7748),
+	.A2(brqrv_top_brqrv_dec_tlu_mepc[1]),
+	.B1(n_7746),
+	.B2(brqrv_top_brqrv_lsu_fir_addr[1]),
+	.C1(n_7801),
+	.X(brqrv_top_brqrv_dec_tlu_flush_path_r[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g606382 (
+	.A(n_31569),
+	.B(n_31567),
+	.X(n_31566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g606383 (
+	.A1(n_34655),
+	.A2(n_7741),
+	.B1(n_7742),
+	.B2(brqrv_top_brqrv_dec_tlu_mtvec[9]),
+	.C1(n_7798),
+	.Y(n_7802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g606384 (
+	.A1(n_7759),
+	.A2(n_31554),
+	.B1(n_7799),
+	.Y(n_7801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g606385 (
+	.A(n_31567),
+	.B_N(n_7797),
+	.Y(n_7800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g606386 (
+	.A1(n_7746),
+	.A2(brqrv_top_brqrv_lsu_fir_addr[7]),
+	.B1(n_7740),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[7]),
+	.C1(n_7795),
+	.X(brqrv_top_brqrv_dec_tlu_flush_path_r[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g606387 (
+	.A(n_7797),
+	.B(n_7752),
+	.Y(n_31569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g606388 (
+	.A1(n_34650),
+	.A2(n_7741),
+	.B1(n_7742),
+	.B2(brqrv_top_brqrv_dec_tlu_mtvec[4]),
+	.C1(n_7792),
+	.X(brqrv_top_brqrv_dec_tlu_flush_path_r[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g606389 (
+	.A1(n_34654),
+	.A2(n_7741),
+	.B1(n_7742),
+	.B2(brqrv_top_brqrv_dec_tlu_mtvec[8]),
+	.C1(n_7793),
+	.X(brqrv_top_brqrv_dec_tlu_flush_path_r[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g606390 (
+	.A1(brqrv_top_brqrv_dec_tlu_npc_r_d1[1]),
+	.A2(n_7750),
+	.B1(n_7749),
+	.B2(brqrv_top_brqrv_dec_tlu_dpc[1]),
+	.C1(n_7751),
+	.C2(brqrv_top_brqrv_dec_tlu_npc_r[1]),
+	.Y(n_7799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g606391 (
+	.A1(n_7751),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[10]),
+	.B1(n_7750),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r_d1[10]),
+	.X(n_7798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g606392 (
+	.A1(n_7748),
+	.A2(brqrv_top_brqrv_dec_tlu_mepc[8]),
+	.B1(n_7749),
+	.B2(brqrv_top_brqrv_dec_tlu_dpc[8]),
+	.C1(n_7791),
+	.X(brqrv_top_brqrv_dec_tlu_flush_path_r[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g606393 (
+	.A(n_7774),
+	.B(n_7773),
+	.C(n_7772),
+	.D(n_7775),
+	.Y(brqrv_top_brqrv_dec_tlu_flush_path_r[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g606394 (
+	.A1(n_7741),
+	.A2(n_34648),
+	.B1(n_7742),
+	.B2(brqrv_top_brqrv_dec_tlu_mtvec[2]),
+	.C1(n_7794),
+	.X(brqrv_top_brqrv_dec_tlu_flush_path_r[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g606395 (
+	.A1(n_31567),
+	.A2(n_7787),
+	.B1(n_7784),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_req_f),
+	.X(n_31565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g606396 (
+	.A1(n_7766),
+	.A2(n_32812),
+	.B1(n_32602),
+	.Y(n_7796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g606397 (
+	.A1(n_7748),
+	.A2(brqrv_top_brqrv_dec_tlu_mepc[7]),
+	.B1(n_7749),
+	.B2(brqrv_top_brqrv_dec_tlu_dpc[7]),
+	.C1(n_7788),
+	.X(n_7795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g606398 (
+	.A(n_7787),
+	.B(n_7784),
+	.Y(n_7797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g606399 (
+	.A1(n_7748),
+	.A2(brqrv_top_brqrv_dec_tlu_mepc[3]),
+	.B1(n_7749),
+	.B2(brqrv_top_brqrv_dec_tlu_dpc[3]),
+	.C1(n_7785),
+	.X(n_7794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g606400 (
+	.A1(n_7748),
+	.A2(brqrv_top_brqrv_dec_tlu_mepc[9]),
+	.B1(n_7749),
+	.B2(brqrv_top_brqrv_dec_tlu_dpc[9]),
+	.C1(n_7789),
+	.X(n_7793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g606401 (
+	.A1(n_7746),
+	.A2(brqrv_top_brqrv_lsu_fir_addr[5]),
+	.B1(n_7740),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[5]),
+	.C1(n_7790),
+	.X(n_7792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g606402 (
+	.A1(n_34653),
+	.A2(n_7741),
+	.B1(n_7742),
+	.B2(brqrv_top_brqrv_dec_tlu_mtvec[7]),
+	.C1(n_7786),
+	.X(n_7791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g606403 (
+	.A1(n_7751),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[5]),
+	.B1(n_7750),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r_d1[5]),
+	.C1(n_7776),
+	.X(n_7790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g606404 (
+	.A1(n_7746),
+	.A2(brqrv_top_brqrv_lsu_fir_addr[9]),
+	.B1(n_7740),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[9]),
+	.C1(n_7771),
+	.X(n_7789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g606405 (
+	.A1(n_34652),
+	.A2(n_7741),
+	.B1(n_7742),
+	.B2(brqrv_top_brqrv_dec_tlu_mtvec[6]),
+	.C1(n_7783),
+	.X(n_7788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g606406 (
+	.A1(n_39138),
+	.A2(brqrv_top_brqrv_exu_i0_flush_path_upper_r[1]),
+	.B1(n_39152),
+	.B2(brqrv_top_brqrv_exu_pred_correct_npc_r[1]),
+	.C1(n_7779),
+	.X(brqrv_top_brqrv_dec_tlu_npc_r[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g606407 (
+	.A(n_7787),
+	.Y(n_31572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g606408 (
+	.A1(n_7746),
+	.A2(brqrv_top_brqrv_lsu_fir_addr[8]),
+	.B1(n_7740),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[8]),
+	.C1(n_7767),
+	.X(n_7786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g606409 (
+	.A1(n_7746),
+	.A2(brqrv_top_brqrv_lsu_fir_addr[3]),
+	.B1(n_7740),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[3]),
+	.C1(n_7770),
+	.X(n_7785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g606410 (
+	.A1(n_39138),
+	.A2(brqrv_top_brqrv_exu_i0_flush_path_upper_r[10]),
+	.B1(n_39152),
+	.B2(brqrv_top_brqrv_exu_pred_correct_npc_r[10]),
+	.C1(n_7782),
+	.X(brqrv_top_brqrv_dec_tlu_npc_r[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g606411 (
+	.A(n_31573),
+	.B(n_7765),
+	.C(brqrv_top_brqrv_exu_flush_final),
+	.D_N(brqrv_top_brqrv_ifu_aln_f0val[0]),
+	.Y(n_7787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g606412 (
+	.A(n_31574),
+	.Y(n_7784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g606413 (
+	.A1(n_7751),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[7]),
+	.B1(n_7750),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r_d1[7]),
+	.X(n_7783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g606414 (
+	.A1(n_7743),
+	.A2(brqrv_top_brqrv_dec_tlu_n_4856),
+	.B1(n_7744),
+	.B2(n_30482),
+	.Y(n_7782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g606415 (
+	.A1(n_7748),
+	.A2(brqrv_top_brqrv_dec_tlu_mepc[10]),
+	.B1(n_7749),
+	.B2(brqrv_top_brqrv_dec_tlu_dpc[10]),
+	.Y(n_7781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g606416 (
+	.A1(n_39271),
+	.A2(n_33717),
+	.B1(n_7736),
+	.B2(n_34132),
+	.Y(n_7780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g606417 (
+	.A1(n_7754),
+	.A2(brqrv_top_brqrv_dec_tlu_n_4856),
+	.B1(n_7745),
+	.B2(n_30482),
+	.Y(n_7779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g606418 (
+	.A1(n_7738),
+	.A2(n_31547),
+	.B1(n_7736),
+	.B2(n_34124),
+	.Y(n_7778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g606419 (
+	.A1(n_39271),
+	.A2(n_33720),
+	.B1(n_7738),
+	.B2(n_32519),
+	.Y(n_7777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g606420 (
+	.A1(n_7748),
+	.A2(brqrv_top_brqrv_dec_tlu_mepc[5]),
+	.B1(n_7749),
+	.B2(brqrv_top_brqrv_dec_tlu_dpc[5]),
+	.X(n_7776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g606421 (
+	.A(n_31568),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_req_f),
+	.Y(n_31567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g606422 (
+	.A(n_7752),
+	.B(n_7765),
+	.Y(n_31574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g606423 (
+	.A1(n_7751),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[4]),
+	.B1(n_7750),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r_d1[4]),
+	.Y(n_7775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g606424 (
+	.A1(n_7746),
+	.A2(brqrv_top_brqrv_lsu_fir_addr[4]),
+	.B1(n_7740),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[4]),
+	.Y(n_7774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g606425 (
+	.A1(n_7741),
+	.A2(n_34649),
+	.B1(n_7742),
+	.B2(brqrv_top_brqrv_dec_tlu_mtvec[3]),
+	.Y(n_7773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g850683 (
+	.A1(n_7748),
+	.A2(brqrv_top_brqrv_dec_tlu_mepc[4]),
+	.B1(n_7749),
+	.B2(brqrv_top_brqrv_dec_tlu_dpc[4]),
+	.Y(n_7772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g850684 (
+	.A1(n_7751),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[9]),
+	.B1(n_7750),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r_d1[9]),
+	.X(n_7771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g850685 (
+	.A1(n_7751),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[3]),
+	.B1(n_7750),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r_d1[3]),
+	.X(n_7770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g850686 (
+	.A1(n_7746),
+	.A2(brqrv_top_brqrv_lsu_fir_addr[10]),
+	.B1(n_7740),
+	.B2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[10]),
+	.Y(n_7769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g850687 (
+	.A1(n_39271),
+	.A2(n_33719),
+	.B1(n_7736),
+	.B2(n_34133),
+	.Y(n_7768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g850688 (
+	.A1(n_7751),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r[8]),
+	.B1(n_7750),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r_d1[8]),
+	.X(n_7767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g850689 (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[6]),
+	.B(n_33729),
+	.X(n_7766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g850690 (
+	.A(n_7764),
+	.Y(n_31577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850691 (
+	.A(n_11336),
+	.B(brqrv_top_iccm_instr_we),
+	.Y(n_30930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g850692 (
+	.A(n_31575),
+	.B_N(brqrv_top_brqrv_ifu_aln_f1val[0]),
+	.Y(n_7765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g850693 (
+	.A(brqrv_top_brqrv_exu_flush_final),
+	.B(brqrv_top_brqrv_ifu_ic_fetch_val_f[0]),
+	.X(n_31568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g850694 (
+	.A(brqrv_top_brqrv_ifu_ifc_state[0]),
+	.B(brqrv_top_brqrv_ifu_ifc_state[1]),
+	.Y(n_7764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850695 (
+	.A(n_7736),
+	.B(n_34126),
+	.Y(n_7763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850696 (
+	.A(n_7738),
+	.B(n_32516),
+	.Y(n_7762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850697 (
+	.A(n_7738),
+	.B(n_31544),
+	.Y(n_7761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850698 (
+	.A(brqrv_top_brqrv_ifu_ifc_state[1]),
+	.B(brqrv_top_brqrv_ifu_ifc_state[0]),
+	.Y(n_31004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g850699 (
+	.A(n_31465),
+	.Y(n_7760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g850700 (
+	.A(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[1]),
+	.Y(n_7759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g850701 (
+	.A(n_32517),
+	.Y(n_7758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g850702 (
+	.A(n_33723),
+	.Y(n_7757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g850703 (
+	.A(n_33726),
+	.Y(n_7756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g850705 (
+	.A(brqrv_top_brqrv_dec_tlu_npc_r_d1[1]),
+	.Y(n_7754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g850708 (
+	.A(n_31555),
+	.Y(n_7751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g850709 (
+	.A(n_31558),
+	.Y(n_7750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g850710 (
+	.A(n_31557),
+	.Y(n_7749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g850713 (
+	.A(n_31553),
+	.Y(n_7746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g850714 (
+	.A(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[1]),
+	.Y(n_7745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g850715 (
+	.A(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[10]),
+	.Y(n_7744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g850717 (
+	.A(brqrv_top_brqrv_dec_tlu_npc_r_d1[10]),
+	.Y(n_7743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g850718 (
+	.A(n_31551),
+	.Y(n_7742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g850719 (
+	.A(n_31552),
+	.Y(n_7741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g850720 (
+	.A(n_31554),
+	.Y(n_7740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g850722 (
+	.A(n_31559),
+	.Y(n_7738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681637 (
+	.A(n_7735),
+	.B(n_7115),
+	.C(n_7167),
+	.D(n_7157),
+	.Y(brqrv_top_brqrv_dec_csr_rddata_d[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g681638 (
+	.A1(n_6473),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpme3[1]),
+	.B1(n_7734),
+	.Y(n_7735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g681639 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[1]),
+	.A2(n_30484),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[1]),
+	.B2(n_30486),
+	.C1(n_7733),
+	.Y(n_7734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681640 (
+	.A1(n_7021),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpme4[0]),
+	.B1(n_6473),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpme3[0]),
+	.C1(n_7732),
+	.X(brqrv_top_brqrv_dec_csr_rddata_d[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681641 (
+	.A1(n_6002),
+	.A2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[1]),
+	.B1(n_6013),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[1]),
+	.C1(n_7730),
+	.Y(n_7733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681642 (
+	.A1(n_6920),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpme6[2]),
+	.B1(n_6029),
+	.B2(brqrv_top_brqrv_dec_tlu_mcountinhibit[2]),
+	.C1(n_7731),
+	.X(brqrv_top_brqrv_dec_csr_rddata_d[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681643 (
+	.A1(n_6919),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpme5[0]),
+	.B1(n_6920),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpme6[0]),
+	.C1(n_7728),
+	.X(n_7732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g681644 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[2]),
+	.A2(n_30484),
+	.B1(n_6342),
+	.C1(n_7199),
+	.D1(n_7729),
+	.Y(n_7731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681645 (
+	.A1(n_6413),
+	.A2(brqrv_top_brqrv_dec_tlu_int_timers_mitctl0[1]),
+	.B1(n_6406),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitctl1[1]),
+	.C1(n_7727),
+	.X(n_7730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681646 (
+	.A1(n_6919),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpme5[2]),
+	.B1(n_6473),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpme3[2]),
+	.C1(n_7725),
+	.Y(n_7729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681647 (
+	.A1(n_6944),
+	.A2(brqrv_top_brqrv_dec_tlu_mfdhs[0]),
+	.B1(n_6029),
+	.B2(brqrv_top_brqrv_dec_tlu_mcountinhibit[0]),
+	.C1(n_7726),
+	.X(n_7728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681648 (
+	.A(n_7722),
+	.B(n_6529),
+	.C(n_6527),
+	.D(n_6442),
+	.Y(n_7727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g681649 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[0]),
+	.A2(n_30484),
+	.B1(n_6348),
+	.C1(n_7217),
+	.D1(n_7724),
+	.Y(n_7726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681650 (
+	.A(n_7721),
+	.B(n_6546),
+	.C(n_7168),
+	.D(n_6338),
+	.Y(n_7725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681651 (
+	.A1(n_39142),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc4[0]),
+	.B1(n_6161),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc3h[0]),
+	.C1(n_7723),
+	.Y(n_7724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681652 (
+	.A1(n_6398),
+	.A2(brqrv_top_brqrv_dec_tlu_mfdht[3]),
+	.B1(n_6159),
+	.B2(brqrv_top_brqrv_dec_tlu_micect[3]),
+	.C1(n_7720),
+	.X(brqrv_top_brqrv_dec_csr_rddata_d[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g681653 (
+	.A(n_7717),
+	.B(n_6350),
+	.C(n_6510),
+	.Y(n_7723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681654 (
+	.A1(n_6004),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc4h[1]),
+	.B1(n_6161),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc3h[1]),
+	.C1(n_7719),
+	.Y(n_7722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681655 (
+	.A1(n_6015),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc3[2]),
+	.B1(n_6162),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[2]),
+	.C1(n_7718),
+	.Y(n_7721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681656 (
+	.A(n_7716),
+	.B(n_7132),
+	.C(n_6354),
+	.D(n_6562),
+	.Y(n_7720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681657 (
+	.A1(n_6398),
+	.A2(brqrv_top_brqrv_dec_tlu_mfdht[1]),
+	.B1(n_6172),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc6[1]),
+	.C1(n_7714),
+	.X(n_7719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681658 (
+	.A1(n_6172),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc6[11]),
+	.B1(n_6169),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5[11]),
+	.C1(n_7715),
+	.X(brqrv_top_brqrv_dec_csr_rddata_d[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681659 (
+	.A1(n_6011),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc6h[2]),
+	.B1(n_6169),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5[2]),
+	.C1(n_7713),
+	.X(n_7718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681660 (
+	.A1(n_6011),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc6h[0]),
+	.B1(n_6169),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5[0]),
+	.C1(n_7712),
+	.Y(n_7717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681661 (
+	.A1(n_6172),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc6[24]),
+	.B1(n_6169),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5[24]),
+	.C1(n_7708),
+	.X(brqrv_top_brqrv_dec_csr_rddata_d[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681662 (
+	.A1(n_6172),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc6[21]),
+	.B1(n_6169),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5[21]),
+	.C1(n_7710),
+	.X(brqrv_top_brqrv_dec_csr_rddata_d[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681663 (
+	.A1(n_6172),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc6[20]),
+	.B1(n_6169),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5[20]),
+	.C1(n_7709),
+	.X(brqrv_top_brqrv_dec_csr_rddata_d[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681664 (
+	.A1(n_6162),
+	.A2(brqrv_top_brqrv_dec_tlu_miccmect[3]),
+	.B1(n_39141),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[3]),
+	.C1(n_7711),
+	.Y(n_7716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g681665 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[11]),
+	.A2(n_30486),
+	.B1(n_6448),
+	.C1(n_6447),
+	.D1(n_7706),
+	.Y(n_7715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681666 (
+	.A1(n_6169),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc5[1]),
+	.B1(n_39140),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[1]),
+	.C1(n_7707),
+	.X(n_7714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681667 (
+	.A1(n_6398),
+	.A2(brqrv_top_brqrv_dec_tlu_mfdht[2]),
+	.B1(n_6014),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5h[2]),
+	.C1(n_7705),
+	.X(n_7713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681668 (
+	.A1(n_6398),
+	.A2(brqrv_top_brqrv_dec_tlu_mfdht[0]),
+	.B1(n_6014),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5h[0]),
+	.C1(n_7704),
+	.X(n_7712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681669 (
+	.A(n_7698),
+	.B(n_6559),
+	.C(n_7176),
+	.D(n_6446),
+	.Y(n_7711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g681670 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[21]),
+	.A2(n_30484),
+	.B1(n_6436),
+	.C1(n_6469),
+	.D1(n_7703),
+	.Y(n_7710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g681671 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[20]),
+	.A2(n_30484),
+	.B1(n_6426),
+	.C1(n_6427),
+	.D1(n_7702),
+	.Y(n_7709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g681672 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[24]),
+	.A2(n_30484),
+	.B1(n_6327),
+	.C1(n_6328),
+	.D1(n_7701),
+	.Y(n_7708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681673 (
+	.A(n_7688),
+	.B(n_6522),
+	.C(n_6326),
+	.D(n_6523),
+	.Y(brqrv_top_brqrv_dec_csr_rddata_d[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681674 (
+	.A(n_7689),
+	.B(n_7113),
+	.C(n_7048),
+	.D(n_7114),
+	.Y(n_7707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681675 (
+	.A1(n_6004),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc4h[11]),
+	.B1(n_6002),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[11]),
+	.C1(n_7697),
+	.Y(n_7706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681676 (
+	.A1(n_6004),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc4h[2]),
+	.B1(n_39140),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[2]),
+	.C1(n_7700),
+	.X(n_7705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681677 (
+	.A1(n_6004),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc4h[0]),
+	.B1(n_39140),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[0]),
+	.C1(n_7699),
+	.X(n_7704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681678 (
+	.A(n_7687),
+	.B(n_6380),
+	.C(n_30479),
+	.D(n_6613),
+	.Y(brqrv_top_brqrv_dec_csr_rddata_d[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681679 (
+	.A1(n_6161),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc3h[21]),
+	.B1(n_6013),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[21]),
+	.C1(n_7694),
+	.Y(n_7703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681680 (
+	.A1(n_6161),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc3h[20]),
+	.B1(n_6013),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[20]),
+	.C1(n_7693),
+	.Y(n_7702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681681 (
+	.A1(n_6161),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc3h[24]),
+	.B1(n_6013),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[24]),
+	.C1(n_7691),
+	.Y(n_7701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681682 (
+	.A1(n_6915),
+	.A2(brqrv_top_brqrv_dec_tlu_mtdata1_t1[2]),
+	.B1(n_6916),
+	.B2(brqrv_top_brqrv_dec_tlu_mtdata1_t3[2]),
+	.C1(n_7690),
+	.X(n_7700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681683 (
+	.A(n_7678),
+	.B(n_6493),
+	.C(n_30479),
+	.D(n_6388),
+	.Y(brqrv_top_brqrv_dec_csr_rddata_d[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681684 (
+	.A(n_7677),
+	.B(n_6913),
+	.C(n_30479),
+	.D(n_6451),
+	.Y(brqrv_top_brqrv_dec_csr_rddata_d[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g681685 (
+	.A(n_7692),
+	.B(n_7203),
+	.C(n_6372),
+	.Y(brqrv_top_brqrv_dec_csr_rddata_d[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681686 (
+	.A(n_7669),
+	.B(n_7110),
+	.C(n_7047),
+	.D(n_7111),
+	.Y(n_7699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681687 (
+	.A1(n_6920),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpme6[3]),
+	.B1(n_6919),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpme5[3]),
+	.C1(n_7696),
+	.Y(n_7698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681688 (
+	.A1(n_6015),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc3[11]),
+	.B1(n_39142),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc4[11]),
+	.C1(n_7695),
+	.X(n_7697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681689 (
+	.A(n_7675),
+	.B(n_6638),
+	.C(n_6461),
+	.D(n_6640),
+	.Y(brqrv_top_brqrv_dec_csr_rddata_d[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681690 (
+	.A(n_7685),
+	.B(n_6980),
+	.C(n_6421),
+	.D(n_6569),
+	.Y(n_7696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g681691 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[11]),
+	.A2(n_30484),
+	.B1(n_6728),
+	.C1(n_6726),
+	.D1(n_7681),
+	.Y(n_7695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681692 (
+	.A(n_7665),
+	.B(n_6567),
+	.C(n_30479),
+	.D(n_6460),
+	.Y(brqrv_top_brqrv_dec_csr_rddata_d[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681693 (
+	.A1(n_6015),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc3[21]),
+	.B1(n_39142),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc4[21]),
+	.C1(n_7684),
+	.X(n_7694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681694 (
+	.A1(n_6162),
+	.A2(brqrv_top_brqrv_dec_tlu_miccmect[20]),
+	.B1(n_39140),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[20]),
+	.C1(n_7686),
+	.X(n_7693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g681695 (
+	.A1(n_6398),
+	.A2(brqrv_top_brqrv_dec_tlu_mfdht[4]),
+	.B1(n_7682),
+	.Y(n_7692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681696 (
+	.A1(n_6015),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc3[24]),
+	.B1(n_39142),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc4[24]),
+	.C1(n_7683),
+	.X(n_7691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681697 (
+	.A(n_7668),
+	.B(n_6685),
+	.C(n_6425),
+	.D(n_6687),
+	.Y(brqrv_top_brqrv_dec_csr_rddata_d[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681698 (
+	.A1(n_6917),
+	.A2(brqrv_top_brqrv_dec_tlu_mtdata1_t2[2]),
+	.B1(n_6918),
+	.B2(brqrv_top_brqrv_dec_tlu_mtdata1_t0[2]),
+	.C1(n_7679),
+	.X(n_7690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681699 (
+	.A1(n_39141),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[1]),
+	.B1(n_6917),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[111]),
+	.C1(n_7676),
+	.Y(n_7689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681700 (
+	.A1(n_6004),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc4h[15]),
+	.B1(n_6169),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5[15]),
+	.C1(n_7671),
+	.Y(n_7688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681701 (
+	.A1(n_6169),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc5[29]),
+	.B1(n_6161),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc3h[29]),
+	.C1(n_7670),
+	.Y(n_7687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681702 (
+	.A(n_7672),
+	.B(n_7281),
+	.C(n_6437),
+	.D(n_6674),
+	.Y(brqrv_top_brqrv_dec_csr_rddata_d[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g681703 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[20]),
+	.A2(n_30486),
+	.B1(n_6682),
+	.C1(n_6684),
+	.D1(n_7657),
+	.Y(n_7686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g681704 (
+	.A(n_7674),
+	.B(n_7204),
+	.C(n_6385),
+	.Y(brqrv_top_brqrv_dec_csr_rddata_d[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681705 (
+	.A1(n_6172),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc6[3]),
+	.B1(n_6169),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5[3]),
+	.C1(n_7673),
+	.Y(n_7685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g681706 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[21]),
+	.A2(n_30486),
+	.B1(n_30479),
+	.C1(n_6707),
+	.D1(n_7647),
+	.Y(n_7684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g681707 (
+	.A1(brqrv_top_brqrv_dec_tlu_miccmect[31]),
+	.A2(n_6961),
+	.B1(n_7046),
+	.C1(n_7108),
+	.D1(n_7653),
+	.Y(brqrv_top_brqrv_dec_tlu_ce_int), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681708 (
+	.A1(n_6170),
+	.A2(n_34552),
+	.B1(n_6011),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc6h[16]),
+	.C1(n_7680),
+	.X(brqrv_top_brqrv_dec_csr_rddata_d[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g681709 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[24]),
+	.A2(n_30486),
+	.B1(n_30479),
+	.C1(n_6521),
+	.D1(n_7641),
+	.Y(n_7683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681710 (
+	.A(n_7664),
+	.B(n_6975),
+	.C(n_7179),
+	.D(n_6536),
+	.Y(n_7682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681711 (
+	.A1(n_6159),
+	.A2(brqrv_top_brqrv_dec_tlu_micect[11]),
+	.B1(n_6175),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[87]),
+	.C1(n_7663),
+	.Y(n_7681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g681712 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[16]),
+	.A2(n_30486),
+	.B1(n_6543),
+	.C1(n_7642),
+	.Y(n_7680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681713 (
+	.A1(n_6175),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[78]),
+	.B1(n_6009),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[116]),
+	.C1(n_7656),
+	.X(n_7679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681714 (
+	.A1(n_6004),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc4h[23]),
+	.B1(n_6172),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc6[23]),
+	.C1(n_7658),
+	.Y(n_7678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681715 (
+	.A1(n_6473),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpme3[9]),
+	.B1(n_6021),
+	.B2(brqrv_top_brqrv_dec_tlu_dicawics[6]),
+	.C1(n_7662),
+	.X(brqrv_top_brqrv_dec_csr_rddata_d[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681716 (
+	.A1(n_6162),
+	.A2(brqrv_top_brqrv_dec_tlu_miccmect[12]),
+	.B1(n_39140),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[12]),
+	.C1(n_7660),
+	.X(brqrv_top_brqrv_dec_csr_rddata_d[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681717 (
+	.A1(n_6004),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc4h[22]),
+	.B1(n_6172),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc6[22]),
+	.C1(n_7661),
+	.Y(n_7677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681718 (
+	.A1(n_6163),
+	.A2(brqrv_top_brqrv_dec_tlu_dicad0[1]),
+	.B1(n_33176),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0h[1]),
+	.C1(n_7659),
+	.X(n_7676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681719 (
+	.A1(n_6172),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc6[6]),
+	.B1(n_6169),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5[6]),
+	.C1(n_7667),
+	.Y(n_7675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g681720 (
+	.A1(n_6398),
+	.A2(brqrv_top_brqrv_dec_tlu_mfdht[5]),
+	.B1(n_7654),
+	.Y(n_7674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681721 (
+	.A(n_7640),
+	.B(n_6556),
+	.C(n_6905),
+	.D(n_6352),
+	.Y(n_7673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g681722 (
+	.A1(n_6169),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc5[7]),
+	.B1(n_7655),
+	.Y(n_7672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681723 (
+	.A1(n_6011),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc6h[15]),
+	.B1(n_6014),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5h[15]),
+	.C1(n_7666),
+	.X(n_7671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681724 (
+	.A1(n_6004),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc4h[29]),
+	.B1(n_39140),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[29]),
+	.C1(n_7646),
+	.X(n_7670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681725 (
+	.A1(n_6916),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[148]),
+	.B1(n_39141),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[0]),
+	.C1(n_7649),
+	.Y(n_7669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681726 (
+	.A1(n_6169),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc5[8]),
+	.B1(n_6161),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc3h[8]),
+	.C1(n_7645),
+	.Y(n_7668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681727 (
+	.A1(n_6916),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[151]),
+	.B1(n_33176),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0h[19]),
+	.C1(n_7644),
+	.X(brqrv_top_brqrv_dec_csr_rddata_d[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681728 (
+	.A1(n_6171),
+	.A2(n_34531),
+	.B1(n_6002),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[6]),
+	.C1(n_7651),
+	.X(n_7667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681729 (
+	.A1(n_6171),
+	.A2(n_34549),
+	.B1(n_6002),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[15]),
+	.C1(n_7648),
+	.X(n_7666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681730 (
+	.A1(n_6004),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc4h[25]),
+	.B1(n_6172),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc6[25]),
+	.C1(n_7643),
+	.Y(n_7665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681731 (
+	.A1(n_6920),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpme6[4]),
+	.B1(n_6919),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpme5[4]),
+	.C1(n_7652),
+	.Y(n_7664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681732 (
+	.A(n_7620),
+	.B(n_6725),
+	.C(n_7124),
+	.D(n_7125),
+	.Y(n_7663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681733 (
+	.A(n_7632),
+	.B(n_6994),
+	.C(n_7140),
+	.D(n_6956),
+	.Y(n_7662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681734 (
+	.A1(n_6169),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc5[22]),
+	.B1(n_39142),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc4[22]),
+	.C1(n_7634),
+	.X(n_7661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681735 (
+	.A(n_7639),
+	.B(n_6903),
+	.C(n_6910),
+	.D(n_6911),
+	.Y(n_7660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681736 (
+	.A(n_7626),
+	.B(n_6325),
+	.C(n_30513),
+	.D(n_7014),
+	.Y(n_7659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681737 (
+	.A1(n_6169),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc5[23]),
+	.B1(n_39142),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc4[23]),
+	.C1(n_7630),
+	.X(n_7658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681738 (
+	.A1(n_39141),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[20]),
+	.B1(n_6009),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[134]),
+	.C1(n_7650),
+	.Y(n_7657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681739 (
+	.A1(n_39141),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[2]),
+	.B1(n_39146),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[40]),
+	.C1(n_7631),
+	.X(n_7656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681740 (
+	.A(n_7637),
+	.B(n_6666),
+	.C(n_6661),
+	.D(n_6986),
+	.Y(n_7655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681741 (
+	.A(n_7636),
+	.B(n_6981),
+	.C(n_7117),
+	.D(n_6596),
+	.Y(n_7654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g681742 (
+	.A(n_7290),
+	.B(n_7384),
+	.C(n_7574),
+	.D(n_7625),
+	.Y(n_7653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681743 (
+	.A1(n_6916),
+	.A2(brqrv_top_brqrv_dec_tlu_mtdata1_t3[9]),
+	.B1(n_33176),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0h[27]),
+	.C1(n_7638),
+	.X(brqrv_top_brqrv_dec_csr_rddata_d[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681744 (
+	.A(n_7635),
+	.B(n_6568),
+	.C(n_6377),
+	.D(n_6974),
+	.Y(n_7652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681745 (
+	.A(n_7622),
+	.B(n_6628),
+	.C(n_7118),
+	.D(n_7205),
+	.Y(n_7651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g681746 (
+	.A(n_7612),
+	.B(n_7121),
+	.C(n_7050),
+	.Y(n_7650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681747 (
+	.A(n_7568),
+	.B(n_6355),
+	.C(n_30513),
+	.D(n_6508),
+	.Y(n_7649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681748 (
+	.A1(n_6170),
+	.A2(n_34550),
+	.B1(n_6013),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[15]),
+	.C1(n_7633),
+	.X(n_7648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681749 (
+	.A1(n_6014),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc5h[21]),
+	.B1(n_6162),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[21]),
+	.C1(n_7628),
+	.Y(n_7647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681750 (
+	.A(n_7578),
+	.B(n_6589),
+	.C(n_6459),
+	.D(n_6593),
+	.Y(brqrv_top_brqrv_dec_csr_rddata_d[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g681751 (
+	.A(n_7618),
+	.B(n_6973),
+	.C(n_6570),
+	.Y(n_7646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681752 (
+	.A(n_7569),
+	.B(n_6989),
+	.C(n_6683),
+	.D(n_6423),
+	.Y(n_7645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681753 (
+	.A(n_7629),
+	.B(n_7275),
+	.C(n_6647),
+	.D(n_6642),
+	.Y(n_7644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681754 (
+	.A1(n_6169),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc5[25]),
+	.B1(n_39142),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc4[25]),
+	.C1(n_7613),
+	.X(n_7643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681755 (
+	.A1(n_6014),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc5h[16]),
+	.B1(n_6002),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[16]),
+	.C1(n_7616),
+	.Y(n_7642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681756 (
+	.A1(n_6014),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc5h[24]),
+	.B1(n_6162),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[24]),
+	.C1(n_7615),
+	.Y(n_7641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681757 (
+	.A1(n_7493),
+	.A2(n_7024),
+	.B1(n_7488),
+	.B2(n_33246),
+	.C1(n_7597),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[33]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681758 (
+	.A1(n_6399),
+	.A2(brqrv_top_brqrv_dec_tlu_meipt[3]),
+	.B1(n_33176),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0h[3]),
+	.C1(n_7579),
+	.Y(n_7640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681759 (
+	.A1(n_7494),
+	.A2(n_7077),
+	.B1(n_7492),
+	.B2(n_33242),
+	.C1(n_7611),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[47]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681760 (
+	.A1(n_7494),
+	.A2(n_7074),
+	.B1(n_7492),
+	.B2(n_33240),
+	.C1(n_7610),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[46]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681761 (
+	.A1(n_7494),
+	.A2(n_7072),
+	.B1(n_7492),
+	.B2(n_33238),
+	.C1(n_7609),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[45]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681762 (
+	.A1(n_7494),
+	.A2(n_7070),
+	.B1(n_7492),
+	.B2(n_33236),
+	.C1(n_7608),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[44]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681763 (
+	.A1(n_7494),
+	.A2(n_7068),
+	.B1(n_7492),
+	.B2(n_33234),
+	.C1(n_7607),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[43]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681764 (
+	.A1(n_7494),
+	.A2(n_7066),
+	.B1(n_7492),
+	.B2(n_33232),
+	.C1(n_7606),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[42]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681765 (
+	.A1(n_7494),
+	.A2(n_7064),
+	.B1(n_7492),
+	.B2(n_33230),
+	.C1(n_7605),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[41]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681766 (
+	.A1(n_7494),
+	.A2(n_7062),
+	.B1(n_7492),
+	.B2(n_33228),
+	.C1(n_7591),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[40]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681767 (
+	.A(n_7539),
+	.B(n_6648),
+	.C(n_6391),
+	.D(n_6657),
+	.Y(brqrv_top_brqrv_dec_csr_rddata_d[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681768 (
+	.A1(n_6011),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc6h[12]),
+	.B1(n_6021),
+	.B2(brqrv_top_brqrv_dec_tlu_dicawics[9]),
+	.C1(n_7621),
+	.Y(n_7639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681769 (
+	.A1(n_6159),
+	.A2(brqrv_top_brqrv_dec_tlu_micect[27]),
+	.B1(n_6172),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc6[27]),
+	.C1(n_7624),
+	.X(n_7638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681770 (
+	.A1(n_7563),
+	.A2(n_7092),
+	.B1(n_1),
+	.B2(n_33227),
+	.C1(n_7536),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[55]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681771 (
+	.A1(n_6004),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc4h[7]),
+	.B1(n_6014),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5h[7]),
+	.C1(n_7617),
+	.Y(n_7637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681772 (
+	.A1(n_7563),
+	.A2(n_7090),
+	.B1(n_1),
+	.B2(n_33225),
+	.C1(n_7535),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[54]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681773 (
+	.A1(n_7563),
+	.A2(n_7088),
+	.B1(n_1),
+	.B2(n_33223),
+	.C1(n_7534),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[53]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681774 (
+	.A1(n_7563),
+	.A2(n_7086),
+	.B1(n_1),
+	.B2(n_33221),
+	.C1(n_7533),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[52]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681775 (
+	.A1(n_7563),
+	.A2(n_7084),
+	.B1(n_1),
+	.B2(n_33219),
+	.C1(n_7532),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[51]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681776 (
+	.A1(n_7563),
+	.A2(n_7082),
+	.B1(n_1),
+	.B2(n_33217),
+	.C1(n_7531),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[50]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681777 (
+	.A1(n_7563),
+	.A2(n_7080),
+	.B1(n_1),
+	.B2(n_33215),
+	.C1(n_7530),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[49]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681778 (
+	.A1(n_7563),
+	.A2(n_7078),
+	.B1(n_1),
+	.B2(n_33213),
+	.C1(n_7529),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[48]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681779 (
+	.A1(n_7493),
+	.A2(n_7060),
+	.B1(n_7488),
+	.B2(n_33258),
+	.C1(n_7603),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[39]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681780 (
+	.A1(n_7493),
+	.A2(n_7057),
+	.B1(n_7488),
+	.B2(n_33256),
+	.C1(n_7602),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[38]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681781 (
+	.A1(n_7021),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpme4[5]),
+	.B1(n_6473),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpme3[5]),
+	.C1(n_7627),
+	.Y(n_7636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681782 (
+	.A1(n_7493),
+	.A2(n_7055),
+	.B1(n_7488),
+	.B2(n_33254),
+	.C1(n_7601),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[37]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681783 (
+	.A1(n_7493),
+	.A2(n_7100),
+	.B1(n_7488),
+	.B2(n_33252),
+	.C1(n_7600),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[36]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681784 (
+	.A1(n_7493),
+	.A2(n_7098),
+	.B1(n_7488),
+	.B2(n_33250),
+	.C1(n_7599),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[35]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681785 (
+	.A1(n_7493),
+	.A2(n_7029),
+	.B1(n_7488),
+	.B2(n_33248),
+	.C1(n_7598),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[34]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681786 (
+	.A1(n_6172),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc6[4]),
+	.B1(n_6169),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5[4]),
+	.C1(n_7619),
+	.Y(n_7635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g681787 (
+	.A(n_7570),
+	.B(n_7000),
+	.C(n_6732),
+	.Y(n_7634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681788 (
+	.A1(n_7560),
+	.A2(n_7092),
+	.B1(n_2),
+	.B2(n_33227),
+	.C1(n_7528),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681789 (
+	.A1(n_7560),
+	.A2(n_7090),
+	.B1(n_2),
+	.B2(n_33225),
+	.C1(n_7527),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681790 (
+	.A1(n_7560),
+	.A2(n_7088),
+	.B1(n_2),
+	.B2(n_33223),
+	.C1(n_7526),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681791 (
+	.A1(n_7560),
+	.A2(n_7086),
+	.B1(n_2),
+	.B2(n_33221),
+	.C1(n_7525),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681792 (
+	.A1(n_7560),
+	.A2(n_7084),
+	.B1(n_2),
+	.B2(n_33219),
+	.C1(n_7524),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681793 (
+	.A1(n_7560),
+	.A2(n_7082),
+	.B1(n_2),
+	.B2(n_33217),
+	.C1(n_7523),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681794 (
+	.A1(n_7560),
+	.A2(n_7080),
+	.B1(n_2),
+	.B2(n_33215),
+	.C1(n_7522),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681795 (
+	.A1(n_7560),
+	.A2(n_7078),
+	.B1(n_2),
+	.B2(n_33213),
+	.C1(n_7543),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681796 (
+	.A1(n_7485),
+	.A2(n_7077),
+	.B1(n_7490),
+	.B2(n_33242),
+	.C1(n_7595),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681797 (
+	.A1(n_7485),
+	.A2(n_7074),
+	.B1(n_7490),
+	.B2(n_33240),
+	.C1(n_7594),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681798 (
+	.A1(n_7485),
+	.A2(n_7072),
+	.B1(n_7490),
+	.B2(n_33238),
+	.C1(n_7593),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681799 (
+	.A1(n_7485),
+	.A2(n_7070),
+	.B1(n_7490),
+	.B2(n_33236),
+	.C1(n_7592),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681800 (
+	.A1(n_7485),
+	.A2(n_7068),
+	.B1(n_7490),
+	.B2(n_33234),
+	.C1(n_7604),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681801 (
+	.A1(n_7485),
+	.A2(n_7066),
+	.B1(n_7490),
+	.B2(n_33232),
+	.C1(n_7590),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681802 (
+	.A1(n_7485),
+	.A2(n_7064),
+	.B1(n_7490),
+	.B2(n_33230),
+	.C1(n_7589),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681803 (
+	.A1(n_7485),
+	.A2(n_7062),
+	.B1(n_7490),
+	.B2(n_33228),
+	.C1(n_7588),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681804 (
+	.A1(n_7496),
+	.A2(n_7060),
+	.B1(n_7484),
+	.B2(n_33258),
+	.C1(n_7587),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681805 (
+	.A1(n_7496),
+	.A2(n_7057),
+	.B1(n_7484),
+	.B2(n_33256),
+	.C1(n_7586),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681806 (
+	.A1(n_7496),
+	.A2(n_7055),
+	.B1(n_7484),
+	.B2(n_33254),
+	.C1(n_7585),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681807 (
+	.A1(n_7496),
+	.A2(n_7100),
+	.B1(n_7484),
+	.B2(n_33252),
+	.C1(n_7584),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681808 (
+	.A1(n_7496),
+	.A2(n_7098),
+	.B1(n_7484),
+	.B2(n_33250),
+	.C1(n_7583),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681809 (
+	.A1(n_7496),
+	.A2(n_7029),
+	.B1(n_7484),
+	.B2(n_33248),
+	.C1(n_7582),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681810 (
+	.A1(n_7496),
+	.A2(n_7024),
+	.B1(n_7484),
+	.B2(n_33246),
+	.C1(n_7581),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681811 (
+	.A1(n_7496),
+	.A2(n_7026),
+	.B1(n_7484),
+	.B2(n_33244),
+	.C1(n_7580),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681812 (
+	.A1(n_6162),
+	.A2(brqrv_top_brqrv_dec_tlu_miccmect[15]),
+	.B1(n_6009),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[129]),
+	.C1(n_7614),
+	.X(n_7633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g681813 (
+	.A1(n_6175),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[85]),
+	.B1(n_7623),
+	.Y(n_7632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681814 (
+	.A(n_7559),
+	.B(n_6946),
+	.C(n_30512),
+	.D(n_6434),
+	.Y(n_7631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g681815 (
+	.A(n_7572),
+	.B(n_7009),
+	.C(n_6878),
+	.Y(n_7630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681816 (
+	.A1(n_7493),
+	.A2(n_7026),
+	.B1(n_7488),
+	.B2(n_33244),
+	.C1(n_7596),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[32]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681817 (
+	.A1(n_6915),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[75]),
+	.B1(n_6917),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[113]),
+	.C1(n_7575),
+	.Y(n_7629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681818 (
+	.A1(n_6159),
+	.A2(brqrv_top_brqrv_dec_tlu_micect[21]),
+	.B1(n_6021),
+	.B2(brqrv_top_brqrv_dec_tlu_dicawics[15]),
+	.C1(n_7566),
+	.X(n_7628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681819 (
+	.A(n_7576),
+	.B(n_6978),
+	.C(n_6592),
+	.D(n_6346),
+	.Y(n_7627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g681820 (
+	.A1(n_6175),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[77]),
+	.B1(n_6867),
+	.C1(n_7521),
+	.Y(n_7626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681821 (
+	.A(n_7546),
+	.B(n_7541),
+	.C(n_6887),
+	.D(n_7030),
+	.Y(n_7625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681822 (
+	.A(n_7551),
+	.B(n_7278),
+	.C(n_7123),
+	.D(n_6716),
+	.Y(n_7624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g681823 (
+	.A(n_7554),
+	.B(n_6697),
+	.C(n_7122),
+	.Y(n_7623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g681824 (
+	.A1(n_6029),
+	.A2(brqrv_top_brqrv_dec_tlu_mcountinhibit[6]),
+	.B1(n_7577),
+	.Y(n_7622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681825 (
+	.A(n_7503),
+	.B(n_6500),
+	.C(n_6389),
+	.D(n_6512),
+	.Y(brqrv_top_brqrv_dec_csr_rddata_d[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681826 (
+	.A(n_7571),
+	.B(n_7002),
+	.C(n_6912),
+	.D(n_7353),
+	.Y(n_7621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g681827 (
+	.A1(n_6916),
+	.A2(brqrv_top_brqrv_dec_tlu_mtdata1_t3[5]),
+	.B1(n_7567),
+	.Y(n_7620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681828 (
+	.A(n_7504),
+	.B(n_6490),
+	.C(n_6906),
+	.D(n_6367),
+	.Y(n_7619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681829 (
+	.A1(n_6159),
+	.A2(brqrv_top_brqrv_dec_tlu_micect[29]),
+	.B1(n_33176),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0h[29]),
+	.C1(n_7573),
+	.Y(n_7618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681830 (
+	.A(n_7520),
+	.B(n_7169),
+	.C(n_6433),
+	.D(n_6655),
+	.Y(n_7617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681831 (
+	.A(n_7506),
+	.B(n_6539),
+	.C(n_6337),
+	.D(n_6542),
+	.Y(n_7616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681832 (
+	.A(n_7511),
+	.B(n_6511),
+	.C(n_6349),
+	.D(n_6515),
+	.Y(n_7615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681833 (
+	.A(n_7500),
+	.B(n_6514),
+	.C(n_6513),
+	.D(n_6341),
+	.Y(n_7614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g681834 (
+	.A(n_7558),
+	.B(n_6969),
+	.C(n_6563),
+	.Y(n_7613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681835 (
+	.A1(n_6915),
+	.A2(brqrv_top_brqrv_dec_tlu_mtdata1_t1[8]),
+	.B1(n_39146),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[58]),
+	.C1(n_7549),
+	.Y(n_7612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g681836 (
+	.A1_N(n_33243),
+	.A2_N(n_1),
+	.B1(n_7075),
+	.B2(n_7565),
+	.Y(n_7611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g681837 (
+	.A1_N(n_33241),
+	.A2_N(n_1),
+	.B1(n_7073),
+	.B2(n_7565),
+	.Y(n_7610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g681838 (
+	.A1_N(n_33239),
+	.A2_N(n_1),
+	.B1(n_7071),
+	.B2(n_7565),
+	.Y(n_7609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g681839 (
+	.A1_N(n_33237),
+	.A2_N(n_1),
+	.B1(n_7069),
+	.B2(n_7565),
+	.Y(n_7608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g681840 (
+	.A1_N(n_33235),
+	.A2_N(n_1),
+	.B1(n_7067),
+	.B2(n_7565),
+	.Y(n_7607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g681841 (
+	.A1_N(n_33233),
+	.A2_N(n_1),
+	.B1(n_7065),
+	.B2(n_7565),
+	.Y(n_7606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g681842 (
+	.A1_N(n_33231),
+	.A2_N(n_1),
+	.B1(n_7063),
+	.B2(n_7565),
+	.Y(n_7605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g681843 (
+	.A1_N(n_33235),
+	.A2_N(n_2),
+	.B1(n_7067),
+	.B2(n_7561),
+	.Y(n_7604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g681844 (
+	.A1_N(n_33259),
+	.A2_N(n_1),
+	.B1(n_7058),
+	.B2(n_7564),
+	.Y(n_7603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g681845 (
+	.A1_N(n_33257),
+	.A2_N(n_1),
+	.B1(n_7056),
+	.B2(n_7564),
+	.Y(n_7602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g681846 (
+	.A1_N(n_33255),
+	.A2_N(n_1),
+	.B1(n_7054),
+	.B2(n_7564),
+	.Y(n_7601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g681847 (
+	.A1_N(n_33253),
+	.A2_N(n_1),
+	.B1(n_7101),
+	.B2(n_7564),
+	.Y(n_7600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g681848 (
+	.A1_N(n_33251),
+	.A2_N(n_1),
+	.B1(n_7022),
+	.B2(n_7564),
+	.Y(n_7599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g681849 (
+	.A1_N(n_33249),
+	.A2_N(n_1),
+	.B1(n_7023),
+	.B2(n_7564),
+	.Y(n_7598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g681850 (
+	.A1_N(n_33247),
+	.A2_N(n_1),
+	.B1(n_7025),
+	.B2(n_7564),
+	.Y(n_7597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g681851 (
+	.A1_N(n_33245),
+	.A2_N(n_1),
+	.B1(n_7027),
+	.B2(n_7564),
+	.Y(n_7596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g681852 (
+	.A1_N(n_33243),
+	.A2_N(n_2),
+	.B1(n_7075),
+	.B2(n_7561),
+	.Y(n_7595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g681853 (
+	.A1_N(n_33241),
+	.A2_N(n_2),
+	.B1(n_7073),
+	.B2(n_7561),
+	.Y(n_7594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g681854 (
+	.A1_N(n_33239),
+	.A2_N(n_2),
+	.B1(n_7071),
+	.B2(n_7561),
+	.Y(n_7593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g681855 (
+	.A1_N(n_33237),
+	.A2_N(n_2),
+	.B1(n_7069),
+	.B2(n_7561),
+	.Y(n_7592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g681856 (
+	.A1_N(n_33229),
+	.A2_N(n_1),
+	.B1(n_7061),
+	.B2(n_7565),
+	.Y(n_7591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g681857 (
+	.A1_N(n_33233),
+	.A2_N(n_2),
+	.B1(n_7065),
+	.B2(n_7561),
+	.Y(n_7590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g681858 (
+	.A1_N(n_33231),
+	.A2_N(n_2),
+	.B1(n_7063),
+	.B2(n_7561),
+	.Y(n_7589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g681859 (
+	.A1_N(n_33229),
+	.A2_N(n_2),
+	.B1(n_7061),
+	.B2(n_7561),
+	.Y(n_7588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g681860 (
+	.A1_N(n_33259),
+	.A2_N(n_2),
+	.B1(n_7058),
+	.B2(n_7562),
+	.Y(n_7587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g681861 (
+	.A1_N(n_33257),
+	.A2_N(n_2),
+	.B1(n_7056),
+	.B2(n_7562),
+	.Y(n_7586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g681862 (
+	.A1_N(n_33255),
+	.A2_N(n_2),
+	.B1(n_7054),
+	.B2(n_7562),
+	.Y(n_7585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g681863 (
+	.A1_N(n_33253),
+	.A2_N(n_2),
+	.B1(n_7101),
+	.B2(n_7562),
+	.Y(n_7584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g681864 (
+	.A1_N(n_33251),
+	.A2_N(n_2),
+	.B1(n_7022),
+	.B2(n_7562),
+	.Y(n_7583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g681865 (
+	.A1_N(n_33249),
+	.A2_N(n_2),
+	.B1(n_7023),
+	.B2(n_7562),
+	.Y(n_7582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g681866 (
+	.A1_N(n_33247),
+	.A2_N(n_2),
+	.B1(n_7025),
+	.B2(n_7562),
+	.Y(n_7581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g681867 (
+	.A1_N(n_33245),
+	.A2_N(n_2),
+	.B1(n_7027),
+	.B2(n_7562),
+	.Y(n_7580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681868 (
+	.A1(n_6472),
+	.A2(brqrv_top_brqrv_dec_tlu_mcgc_int[3]),
+	.B1(n_6023),
+	.B2(brqrv_top_brqrv_dec_tlu_dcsr[3]),
+	.C1(n_7547),
+	.X(n_7579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681869 (
+	.A1(n_6159),
+	.A2(brqrv_top_brqrv_dec_tlu_micect[18]),
+	.B1(n_6162),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[18]),
+	.C1(n_7538),
+	.Y(n_7578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g681870 (
+	.A(n_32579),
+	.B(n_32570),
+	.C(n_7476),
+	.D(n_30518),
+	.Y(brqrv_top_brqrv_dec_tlu_icache_rd_valid), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g681871 (
+	.A(n_7545),
+	.B(n_7282),
+	.C(n_6879),
+	.Y(brqrv_top_brqrv_dec_csr_rddata_d[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g681872 (
+	.A(n_7544),
+	.B(n_7294),
+	.C(n_6715),
+	.Y(brqrv_top_brqrv_dec_csr_rddata_d[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681873 (
+	.A1(n_6004),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc4h[6]),
+	.B1(n_6014),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5h[6]),
+	.C1(n_7555),
+	.X(n_7577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681874 (
+	.A1(n_6172),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc6[5]),
+	.B1(n_6169),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5[5]),
+	.C1(n_7556),
+	.Y(n_7576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681875 (
+	.A1(n_6159),
+	.A2(brqrv_top_brqrv_dec_tlu_micect[17]),
+	.B1(n_6162),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[17]),
+	.C1(n_7548),
+	.X(brqrv_top_brqrv_dec_csr_rddata_d[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g681876 (
+	.A(n_7507),
+	.B(n_6620),
+	.C(n_7049),
+	.Y(n_7575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g681877 (
+	.A1(brqrv_top_brqrv_dec_tlu_micect[31]),
+	.A2(n_7293),
+	.B1(n_7012),
+	.C1(n_7138),
+	.D1(n_7481),
+	.Y(n_7574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681878 (
+	.A1(n_6171),
+	.A2(n_34577),
+	.B1(n_6014),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5h[29]),
+	.C1(n_7557),
+	.X(n_7573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681879 (
+	.A1(n_6169),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc5[28]),
+	.B1(n_6161),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc3h[28]),
+	.C1(n_7537),
+	.X(brqrv_top_brqrv_dec_csr_rddata_d[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681880 (
+	.A1(n_39141),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[23]),
+	.B1(n_39146),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[61]),
+	.C1(n_7553),
+	.Y(n_7572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g681881 (
+	.A1(n_6014),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc5h[12]),
+	.B1(n_7540),
+	.Y(n_7571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681882 (
+	.A1(n_39141),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[22]),
+	.B1(n_39146),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[60]),
+	.C1(n_7552),
+	.Y(n_7570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681883 (
+	.A1(n_6004),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc4h[8]),
+	.B1(n_6014),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5h[8]),
+	.C1(n_7550),
+	.Y(n_7569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681884 (
+	.A1(n_6004),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc4h[14]),
+	.B1(n_6172),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc6[14]),
+	.C1(n_7542),
+	.X(brqrv_top_brqrv_dec_csr_rddata_d[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g681885 (
+	.A1(n_6175),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[76]),
+	.B1(n_6866),
+	.C1(n_7505),
+	.Y(n_7568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681886 (
+	.A(n_7467),
+	.B(n_6723),
+	.C(n_6444),
+	.D(n_6721),
+	.Y(n_7567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681887 (
+	.A1(n_33176),
+	.A2(brqrv_top_brqrv_dec_tlu_dicad0h[21]),
+	.B1(n_6163),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0[21]),
+	.C1(n_7515),
+	.X(n_7566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681888 (
+	.A1(n_6022),
+	.A2(brqrv_top_brqrv_dec_tlu_wb_coalescing_disable),
+	.B1(n_6163),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0[2]),
+	.C1(n_7518),
+	.Y(n_7559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681889 (
+	.A1(n_6159),
+	.A2(brqrv_top_brqrv_dec_tlu_micect[25]),
+	.B1(n_33176),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0h[25]),
+	.C1(n_7512),
+	.Y(n_7558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681890 (
+	.A1(n_6170),
+	.A2(n_34578),
+	.B1(n_6011),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc6h[29]),
+	.C1(n_7508),
+	.X(n_7557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681891 (
+	.A(n_7456),
+	.B(n_6585),
+	.C(n_6907),
+	.D(n_6368),
+	.Y(n_7556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681892 (
+	.A1(n_6164),
+	.A2(brqrv_top_brqrv_dec_tlu_mtval[6]),
+	.B1(n_6010),
+	.B2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[6]),
+	.C1(n_7497),
+	.X(n_7555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681893 (
+	.A1(n_6172),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc6[9]),
+	.B1(n_6169),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5[9]),
+	.C1(n_7514),
+	.Y(n_7554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g681894 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[23]),
+	.A2(n_30484),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[23]),
+	.B2(n_30486),
+	.C1(n_7517),
+	.Y(n_7553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g681895 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[22]),
+	.A2(n_30484),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[22]),
+	.B2(n_30486),
+	.C1(n_7516),
+	.Y(n_7552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g681896 (
+	.A1(n_6918),
+	.A2(brqrv_top_brqrv_dec_tlu_mtdata1_t0[9]),
+	.B1(n_7519),
+	.Y(n_7551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g681897 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[8]),
+	.A2(n_30484),
+	.B1(n_6418),
+	.C1(n_6955),
+	.D1(n_7513),
+	.Y(n_7550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681898 (
+	.A1(n_6916),
+	.A2(brqrv_top_brqrv_dec_tlu_mtdata1_t3[8]),
+	.B1(n_39143),
+	.B2(brqrv_top_brqrv_dec_tlu_dpc[20]),
+	.C1(n_7502),
+	.X(n_7549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g681899 (
+	.A1(n_6161),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc3h[17]),
+	.B1(n_7177),
+	.C1(n_7472),
+	.X(n_7548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681900 (
+	.A1(n_6404),
+	.A2(brqrv_top_brqrv_dec_tlu_meicidpl[3]),
+	.B1(n_6184),
+	.B2(brqrv_top_brqrv_dec_tlu_meihap[3]),
+	.C1(n_7501),
+	.X(n_7547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g681901 (
+	.A1(n_6886),
+	.A2(brqrv_top_brqrv_dec_tlu_mdccmect[19]),
+	.B1(n_7510),
+	.Y(n_7546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g681902 (
+	.A1(n_6014),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc5h[13]),
+	.B1(n_7499),
+	.Y(n_7545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g681903 (
+	.A1_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[1]),
+	.A2_N(n_31303),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[1]),
+	.B2(n_7480),
+	.Y(brqrv_top_brqrv_ifu_ifu_bp_hist0_f[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g681904 (
+	.A1(n_6002),
+	.A2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[10]),
+	.B1(n_7498),
+	.Y(n_7544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g681905 (
+	.A(n_31298),
+	.B(n_32375),
+	.X(n_7565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g681906 (
+	.A(n_31298),
+	.B(n_32445),
+	.X(n_7564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681907 (
+	.A(n_31298),
+	.B(n_32451),
+	.Y(n_7563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g681908 (
+	.A(n_32450),
+	.B(n_32446),
+	.X(n_7562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g681909 (
+	.A(n_32450),
+	.B(n_31133),
+	.X(n_7561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681910 (
+	.A(n_32450),
+	.B(n_32444),
+	.Y(n_7560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g681911 (
+	.A1_N(n_33212),
+	.A2_N(n_7491),
+	.B1(n_7079),
+	.B2(n_7486),
+	.Y(n_7543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681912 (
+	.A(n_7447),
+	.B(n_7340),
+	.C(n_6496),
+	.D(n_7181),
+	.Y(n_7542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g681913 (
+	.A(n_7039),
+	.B(n_7289),
+	.C(n_7363),
+	.D(n_7482),
+	.Y(n_7541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681914 (
+	.A(n_7439),
+	.B(n_6611),
+	.C(n_6450),
+	.D(n_6487),
+	.Y(n_7540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681915 (
+	.A1(n_6159),
+	.A2(brqrv_top_brqrv_dec_tlu_micect[26]),
+	.B1(n_6162),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[26]),
+	.C1(n_7509),
+	.Y(n_7539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681916 (
+	.A(n_7473),
+	.B(n_6977),
+	.C(n_6581),
+	.D(n_6582),
+	.Y(n_7538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681917 (
+	.A(n_7452),
+	.B(n_7449),
+	.C(n_6891),
+	.D(n_6504),
+	.Y(n_7537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g681918 (
+	.A1(n_7094),
+	.A2(n_7495),
+	.B1(n_6478),
+	.B2(n_7489),
+	.Y(n_7536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g681919 (
+	.A1(n_7091),
+	.A2(n_7495),
+	.B1(n_6483),
+	.B2(n_7489),
+	.Y(n_7535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g681920 (
+	.A1(n_7089),
+	.A2(n_7495),
+	.B1(n_6482),
+	.B2(n_7489),
+	.Y(n_7534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g681921 (
+	.A1(n_7087),
+	.A2(n_7495),
+	.B1(n_6412),
+	.B2(n_7489),
+	.Y(n_7533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g681922 (
+	.A1(n_7085),
+	.A2(n_7495),
+	.B1(n_6481),
+	.B2(n_7489),
+	.Y(n_7532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g681923 (
+	.A1(n_7083),
+	.A2(n_7495),
+	.B1(n_6411),
+	.B2(n_7489),
+	.Y(n_7531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g681924 (
+	.A1(n_7081),
+	.A2(n_7495),
+	.B1(n_6409),
+	.B2(n_7489),
+	.Y(n_7530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g681925 (
+	.A1(n_7079),
+	.A2(n_7495),
+	.B1(n_6479),
+	.B2(n_7489),
+	.Y(n_7529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g681926 (
+	.A1_N(n_33226),
+	.A2_N(n_7491),
+	.B1(n_7094),
+	.B2(n_7486),
+	.Y(n_7528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g681927 (
+	.A1_N(n_33224),
+	.A2_N(n_7491),
+	.B1(n_7091),
+	.B2(n_7486),
+	.Y(n_7527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g681928 (
+	.A1_N(n_33222),
+	.A2_N(n_7491),
+	.B1(n_7089),
+	.B2(n_7486),
+	.Y(n_7526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g681929 (
+	.A1_N(n_33220),
+	.A2_N(n_7491),
+	.B1(n_7087),
+	.B2(n_7486),
+	.Y(n_7525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g681930 (
+	.A1_N(n_33218),
+	.A2_N(n_7491),
+	.B1(n_7085),
+	.B2(n_7486),
+	.Y(n_7524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g681931 (
+	.A1_N(n_33216),
+	.A2_N(n_7491),
+	.B1(n_7083),
+	.B2(n_7486),
+	.Y(n_7523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g681932 (
+	.A1_N(n_33214),
+	.A2_N(n_7491),
+	.B1(n_7081),
+	.B2(n_7486),
+	.Y(n_7522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681933 (
+	.A(n_7437),
+	.B(n_7015),
+	.C(n_6336),
+	.D(n_7016),
+	.Y(n_7521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681934 (
+	.A1(n_39143),
+	.A2(brqrv_top_brqrv_dec_tlu_dpc[7]),
+	.B1(n_6010),
+	.B2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[7]),
+	.C1(n_7464),
+	.Y(n_7520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g681935 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[27]),
+	.A2(n_30484),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[27]),
+	.B2(n_30486),
+	.C1(n_7470),
+	.Y(n_7519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681936 (
+	.A(n_7460),
+	.B(n_6995),
+	.C(n_7006),
+	.D(n_6535),
+	.Y(n_7518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681937 (
+	.A1(n_39143),
+	.A2(brqrv_top_brqrv_dec_tlu_dpc[23]),
+	.B1(n_6163),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0[23]),
+	.C1(n_7471),
+	.Y(n_7517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681938 (
+	.A1(n_39143),
+	.A2(brqrv_top_brqrv_dec_tlu_dpc[22]),
+	.B1(n_6163),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0[22]),
+	.C1(n_7479),
+	.Y(n_7516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681939 (
+	.A1(n_39141),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[21]),
+	.B1(n_6175),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[97]),
+	.C1(n_7466),
+	.X(n_7515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681940 (
+	.A(n_7474),
+	.B(n_6992),
+	.C(n_6429),
+	.D(n_6695),
+	.Y(n_7514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681941 (
+	.A1(n_39143),
+	.A2(brqrv_top_brqrv_dec_tlu_dpc[8]),
+	.B1(n_6023),
+	.B2(brqrv_top_brqrv_dec_tlu_dcsr[8]),
+	.C1(n_7465),
+	.Y(n_7513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g681942 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[25]),
+	.A2(n_30484),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[25]),
+	.B2(n_30486),
+	.C1(n_7478),
+	.Y(n_7512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681943 (
+	.A(n_7495),
+	.B(n_7489),
+	.Y(n_32451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g681947 (
+	.A(n_7490),
+	.B(n_7485),
+	.X(n_31133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681949 (
+	.A1(n_31705),
+	.A2(brqrv_top_brqrv_dec_tlu_meihap[24]),
+	.B1(n_6159),
+	.B2(brqrv_top_brqrv_dec_tlu_micect[24]),
+	.C1(n_7436),
+	.Y(n_7511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681950 (
+	.A(n_7468),
+	.B(n_7432),
+	.C(n_7198),
+	.D(n_7254),
+	.Y(n_7510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g681951 (
+	.A(n_7455),
+	.B(n_6438),
+	.C(n_6631),
+	.Y(n_7509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g681952 (
+	.A(n_7438),
+	.B(n_6549),
+	.C(n_6347),
+	.Y(n_7508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681953 (
+	.A1(n_6013),
+	.A2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[19]),
+	.B1(n_31705),
+	.B2(brqrv_top_brqrv_dec_tlu_meihap[19]),
+	.C1(n_7483),
+	.Y(n_7507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g681954 (
+	.A1(n_6013),
+	.A2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[16]),
+	.B1(n_7469),
+	.Y(n_7506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681955 (
+	.A1(n_6022),
+	.A2(brqrv_top_brqrv_dec_dec_tlu_pipelining_disable),
+	.B1(n_33175),
+	.B2(brqrv_top_brqrv_dec_tlu_mdseac[0]),
+	.C1(n_7477),
+	.X(n_7505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681956 (
+	.A1(n_6393),
+	.A2(brqrv_top_brqrv_dec_tlu_dicad1_raw[4]),
+	.B1(n_6163),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0[4]),
+	.C1(n_7463),
+	.Y(n_7504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681957 (
+	.A1(n_6159),
+	.A2(brqrv_top_brqrv_dec_tlu_micect[31]),
+	.B1(n_6162),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[31]),
+	.C1(n_7475),
+	.Y(n_7503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681958 (
+	.A1(n_31705),
+	.A2(brqrv_top_brqrv_dec_tlu_meihap[20]),
+	.B1(n_6159),
+	.B2(brqrv_top_brqrv_dec_tlu_micect[20]),
+	.C1(n_7444),
+	.X(n_7502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681959 (
+	.A1(n_33175),
+	.A2(brqrv_top_brqrv_dec_tlu_mdseac[3]),
+	.B1(n_6163),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0[3]),
+	.C1(n_7441),
+	.X(n_7501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681960 (
+	.A1(n_6175),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[91]),
+	.B1(n_33175),
+	.B2(brqrv_top_brqrv_dec_tlu_mdseac[15]),
+	.C1(n_7454),
+	.Y(n_7500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681961 (
+	.A(n_7451),
+	.B(n_7007),
+	.C(n_6885),
+	.D(n_6881),
+	.Y(n_7499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681962 (
+	.A(n_7458),
+	.B(n_6712),
+	.C(n_6711),
+	.D(n_6996),
+	.Y(n_7498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681963 (
+	.A(n_7457),
+	.B(n_6983),
+	.C(n_6623),
+	.D(n_6619),
+	.Y(n_7497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g681969 (
+	.A(n_7487),
+	.Y(n_7488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g681970 (
+	.A(n_5995),
+	.Y(n_7484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g681971 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[19]),
+	.A2(n_30484),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[19]),
+	.B2(n_30486),
+	.C1(n_7443),
+	.Y(n_7483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g681972 (
+	.A1(brqrv_top_brqrv_dec_tlu_micect[31]),
+	.A2(n_7239),
+	.B1(n_7459),
+	.Y(n_7482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681973 (
+	.A(n_7453),
+	.B(n_7440),
+	.Y(n_7481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g681974 (
+	.A(n_6782),
+	.B(n_7147),
+	.C(n_7345),
+	.D(n_7433),
+	.Y(n_7480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g681975 (
+	.A_N(n_7348),
+	.B(n_7404),
+	.C(n_7231),
+	.D(n_7230),
+	.Y(n_31303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681976 (
+	.A(n_7059),
+	.B(n_32448),
+	.Y(n_7496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g681977 (
+	.A(n_7093),
+	.B(n_32452),
+	.X(n_7495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681978 (
+	.A(n_7076),
+	.B(n_32452),
+	.Y(n_7494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681979 (
+	.A(n_7059),
+	.B(n_32452),
+	.Y(n_7493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681980 (
+	.A(n_31292),
+	.B(n_7462),
+	.Y(n_7492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681981 (
+	.A(n_31291),
+	.B(n_7461),
+	.Y(n_7491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681982 (
+	.A(n_31292),
+	.B(n_7461),
+	.Y(n_7490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681983 (
+	.A(n_5994),
+	.B(n_6931),
+	.Y(n_7489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g681984 (
+	.A(n_5994),
+	.B(n_32447),
+	.Y(n_7487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g681985 (
+	.A(n_7093),
+	.B(n_32448),
+	.X(n_7486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g681986 (
+	.A(n_7076),
+	.B(n_32448),
+	.Y(n_7485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681988 (
+	.A1(n_6013),
+	.A2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[22]),
+	.B1(n_31705),
+	.B2(brqrv_top_brqrv_dec_tlu_meihap[22]),
+	.C1(n_7445),
+	.X(n_7479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681989 (
+	.A1(n_6013),
+	.A2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[25]),
+	.B1(n_31705),
+	.B2(brqrv_top_brqrv_dec_tlu_meihap[25]),
+	.C1(n_7442),
+	.Y(n_7478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681990 (
+	.A(n_7419),
+	.B(n_7018),
+	.C(n_7109),
+	.D(n_6901),
+	.Y(n_7477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4b_1 g681991 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wen_unq_d),
+	.B(n_32574),
+	.C(n_7403),
+	.D_N(n_32573),
+	.X(n_7476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g681992 (
+	.A(n_7424),
+	.B(n_6463),
+	.C(n_6899),
+	.Y(n_7475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g681993 (
+	.A1(n_6161),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc3h[9]),
+	.B1(n_6950),
+	.C1(n_7415),
+	.Y(n_7474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681994 (
+	.A1(n_6171),
+	.A2(n_34555),
+	.B1(n_6002),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[18]),
+	.C1(n_7448),
+	.Y(n_7473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g681995 (
+	.A(n_7420),
+	.B(n_6557),
+	.C(n_6351),
+	.Y(n_7472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g681996 (
+	.A1(n_6013),
+	.A2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[23]),
+	.B1(n_31705),
+	.B2(brqrv_top_brqrv_dec_tlu_meihap[23]),
+	.C1(n_7446),
+	.X(n_7471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g681997 (
+	.A1(n_6013),
+	.A2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[27]),
+	.B1(n_31705),
+	.B2(brqrv_top_brqrv_dec_tlu_meihap[27]),
+	.C1(n_7450),
+	.Y(n_7470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g681998 (
+	.A(n_7395),
+	.B(n_6537),
+	.C(n_6333),
+	.D(n_6534),
+	.Y(n_7469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g681999 (
+	.A1(n_7152),
+	.A2(brqrv_top_brqrv_dec_tlu_mdccmect[20]),
+	.B1(n_7287),
+	.C1(n_7425),
+	.Y(n_7468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682000 (
+	.A1(n_6022),
+	.A2(brqrv_top_brqrv_dec_tlu_external_ldfwd_disable),
+	.B1(n_6164),
+	.B2(brqrv_top_brqrv_dec_tlu_mtval[11]),
+	.C1(n_7428),
+	.Y(n_7467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g682001 (
+	.A1(n_31705),
+	.A2(brqrv_top_brqrv_dec_tlu_meihap[21]),
+	.B1(n_39146),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[59]),
+	.C1(n_7435),
+	.X(n_7466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g682002 (
+	.A1(n_33176),
+	.A2(brqrv_top_brqrv_dec_tlu_dicad0h[8]),
+	.B1(n_6472),
+	.B2(brqrv_top_brqrv_dec_tlu_misc_clk_override),
+	.C1(n_7434),
+	.X(n_7465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g682003 (
+	.A1(n_6023),
+	.A2(brqrv_top_brqrv_dec_tlu_dcsr[7]),
+	.B1(n_6164),
+	.B2(brqrv_top_brqrv_dec_tlu_mtval[7]),
+	.C1(n_7431),
+	.X(n_7464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g682004 (
+	.A1(n_33176),
+	.A2(brqrv_top_brqrv_dec_tlu_dicad0h[4]),
+	.B1(n_6022),
+	.B2(brqrv_top_brqrv_dec_tlu_mfdc_int[4]),
+	.C1(n_7429),
+	.X(n_7463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g682005 (
+	.A(n_31494),
+	.B(n_7421),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_7547),
+	.D(n_31414),
+	.Y(n_31076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g682006 (
+	.A(n_5994),
+	.Y(n_7462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682008 (
+	.A1(n_6164),
+	.A2(brqrv_top_brqrv_dec_tlu_mtval[2]),
+	.B1(n_6184),
+	.B2(brqrv_top_brqrv_dec_tlu_meihap[2]),
+	.C1(n_7412),
+	.Y(n_7460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 g682009 (
+	.A1(brqrv_top_brqrv_dec_tlu_micect[9]),
+	.A2(brqrv_top_brqrv_dec_tlu_micect[8]),
+	.A3(brqrv_top_brqrv_dec_tlu_micect[10]),
+	.A4(n_7354),
+	.B1(n_6410),
+	.Y(n_7459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g682010 (
+	.A1(n_6021),
+	.A2(brqrv_top_brqrv_dec_tlu_dicawics[7]),
+	.B1(n_7423),
+	.Y(n_7458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g682011 (
+	.A1(n_6021),
+	.A2(brqrv_top_brqrv_dec_tlu_dicawics[3]),
+	.B1(n_7422),
+	.Y(n_7457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682012 (
+	.A1(n_6393),
+	.A2(brqrv_top_brqrv_dec_tlu_dicad1_raw[5]),
+	.B1(n_6163),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0[5]),
+	.C1(n_7411),
+	.Y(n_7456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682013 (
+	.A1(n_39143),
+	.A2(brqrv_top_brqrv_dec_tlu_dpc[26]),
+	.B1(n_6163),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0[26]),
+	.C1(n_7430),
+	.Y(n_7455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g682014 (
+	.A1(n_39143),
+	.A2(brqrv_top_brqrv_dec_tlu_dpc[15]),
+	.B1(n_6163),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0[15]),
+	.C1(n_7410),
+	.X(n_7454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g682015 (
+	.A1(n_7405),
+	.A2(n_7154),
+	.B1(brqrv_top_brqrv_dec_tlu_miccmect[31]),
+	.Y(n_7453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g682016 (
+	.A1(n_6163),
+	.A2(brqrv_top_brqrv_dec_tlu_dicad0[28]),
+	.B1(n_7417),
+	.Y(n_7452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g682017 (
+	.A1(n_6021),
+	.A2(brqrv_top_brqrv_dec_tlu_dicawics[10]),
+	.B1(n_7418),
+	.Y(n_7451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g682018 (
+	.A1(n_39143),
+	.A2(brqrv_top_brqrv_dec_tlu_dpc[27]),
+	.B1(n_6163),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0[27]),
+	.C1(n_7414),
+	.X(n_7450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g682020 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_merge_en),
+	.B(n_6182),
+	.C(n_31867),
+	.Y(n_7461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g682022 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_merge_en),
+	.B(n_31408),
+	.C(n_7099),
+	.Y(n_32448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682023 (
+	.A1(n_6159),
+	.A2(brqrv_top_brqrv_dec_tlu_micect[28]),
+	.B1(n_6162),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[28]),
+	.C1(n_7426),
+	.Y(n_7449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g682024 (
+	.A1(n_6170),
+	.A2(n_34556),
+	.B1(n_6011),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc6h[18]),
+	.C1(n_7396),
+	.X(n_7448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g682025 (
+	.A1(n_6021),
+	.A2(brqrv_top_brqrv_dec_tlu_dicawics[11]),
+	.B1(n_7416),
+	.Y(n_7447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g682026 (
+	.A(n_7372),
+	.B(n_6896),
+	.C(n_6462),
+	.D(n_6890),
+	.Y(n_7446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g682027 (
+	.A(n_7375),
+	.B(n_6722),
+	.C(n_6445),
+	.D(n_6724),
+	.Y(n_7445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g682028 (
+	.A(n_7374),
+	.B(n_6664),
+	.C(n_6452),
+	.D(n_6672),
+	.Y(n_7444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682029 (
+	.A1(n_6011),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc6h[19]),
+	.B1(n_6002),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[19]),
+	.C1(n_7427),
+	.Y(n_7443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g682030 (
+	.A1(n_39143),
+	.A2(brqrv_top_brqrv_dec_tlu_dpc[25]),
+	.B1(n_6163),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0[25]),
+	.C1(n_7413),
+	.X(n_7442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g682031 (
+	.A(n_7381),
+	.B(n_6553),
+	.C(n_6904),
+	.D(n_6554),
+	.Y(n_7441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g682032 (
+	.A1(n_7038),
+	.A2(n_6933),
+	.B1(n_7011),
+	.C1(n_7103),
+	.D1(n_7379),
+	.Y(n_7440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682033 (
+	.A1(n_6163),
+	.A2(brqrv_top_brqrv_dec_tlu_dicad0[12]),
+	.B1(n_6010),
+	.B2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[12]),
+	.C1(n_7388),
+	.Y(n_7439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g682034 (
+	.A1(n_7389),
+	.A2(n_7218),
+	.B1(brqrv_top_brqrv_exu_i0_rs2_d[4]),
+	.B2(n_32210),
+	.X(brqrv_top_brqrv_exu_i_alu_shift_amount[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682035 (
+	.A1(n_6013),
+	.A2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[29]),
+	.B1(n_6163),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0[29]),
+	.C1(n_7392),
+	.Y(n_7438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g682036 (
+	.A1(n_6407),
+	.A2(brqrv_top_brqrv_dec_tlu_meicurpl[1]),
+	.B1(n_39172),
+	.C1(n_7385),
+	.Y(n_7437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g682037 (
+	.A1(n_6009),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[138]),
+	.B1(n_6175),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[100]),
+	.C1(n_7406),
+	.X(n_7436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682038 (
+	.A(n_7391),
+	.B(n_30512),
+	.Y(n_7435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682039 (
+	.A(n_7390),
+	.B(n_30512),
+	.Y(n_7434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g682040 (
+	.A1(n_6288),
+	.A2(n_30167),
+	.B1(n_6382),
+	.C1(n_7146),
+	.D1(n_7409),
+	.Y(n_7433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g682041 (
+	.A(n_7128),
+	.B(n_7349),
+	.C(n_7368),
+	.D(n_7377),
+	.Y(n_7432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g682042 (
+	.A1(n_6163),
+	.A2(brqrv_top_brqrv_dec_tlu_dicad0[7]),
+	.B1(n_33176),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0h[7]),
+	.C1(n_7387),
+	.X(n_7431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g682043 (
+	.A(n_7373),
+	.B(n_7329),
+	.C(n_6587),
+	.D(n_6616),
+	.Y(n_7430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g682044 (
+	.A1(n_6012),
+	.A2(brqrv_top_brqrv_dec_tlu_mcyclel[4]),
+	.B1(n_6177),
+	.B2(brqrv_top_brqrv_dec_tlu_mtvec[3]),
+	.C1(n_7386),
+	.X(n_7429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g682045 (
+	.A(n_7352),
+	.B(n_6719),
+	.C(n_6922),
+	.D(n_6443),
+	.Y(n_7428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g682046 (
+	.A(n_7376),
+	.B(n_6606),
+	.C(n_6386),
+	.Y(n_7427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g682047 (
+	.A(n_7370),
+	.B(n_6495),
+	.C(n_6373),
+	.Y(n_7426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g682048 (
+	.A1(n_6402),
+	.A2(n_7161),
+	.B1(brqrv_top_brqrv_dec_tlu_mdccmect[31]),
+	.B2(n_7367),
+	.C1(n_6884),
+	.Y(n_7425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682049 (
+	.A1(n_39143),
+	.A2(brqrv_top_brqrv_dec_tlu_dpc[31]),
+	.B1(n_6163),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0[31]),
+	.C1(n_7397),
+	.Y(n_7424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g682050 (
+	.A1(n_33175),
+	.A2(brqrv_top_brqrv_dec_tlu_mdseac[10]),
+	.B1(n_33176),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0h[10]),
+	.C1(n_7393),
+	.X(n_7423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g682051 (
+	.A1(n_6163),
+	.A2(brqrv_top_brqrv_dec_tlu_dicad0[6]),
+	.B1(n_33176),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0h[6]),
+	.C1(n_7408),
+	.X(n_7422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g682052 (
+	.A1(n_6870),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt),
+	.B1(n_7407),
+	.Y(n_7421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682053 (
+	.A1(n_6004),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc4h[17]),
+	.B1(n_6014),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5h[17]),
+	.C1(n_7402),
+	.Y(n_7420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682054 (
+	.A1(n_6399),
+	.A2(brqrv_top_brqrv_dec_tlu_meipt[0]),
+	.B1(n_6407),
+	.B2(brqrv_top_brqrv_dec_tlu_meicurpl[0]),
+	.C1(n_7394),
+	.Y(n_7419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g682055 (
+	.A(n_7336),
+	.B(n_6888),
+	.C(n_6465),
+	.D(n_6889),
+	.Y(n_7418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g682056 (
+	.A(n_7335),
+	.B(n_6908),
+	.C(n_6454),
+	.D(n_6914),
+	.Y(n_7417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g682057 (
+	.A(n_7330),
+	.B(n_6492),
+	.C(n_6387),
+	.D(n_6494),
+	.Y(n_7416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g682058 (
+	.A(n_7360),
+	.B(n_6691),
+	.C(n_6428),
+	.D(n_6692),
+	.Y(n_7415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g682059 (
+	.A(n_7359),
+	.B(n_6681),
+	.C(n_6422),
+	.D(n_6686),
+	.Y(n_7414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g682060 (
+	.A(n_7355),
+	.B(n_6545),
+	.C(n_6339),
+	.D(n_6548),
+	.Y(n_7413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g682061 (
+	.A(n_7333),
+	.B(n_7116),
+	.C(n_6930),
+	.D(n_6902),
+	.Y(n_7412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g682062 (
+	.A1(n_33176),
+	.A2(brqrv_top_brqrv_dec_tlu_dicad0h[5]),
+	.B1(n_6022),
+	.B2(brqrv_top_brqrv_dec_tlu_mfdc_int[5]),
+	.C1(n_7382),
+	.X(n_7411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g682063 (
+	.A1(n_6010),
+	.A2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[15]),
+	.B1(n_6164),
+	.B2(brqrv_top_brqrv_dec_tlu_mtval[15]),
+	.C1(n_7380),
+	.X(n_7410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g682064 (
+	.A(n_7401),
+	.Y(brqrv_top_brqrv_dec_tlu_meicidpl_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g682065 (
+	.A(n_7400),
+	.Y(brqrv_top_brqrv_dec_tlu_meicidpl_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g682066 (
+	.A(n_7399),
+	.Y(brqrv_top_brqrv_dec_tlu_meicidpl_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g682067 (
+	.A(n_7398),
+	.Y(brqrv_top_brqrv_dec_tlu_meicidpl_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g682068 (
+	.A(n_7215),
+	.B(n_7216),
+	.C(n_7344),
+	.D(n_7343),
+	.Y(n_7409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g682069 (
+	.A1(brqrv_top_brqrv_dec_tlu_mfdc_int[6]),
+	.A2(n_32544),
+	.B1(n_6384),
+	.C1(n_6948),
+	.D1(n_7358),
+	.Y(n_7408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g682070 (
+	.A1(n_31079),
+	.A2(n_7334),
+	.B1(n_31810),
+	.Y(n_7407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g682071 (
+	.A(n_7383),
+	.B(n_32604),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_ifu_pmu_bus_error_in), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g682072 (
+	.A1(n_6012),
+	.A2(brqrv_top_brqrv_dec_tlu_mcyclel[24]),
+	.B1(n_6158),
+	.B2(brqrv_top_brqrv_dec_tlu_mscratch[24]),
+	.C1(n_7371),
+	.X(n_7406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g682073 (
+	.A1(brqrv_top_brqrv_dec_tlu_miccmect[7]),
+	.A2(n_7339),
+	.B1(n_6181),
+	.Y(n_7405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g682074 (
+	.A(n_7225),
+	.B(n_7224),
+	.C(n_7347),
+	.D(n_7346),
+	.Y(n_7404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g682075 (
+	.A1(n_7362),
+	.A2(brqrv_top_brqrv_dec_tlu_exc_or_int_valid_r),
+	.B1_N(n_7097),
+	.Y(brqrv_top_brqrv_dec_tlu_mstatus_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g682076 (
+	.A(n_7366),
+	.B(n_32572),
+	.C(n_32790),
+	.Y(n_7403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g682077 (
+	.A(n_7356),
+	.B(n_6952),
+	.C(n_6544),
+	.D(n_6555),
+	.Y(n_7402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g682078 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[3]),
+	.A2(n_7296),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_meicpct_r),
+	.B2(brqrv_top_brqrv_pic_pl[3]),
+	.C1(n_7295),
+	.C2(brqrv_top_brqrv_dec_tlu_meicidpl[3]),
+	.Y(n_7401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g682079 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[2]),
+	.A2(n_7296),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_meicpct_r),
+	.B2(brqrv_top_brqrv_pic_pl[2]),
+	.C1(n_7295),
+	.C2(brqrv_top_brqrv_dec_tlu_meicidpl[2]),
+	.Y(n_7400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g682080 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[1]),
+	.A2(n_7296),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_meicpct_r),
+	.B2(brqrv_top_brqrv_pic_pl[1]),
+	.C1(n_7295),
+	.C2(brqrv_top_brqrv_dec_tlu_meicidpl[1]),
+	.Y(n_7399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g682081 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[0]),
+	.A2(n_7296),
+	.B1(brqrv_top_brqrv_dec_tlu_wr_meicpct_r),
+	.B2(brqrv_top_brqrv_pic_pl[0]),
+	.C1(n_7295),
+	.C2(brqrv_top_brqrv_dec_tlu_meicidpl[0]),
+	.Y(n_7398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g682082 (
+	.A1(n_6400),
+	.A2(n_7328),
+	.B1(n_7097),
+	.B2(brqrv_top_brqrv_dec_tlu_mstatus[1]),
+	.C1(n_7286),
+	.X(brqrv_top_brqrv_dec_tlu_mstatus_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g682084 (
+	.A(n_7361),
+	.B(n_7365),
+	.C(n_6690),
+	.D(n_6729),
+	.Y(n_7397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g682085 (
+	.A1(n_6004),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc4h[18]),
+	.B1(n_6013),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[18]),
+	.C1(n_7378),
+	.X(n_7396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682086 (
+	.A1(n_39146),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[54]),
+	.B1(n_6175),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[92]),
+	.C1(n_7369),
+	.Y(n_7395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g682087 (
+	.A_N(n_39172),
+	.B(n_7292),
+	.C(n_6900),
+	.D(n_6930),
+	.Y(n_7394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g682088 (
+	.A(n_7277),
+	.B(n_6708),
+	.C(n_6706),
+	.D(n_6705),
+	.Y(n_7393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g682089 (
+	.A(n_7270),
+	.B(n_6738),
+	.C(n_6330),
+	.D(n_6540),
+	.Y(n_7392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682090 (
+	.A1(n_6158),
+	.A2(brqrv_top_brqrv_dec_tlu_mscratch[21]),
+	.B1(n_6003),
+	.B2(n_34625),
+	.C1(n_7351),
+	.Y(n_7391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682091 (
+	.A1(n_6167),
+	.A2(brqrv_top_brqrv_dec_tlu_minstretl[8]),
+	.B1(n_6165),
+	.B2(n_34600),
+	.C1(n_7350),
+	.Y(n_7390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g682092 (
+	.A1_N(brqrv_top_brqrv_exu_i0_rs2_d[4]),
+	.A2_N(n_7252),
+	.B1(brqrv_top_brqrv_exu_i0_rs2_d[4]),
+	.B2(n_7252),
+	.Y(n_7389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g682093 (
+	.A1(brqrv_top_brqrv_exu_i0_rs2_d[3]),
+	.A2(n_32210),
+	.B1(n_7253),
+	.B2(n_7218),
+	.X(brqrv_top_brqrv_exu_i_alu_shift_amount[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2o_1 g682094 (
+	.A1_N(brqrv_top_brqrv_exu_i0_rs2_d[1]),
+	.A2_N(n_29903),
+	.B1(brqrv_top_brqrv_exu_i0_rs2_d[1]),
+	.B2(n_5993),
+	.X(brqrv_top_brqrv_exu_i_alu_shift_amount[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g682095 (
+	.A(n_7263),
+	.B(n_6734),
+	.C(n_30512),
+	.D(n_6922),
+	.Y(n_7388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g682096 (
+	.A1(n_6472),
+	.A2(brqrv_top_brqrv_dec_dec_tlu_dec_clk_override),
+	.B1(n_6022),
+	.B2(brqrv_top_brqrv_dec_tlu_mfdc_int[7]),
+	.C1(n_7342),
+	.X(n_7387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g682097 (
+	.A1(n_6167),
+	.A2(brqrv_top_brqrv_dec_tlu_minstretl[4]),
+	.B1(n_6158),
+	.B2(brqrv_top_brqrv_dec_tlu_mscratch[4]),
+	.C1(n_7357),
+	.X(n_7386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g682098 (
+	.A1(n_6404),
+	.A2(brqrv_top_brqrv_dec_tlu_meicidpl[1]),
+	.B1(n_6022),
+	.B2(brqrv_top_brqrv_dec_tlu_mfdc_int[1]),
+	.C1(n_7341),
+	.X(n_7385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g682099 (
+	.A1(n_6488),
+	.A2(n_7364),
+	.B1(n_7141),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_merge_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682100 (
+	.A1(brqrv_top_brqrv_dec_tlu_micect[30]),
+	.A2(n_7285),
+	.B1(brqrv_top_brqrv_dec_tlu_micect[31]),
+	.B2(n_6960),
+	.Y(n_7384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g682101 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_n_666),
+	.B(n_7337),
+	.Y(n_7383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g682102 (
+	.A(n_7183),
+	.B(n_6579),
+	.C(n_6577),
+	.D(n_6375),
+	.Y(n_7382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g682103 (
+	.A1(n_6921),
+	.A2(brqrv_top_brqrv_dec_tlu_mstatus[0]),
+	.B1(n_7338),
+	.Y(n_7381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682104 (
+	.A(n_7332),
+	.B(n_6922),
+	.Y(n_7380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g682105 (
+	.A1(n_6417),
+	.A2(brqrv_top_brqrv_dec_tlu_miccmect[23]),
+	.B1(n_7331),
+	.Y(n_7379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g682106 (
+	.A1(brqrv_top_brqrv_dec_tlu_mfdc_int[15]),
+	.A2(n_32544),
+	.B1(n_6340),
+	.C1(n_6335),
+	.D1(n_7273),
+	.Y(n_7378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g682107 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mdccmect[16]),
+	.A2_N(n_7134),
+	.B1(brqrv_top_brqrv_dec_tlu_miccmect[31]),
+	.B2(n_7283),
+	.Y(n_7377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682108 (
+	.A1(n_6012),
+	.A2(brqrv_top_brqrv_dec_tlu_mcyclel[19]),
+	.B1(n_6003),
+	.B2(n_34621),
+	.C1(n_7265),
+	.Y(n_7376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682109 (
+	.A1(n_6012),
+	.A2(brqrv_top_brqrv_dec_tlu_mcyclel[22]),
+	.B1(n_6003),
+	.B2(n_34627),
+	.C1(n_7268),
+	.Y(n_7375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682110 (
+	.A1(n_6012),
+	.A2(brqrv_top_brqrv_dec_tlu_mcyclel[20]),
+	.B1(n_6003),
+	.B2(n_34623),
+	.C1(n_7267),
+	.Y(n_7374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682111 (
+	.A1(n_6158),
+	.A2(brqrv_top_brqrv_dec_tlu_mscratch[26]),
+	.B1(n_6012),
+	.B2(brqrv_top_brqrv_dec_tlu_mcyclel[26]),
+	.C1(n_7266),
+	.Y(n_7373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682112 (
+	.A1(n_6012),
+	.A2(brqrv_top_brqrv_dec_tlu_mcyclel[23]),
+	.B1(n_6003),
+	.B2(n_34629),
+	.C1(n_7269),
+	.Y(n_7372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g682113 (
+	.A1(n_6177),
+	.A2(brqrv_top_brqrv_dec_tlu_mtvec[23]),
+	.B1(n_39143),
+	.B2(brqrv_top_brqrv_dec_tlu_dpc[24]),
+	.C1(n_7255),
+	.X(n_7371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682114 (
+	.A1(n_39141),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[28]),
+	.B1(n_6009),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[142]),
+	.C1(n_7280),
+	.Y(n_7370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g682115 (
+	.A(n_7271),
+	.B(n_6532),
+	.C(n_6531),
+	.D(n_6966),
+	.Y(n_7369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21bo_2 g682116 (
+	.A1(brqrv_top_brqrv_exu_i0_rs2_d[0]),
+	.A2(n_32210),
+	.B1_N(n_29903),
+	.X(brqrv_top_brqrv_exu_i_alu_shift_amount[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g682117 (
+	.A1(n_7131),
+	.A2(n_7129),
+	.A3(n_7035),
+	.B1(brqrv_top_brqrv_dec_tlu_miccmect[31]),
+	.Y(n_7368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682118 (
+	.A1(n_6475),
+	.A2(brqrv_top_brqrv_dec_tlu_mdccmect[9]),
+	.B1(n_6926),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[8]),
+	.C1(n_7242),
+	.Y(n_7367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g682119 (
+	.A(n_32571),
+	.B(n_7279),
+	.Y(n_7366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682120 (
+	.A(n_7251),
+	.B(n_7327),
+	.Y(n_34123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682121 (
+	.A(n_7251),
+	.B(n_7326),
+	.Y(n_34122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682122 (
+	.A(n_7251),
+	.B(n_7325),
+	.Y(n_34121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682123 (
+	.A(n_7251),
+	.B(n_7324),
+	.Y(n_34120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682124 (
+	.A(n_7251),
+	.B(n_7323),
+	.Y(n_34119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682125 (
+	.A(n_7251),
+	.B(n_7322),
+	.Y(n_34118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682126 (
+	.A(n_7251),
+	.B(n_7321),
+	.Y(n_34117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682127 (
+	.A(n_7251),
+	.B(n_7320),
+	.Y(n_34116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682128 (
+	.A(n_7251),
+	.B(n_7319),
+	.Y(n_34115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682129 (
+	.A(n_7251),
+	.B(n_7318),
+	.Y(n_34114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682130 (
+	.A(n_7251),
+	.B(n_7317),
+	.Y(n_34113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682131 (
+	.A(n_7251),
+	.B(n_7316),
+	.Y(n_34112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682132 (
+	.A(n_7251),
+	.B(n_7315),
+	.Y(n_34111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682133 (
+	.A(n_7251),
+	.B(n_7314),
+	.Y(n_34110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682134 (
+	.A(n_7251),
+	.B(n_7313),
+	.Y(n_34109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682135 (
+	.A(n_7251),
+	.B(n_7312),
+	.Y(n_34108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682136 (
+	.A(n_7251),
+	.B(n_7311),
+	.Y(n_34107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682137 (
+	.A(n_7251),
+	.B(n_7310),
+	.Y(n_34106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682138 (
+	.A(n_7251),
+	.B(n_7309),
+	.Y(n_34105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682139 (
+	.A(n_7251),
+	.B(n_7308),
+	.Y(n_34104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682140 (
+	.A(n_7251),
+	.B(n_7307),
+	.Y(n_34103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682141 (
+	.A(n_7251),
+	.B(n_7306),
+	.Y(n_34102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682142 (
+	.A(n_7251),
+	.B(n_7305),
+	.Y(n_34101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682143 (
+	.A(n_7251),
+	.B(n_7304),
+	.Y(n_34100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682144 (
+	.A(n_7251),
+	.B(n_7303),
+	.Y(n_34099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682145 (
+	.A(n_7251),
+	.B(n_7302),
+	.Y(n_34098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682146 (
+	.A(n_7251),
+	.B(n_7301),
+	.Y(n_34097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682147 (
+	.A(n_7251),
+	.B(n_7300),
+	.Y(n_34096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682148 (
+	.A(n_7251),
+	.B(n_7299),
+	.Y(n_34095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682149 (
+	.A(n_7251),
+	.B(n_7297),
+	.Y(n_34093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682150 (
+	.A(n_7251),
+	.B(n_7298),
+	.Y(n_34094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g682151 (
+	.A1(n_39141),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[31]),
+	.B1(n_7276),
+	.Y(n_7365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g682152 (
+	.A1(n_6670),
+	.A2(n_6671),
+	.B1(n_7031),
+	.C1(n_7206),
+	.D1(n_7192),
+	.Y(n_7364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682153 (
+	.A1(brqrv_top_brqrv_dec_tlu_micect[30]),
+	.A2(n_7210),
+	.B1(brqrv_top_brqrv_dec_tlu_mdccmect[31]),
+	.B2(n_7246),
+	.Y(n_7363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682154 (
+	.A1(n_7172),
+	.A2(n_30460),
+	.B1(n_6185),
+	.B2(brqrv_top_brqrv_dec_tlu_mstatus[1]),
+	.C1(n_7171),
+	.Y(n_7362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g682155 (
+	.A1(n_6012),
+	.A2(brqrv_top_brqrv_dec_tlu_mcyclel[31]),
+	.B1(n_7262),
+	.Y(n_7361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g682156 (
+	.A1(n_6177),
+	.A2(brqrv_top_brqrv_dec_tlu_mtvec[8]),
+	.B1(n_7259),
+	.Y(n_7360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g682157 (
+	.A1(n_6012),
+	.A2(brqrv_top_brqrv_dec_tlu_mcyclel[27]),
+	.B1(n_7258),
+	.Y(n_7359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g682159 (
+	.A1(n_6393),
+	.A2(brqrv_top_brqrv_dec_tlu_dicad1_raw[6]),
+	.B1(n_6929),
+	.C1(n_7190),
+	.Y(n_7358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g682160 (
+	.A1(n_6003),
+	.A2(n_34591),
+	.B1(n_6165),
+	.B2(n_34592),
+	.C1(n_7291),
+	.X(n_7357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682161 (
+	.A1(n_6003),
+	.A2(n_34617),
+	.B1(n_6167),
+	.B2(brqrv_top_brqrv_dec_tlu_minstretl[17]),
+	.C1(n_7272),
+	.Y(n_7356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g682162 (
+	.A1(n_6012),
+	.A2(brqrv_top_brqrv_dec_tlu_mcyclel[25]),
+	.B1(n_7256),
+	.Y(n_7355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 g682163 (
+	.A(brqrv_top_brqrv_dec_tlu_micect[11]),
+	.B(brqrv_top_brqrv_dec_tlu_micect[7]),
+	.C_N(n_7209),
+	.X(n_7354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682164 (
+	.A1(n_6002),
+	.A2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[12]),
+	.B1(n_6013),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[12]),
+	.C1(n_7288),
+	.Y(n_7353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682165 (
+	.A1(n_6010),
+	.A2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[11]),
+	.B1(n_31705),
+	.B2(brqrv_top_brqrv_dec_tlu_meihap[11]),
+	.C1(n_7261),
+	.Y(n_7352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g682166 (
+	.A1(n_6167),
+	.A2(brqrv_top_brqrv_dec_tlu_minstretl[21]),
+	.B1(n_6177),
+	.B2(brqrv_top_brqrv_dec_tlu_mtvec[20]),
+	.C1(n_7260),
+	.X(n_7351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g682167 (
+	.A1(n_6158),
+	.A2(brqrv_top_brqrv_dec_tlu_mscratch[8]),
+	.B1(n_6177),
+	.B2(brqrv_top_brqrv_dec_tlu_mtvec[7]),
+	.C1(n_7257),
+	.X(n_7350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g682168 (
+	.A1(n_6402),
+	.A2(n_7241),
+	.B1(n_6402),
+	.B2(n_7127),
+	.C1(n_6882),
+	.Y(n_7349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g682169 (
+	.A(n_7232),
+	.B(n_7234),
+	.C(n_7235),
+	.D(n_7233),
+	.Y(n_7348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g682170 (
+	.A(n_7228),
+	.B(n_7229),
+	.C(n_7227),
+	.D(n_7226),
+	.Y(n_7347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g682171 (
+	.A(n_7222),
+	.B(n_7223),
+	.C(n_7220),
+	.D(n_7221),
+	.Y(n_7346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g682172 (
+	.A(n_7238),
+	.B(n_7219),
+	.C(n_7247),
+	.D(n_7240),
+	.Y(n_7345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g682173 (
+	.A(n_7249),
+	.B(n_7207),
+	.C(n_7250),
+	.D(n_7248),
+	.Y(n_7344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g682174 (
+	.A(n_7211),
+	.B(n_7213),
+	.C(n_7212),
+	.D(n_7214),
+	.Y(n_7343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g682175 (
+	.A(n_6644),
+	.B(n_6645),
+	.C(n_6649),
+	.D(n_7119),
+	.Y(n_7342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g682176 (
+	.A(n_7112),
+	.B(n_6520),
+	.C(n_6518),
+	.D(n_6517),
+	.Y(n_7341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682177 (
+	.A1(n_39141),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[14]),
+	.B1(n_6009),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[128]),
+	.C1(n_7264),
+	.Y(n_7340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g682178 (
+	.A(n_7201),
+	.B(n_7104),
+	.C(n_7045),
+	.Y(n_7339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g682179 (
+	.A(n_7120),
+	.B(n_6551),
+	.C(n_6345),
+	.D(n_6552),
+	.Y(n_7338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g682180 (
+	.A(n_31593),
+	.B(n_7284),
+	.C_N(brqrv_top_brqrv_ifu_mem_ctl_n_2294),
+	.Y(n_7337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682181 (
+	.A1(n_33176),
+	.A2(brqrv_top_brqrv_dec_tlu_dicad0h[13]),
+	.B1(n_6010),
+	.B2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[13]),
+	.C1(n_7197),
+	.Y(n_7336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682182 (
+	.A1(n_6164),
+	.A2(brqrv_top_brqrv_dec_tlu_mtval[28]),
+	.B1(n_33175),
+	.B2(brqrv_top_brqrv_dec_tlu_mdseac[28]),
+	.C1(n_7196),
+	.Y(n_7335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_2 g682183 (
+	.A1(brqrv_top_brqrv_exu_i0_rs2_d[2]),
+	.A2(n_32210),
+	.B1(n_6949),
+	.B2(n_7218),
+	.X(brqrv_top_brqrv_exu_i_alu_shift_amount[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682184 (
+	.A1(n_7202),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dual[3]),
+	.B1(n_31078),
+	.B2(n_31077),
+	.Y(n_7334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682185 (
+	.A1(n_33175),
+	.A2(brqrv_top_brqrv_dec_tlu_mdseac[2]),
+	.B1(n_6003),
+	.B2(n_34587),
+	.C1(n_7189),
+	.Y(n_7333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682186 (
+	.A1(n_33176),
+	.A2(brqrv_top_brqrv_dec_tlu_dicad0h[15]),
+	.B1(n_31705),
+	.B2(brqrv_top_brqrv_dec_tlu_meihap[15]),
+	.C1(n_7186),
+	.Y(n_7332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682187 (
+	.A1(brqrv_top_brqrv_dec_tlu_miccmect[30]),
+	.A2(n_7200),
+	.B1(brqrv_top_brqrv_dec_tlu_miccmect[31]),
+	.B2(n_6865),
+	.Y(n_7331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682188 (
+	.A1(n_6164),
+	.A2(brqrv_top_brqrv_dec_tlu_mtval[14]),
+	.B1(n_6010),
+	.B2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[14]),
+	.C1(n_7185),
+	.Y(n_7330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g682189 (
+	.A1(n_39141),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[26]),
+	.B1(n_7274),
+	.Y(n_7329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682190 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[25]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682191 (
+	.A(n_7180),
+	.B(n_30460),
+	.Y(n_7328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682192 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[13]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682193 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[30]),
+	.B(n_7218),
+	.Y(n_7327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682194 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[29]),
+	.B(n_7218),
+	.Y(n_7326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682195 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[28]),
+	.B(n_7218),
+	.Y(n_7325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682196 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[27]),
+	.B(n_7218),
+	.Y(n_7324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682197 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[26]),
+	.B(n_7218),
+	.Y(n_7323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682198 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[25]),
+	.B(n_7218),
+	.Y(n_7322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682199 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[24]),
+	.B(n_7218),
+	.Y(n_7321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682200 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[23]),
+	.B(n_7218),
+	.Y(n_7320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682201 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[22]),
+	.B(n_7218),
+	.Y(n_7319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682202 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[21]),
+	.B(n_7218),
+	.Y(n_7318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682203 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[20]),
+	.B(n_7218),
+	.Y(n_7317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682204 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[19]),
+	.B(n_7218),
+	.Y(n_7316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682205 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[18]),
+	.B(n_7218),
+	.Y(n_7315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682206 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[17]),
+	.B(n_7218),
+	.Y(n_7314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682207 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[16]),
+	.B(n_7218),
+	.Y(n_7313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682208 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[15]),
+	.B(n_7218),
+	.Y(n_7312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682209 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[14]),
+	.B(n_7218),
+	.Y(n_7311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682210 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[13]),
+	.B(n_7218),
+	.Y(n_7310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682211 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[12]),
+	.B(n_7218),
+	.Y(n_7309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682212 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[11]),
+	.B(n_7218),
+	.Y(n_7308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682213 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[10]),
+	.B(n_7218),
+	.Y(n_7307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682214 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[9]),
+	.B(n_7218),
+	.Y(n_7306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682215 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[8]),
+	.B(n_7218),
+	.Y(n_7305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682216 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[7]),
+	.B(n_7218),
+	.Y(n_7304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682217 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[6]),
+	.B(n_7218),
+	.Y(n_7303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682218 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[5]),
+	.B(n_7218),
+	.Y(n_7302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682219 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[4]),
+	.B(n_7218),
+	.Y(n_7301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682220 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[3]),
+	.B(n_7218),
+	.Y(n_7300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682221 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[2]),
+	.B(n_7218),
+	.Y(n_7299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682222 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[1]),
+	.B(n_7218),
+	.Y(n_7298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682223 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[0]),
+	.B(n_7218),
+	.Y(n_7297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682224 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[26]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682225 (
+	.A(n_31514),
+	.B_N(brqrv_top_dmi_reg_wdata[31]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682226 (
+	.A(n_31514),
+	.B_N(brqrv_top_dmi_reg_wdata[30]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682227 (
+	.A(n_31514),
+	.B_N(brqrv_top_dmi_reg_wdata[29]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682228 (
+	.A(n_31514),
+	.B_N(brqrv_top_dmi_reg_wdata[28]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682229 (
+	.A(n_31514),
+	.B_N(brqrv_top_dmi_reg_wdata[27]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682230 (
+	.A(n_31514),
+	.B_N(brqrv_top_dmi_reg_wdata[26]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682231 (
+	.A(n_31514),
+	.B_N(brqrv_top_dmi_reg_wdata[25]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682232 (
+	.A(n_31514),
+	.B_N(brqrv_top_dmi_reg_wdata[24]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682233 (
+	.A(n_31514),
+	.B_N(brqrv_top_dmi_reg_wdata[23]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682234 (
+	.A(n_31514),
+	.B_N(brqrv_top_dmi_reg_wdata[22]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682235 (
+	.A(n_31514),
+	.B_N(brqrv_top_dmi_reg_wdata[21]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682236 (
+	.A(n_31514),
+	.B_N(brqrv_top_dmi_reg_wdata[20]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682237 (
+	.A(n_31514),
+	.B_N(brqrv_top_dmi_reg_wdata[19]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682238 (
+	.A(n_31514),
+	.B_N(brqrv_top_dmi_reg_wdata[18]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682239 (
+	.A(n_31514),
+	.B_N(brqrv_top_dmi_reg_wdata[17]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682240 (
+	.A(n_31514),
+	.B_N(brqrv_top_dmi_reg_wdata[16]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682241 (
+	.A(n_31514),
+	.B_N(brqrv_top_dmi_reg_wdata[15]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682242 (
+	.A(n_31514),
+	.B_N(brqrv_top_dmi_reg_wdata[14]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682243 (
+	.A(n_31514),
+	.B_N(brqrv_top_dmi_reg_wdata[13]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682244 (
+	.A(n_31514),
+	.B_N(brqrv_top_dmi_reg_wdata[12]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682245 (
+	.A(n_31514),
+	.B_N(brqrv_top_dmi_reg_wdata[11]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682246 (
+	.A(n_31514),
+	.B_N(brqrv_top_dmi_reg_wdata[10]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682247 (
+	.A(n_31514),
+	.B_N(brqrv_top_dmi_reg_wdata[9]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682248 (
+	.A(n_31514),
+	.B_N(brqrv_top_dmi_reg_wdata[8]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682249 (
+	.A(n_31514),
+	.B_N(brqrv_top_dmi_reg_wdata[7]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682250 (
+	.A(n_31514),
+	.B_N(brqrv_top_dmi_reg_wdata[6]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682251 (
+	.A(n_31514),
+	.B_N(brqrv_top_dmi_reg_wdata[5]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682252 (
+	.A(n_31514),
+	.B_N(brqrv_top_dmi_reg_wdata[4]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682253 (
+	.A(n_31514),
+	.B_N(brqrv_top_dmi_reg_wdata[3]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682254 (
+	.A(n_31514),
+	.B_N(brqrv_top_dmi_reg_wdata[2]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682255 (
+	.A(n_31514),
+	.B_N(brqrv_top_dmi_reg_wdata[1]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682256 (
+	.A(n_31514),
+	.B_N(brqrv_top_dmi_reg_wdata[0]),
+	.Y(brqrv_top_brqrv_dbg_sbdata0_din[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682257 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[31]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682258 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[30]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682259 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[29]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682260 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[28]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682261 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[27]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682262 (
+	.A(brqrv_top_brqrv_exu_i0_rs2_d[0]),
+	.B(n_7218),
+	.Y(n_29903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g682263 (
+	.A1(n_6004),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc4h[10]),
+	.B1(n_7243),
+	.Y(n_7294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682264 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[23]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682265 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[22]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682266 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[20]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682267 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[19]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682268 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[18]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682269 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[17]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682270 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[16]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682271 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[15]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682272 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[14]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682273 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[12]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682274 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[11]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682275 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[10]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682276 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[8]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682277 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[7]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682278 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[6]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682279 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[4]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682280 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[3]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682281 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[2]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682282 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[1]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682283 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[0]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682284 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[21]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682285 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[9]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682286 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[5]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g682287 (
+	.A1(n_6935),
+	.A2(brqrv_top_brqrv_dec_tlu_micect[14]),
+	.B1(brqrv_top_brqrv_dec_tlu_micect[15]),
+	.C1(n_7105),
+	.Y(n_7293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682288 (
+	.A1(n_6003),
+	.A2(n_34584),
+	.B1(n_6165),
+	.B2(n_34583),
+	.C1(n_7165),
+	.Y(n_7292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g682289 (
+	.A1(n_6164),
+	.A2(brqrv_top_brqrv_dec_tlu_mtval[4]),
+	.B1(n_33175),
+	.B2(brqrv_top_brqrv_dec_tlu_mdseac[4]),
+	.C1(n_7159),
+	.X(n_7291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g682290 (
+	.A1(n_7107),
+	.A2(n_7019),
+	.B1(brqrv_top_brqrv_dec_tlu_miccmect[30]),
+	.Y(n_7290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g682291 (
+	.A1(n_7102),
+	.A2(n_7136),
+	.B1_N(n_6410),
+	.Y(n_7289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g682292 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[12]),
+	.A2(n_30484),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[12]),
+	.B2(n_30486),
+	.C1(n_7237),
+	.Y(n_7288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g682293 (
+	.A1(n_7162),
+	.A2(n_7037),
+	.B1(brqrv_top_brqrv_dec_tlu_mdccmect[31]),
+	.Y(n_7287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g682294 (
+	.A1(n_7172),
+	.A2(n_7171),
+	.B1(brqrv_top_brqrv_dec_tlu_exc_or_int_valid_r),
+	.X(n_7286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682295 (
+	.A1(n_6183),
+	.A2(brqrv_top_brqrv_dec_tlu_micect[19]),
+	.B1(n_6935),
+	.B2(brqrv_top_brqrv_dec_tlu_micect[22]),
+	.C1(n_7106),
+	.Y(n_7285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g682296 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[3]),
+	.A2(n_7096),
+	.B1(n_7245),
+	.Y(n_7284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682297 (
+	.A1(n_7051),
+	.A2(brqrv_top_brqrv_dec_tlu_miccmect[12]),
+	.B1(n_6466),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[11]),
+	.C1(brqrv_top_brqrv_dec_tlu_miccmect[15]),
+	.Y(n_7283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g682298 (
+	.A1(n_6004),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc4h[13]),
+	.B1(n_7244),
+	.Y(n_7282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g682299 (
+	.A1(n_31571),
+	.A2(n_7028),
+	.B1(n_32511),
+	.Y(brqrv_top_brqrv_dec_tlu_minstret_enable), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682300 (
+	.A(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR),
+	.B_N(brqrv_top_dmi_reg_wdata[24]),
+	.Y(brqrv_top_brqrv_dbg_sbdata1_din[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682301 (
+	.A1(n_39141),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[7]),
+	.B1(n_6175),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[83]),
+	.C1(n_7236),
+	.Y(n_7281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g682302 (
+	.A1(n_6170),
+	.A2(n_34576),
+	.B1(n_6002),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[28]),
+	.C1(n_7208),
+	.X(n_7280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g682303 (
+	.A_N(n_32578),
+	.B(n_38503),
+	.C(n_32581),
+	.D(brqrv_top_brqrv_dec_i0_predict_p_d[46]),
+	.Y(n_7279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g682304 (
+	.A1(n_6169),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc5[27]),
+	.B1(n_7193),
+	.Y(n_7278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g682305 (
+	.A1(n_31705),
+	.A2(brqrv_top_brqrv_dec_tlu_meihap[10]),
+	.B1(n_7194),
+	.Y(n_7277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g682306 (
+	.A1(n_6004),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc4h[31]),
+	.B1(n_6014),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5h[31]),
+	.C1(n_7184),
+	.X(n_7276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4bb_1 g682307 (
+	.A(n_32819),
+	.B(n_32818),
+	.C_N(brqrv_top_brqrv_dec_tlu_mcyclel[7]),
+	.D_N(brqrv_top_brqrv_dec_tlu_mcyclel[6]),
+	.Y(brqrv_top_brqrv_dec_tlu_mcyclela_cout), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4bb_1 g682308 (
+	.A(n_32821),
+	.B(n_32820),
+	.C_N(brqrv_top_brqrv_dec_tlu_minstretl[7]),
+	.D_N(brqrv_top_brqrv_dec_tlu_minstretl[6]),
+	.Y(brqrv_top_brqrv_dec_tlu_minstretl_couta), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4bb_1 g682309 (
+	.A(n_32825),
+	.B(n_32824),
+	.C_N(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[7]),
+	.D_N(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[6]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_inc_cout), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4bb_1 g682310 (
+	.A(n_32823),
+	.B(n_32822),
+	.C_N(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[7]),
+	.D_N(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[6]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_inc_cout), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g682311 (
+	.A1(n_6169),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc5[19]),
+	.B1(n_7191),
+	.Y(n_7275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g682312 (
+	.A1(n_6004),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc4h[26]),
+	.B1(n_6014),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5h[26]),
+	.C1(n_7182),
+	.X(n_7274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682313 (
+	.A1(n_39143),
+	.A2(brqrv_top_brqrv_dec_tlu_dpc[18]),
+	.B1(n_6164),
+	.B2(brqrv_top_brqrv_dec_tlu_mtval[18]),
+	.C1(n_7178),
+	.Y(n_7273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g682314 (
+	.A(n_7013),
+	.B(n_6550),
+	.C(n_6343),
+	.D(n_6344),
+	.Y(n_7272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g682315 (
+	.A1(n_31705),
+	.A2(brqrv_top_brqrv_dec_tlu_meihap[16]),
+	.B1(n_7188),
+	.Y(n_7271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g682316 (
+	.A1(n_6010),
+	.A2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[29]),
+	.B1(n_7187),
+	.Y(n_7270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g682317 (
+	.A(n_7001),
+	.B(n_6455),
+	.C(n_6453),
+	.D(n_6909),
+	.Y(n_7269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g682318 (
+	.A(n_6997),
+	.B(n_6441),
+	.C(n_6439),
+	.D(n_6718),
+	.Y(n_7268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g682319 (
+	.A(n_6985),
+	.B(n_6464),
+	.C(n_6424),
+	.D(n_6659),
+	.Y(n_7267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g682320 (
+	.A(n_6979),
+	.B(n_6607),
+	.C(n_6383),
+	.D(n_6379),
+	.Y(n_7266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g682321 (
+	.A(n_6982),
+	.B(n_6381),
+	.C(n_6420),
+	.D(n_6599),
+	.Y(n_7265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g682322 (
+	.A(n_7155),
+	.B(n_6467),
+	.C(n_6498),
+	.Y(n_7264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g682323 (
+	.A1(n_6176),
+	.A2(brqrv_top_brqrv_dec_tlu_mepc[12]),
+	.B1(n_7195),
+	.Y(n_7263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g682324 (
+	.A(n_6993),
+	.B(n_6710),
+	.C(n_6435),
+	.D(n_6720),
+	.Y(n_7262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g682325 (
+	.A(n_6828),
+	.B(n_6998),
+	.C(n_6717),
+	.D(n_6440),
+	.Y(n_7261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g682326 (
+	.A(n_6991),
+	.B(n_6693),
+	.C(n_6696),
+	.D(n_6694),
+	.Y(n_7260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g682327 (
+	.A(n_6990),
+	.B(n_6329),
+	.C(n_6688),
+	.D(n_6689),
+	.Y(n_7259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g682328 (
+	.A(n_6987),
+	.B(n_6673),
+	.C(n_6432),
+	.D(n_6679),
+	.Y(n_7258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g682329 (
+	.A(n_6988),
+	.B(n_6678),
+	.C(n_6676),
+	.D(n_6677),
+	.Y(n_7257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g682330 (
+	.A(n_6964),
+	.B(n_6538),
+	.C(n_6541),
+	.D(n_6334),
+	.Y(n_7256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g682331 (
+	.A(n_6959),
+	.B(n_6369),
+	.C(n_6501),
+	.D(n_6499),
+	.Y(n_7255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682332 (
+	.A1(n_7133),
+	.A2(brqrv_top_brqrv_dec_tlu_mdccmect[18]),
+	.B1(n_7135),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[22]),
+	.Y(n_7254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g682333 (
+	.A(brqrv_top_brqrv_exu_i0_rs2_d[3]),
+	.B(n_6940),
+	.Y(n_7253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g682334 (
+	.A0(n_7158),
+	.A1(n_7095),
+	.S(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[3]),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_n_666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g682335 (
+	.A(n_7173),
+	.B(brqrv_top_brqrv_dec_tlu_wr_meicpct_r),
+	.Y(n_7296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682336 (
+	.A(brqrv_top_brqrv_dec_tlu_wr_meicpct_r),
+	.B_N(n_7173),
+	.Y(n_7295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g682338 (
+	.A(n_6766),
+	.B(n_6769),
+	.C(n_6768),
+	.D(n_6767),
+	.Y(n_7250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g682339 (
+	.A(n_6772),
+	.B(n_6770),
+	.C(n_6773),
+	.D(n_6771),
+	.Y(n_7249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g682340 (
+	.A(n_6776),
+	.B(n_6774),
+	.C(n_6775),
+	.D(n_6777),
+	.Y(n_7248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g682341 (
+	.A(n_6783),
+	.B(n_6786),
+	.C(n_6784),
+	.D(n_6785),
+	.Y(n_7247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g682342 (
+	.A(brqrv_top_brqrv_dec_tlu_illegal_r),
+	.B(n_31571),
+	.X(n_31468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g682343 (
+	.A(n_32441),
+	.B(n_32440),
+	.X(n_32307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g682344 (
+	.A1(n_7052),
+	.A2(brqrv_top_brqrv_dec_tlu_mdccmect[14]),
+	.B1(brqrv_top_brqrv_dec_tlu_mdccmect[15]),
+	.Y(n_7246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_1 g682345 (
+	.A_N(brqrv_top_brqrv_dec_tlu_n_13273),
+	.B(n_7170),
+	.X(brqrv_top_brqrv_dec_tlu_wr_minstreth_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_1 g682346 (
+	.A_N(brqrv_top_brqrv_dec_tlu_n_396),
+	.B(n_7170),
+	.X(brqrv_top_brqrv_dec_tlu_wr_mcycleh_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682347 (
+	.A(n_7156),
+	.B(brqrv_top_brqrv_dec_tlu_mdseac_en_BAR),
+	.Y(brqrv_top_brqrv_dec_tlu_mdseac_locked_ns), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g682348 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[4]),
+	.A2(n_6736),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[3]),
+	.C1(n_6378),
+	.D1(n_6390),
+	.Y(n_7245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g682349 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[13]),
+	.A2(n_30484),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[13]),
+	.B2(n_30486),
+	.C1(n_7151),
+	.Y(n_7244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g682350 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[10]),
+	.A2(n_30484),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[10]),
+	.B2(n_30486),
+	.C1(n_7150),
+	.Y(n_7243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682351 (
+	.A(n_7160),
+	.B(n_6883),
+	.Y(n_7242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682352 (
+	.A1(n_6939),
+	.A2(brqrv_top_brqrv_dec_tlu_mdccmect[4]),
+	.B1(n_6926),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[0]),
+	.C1(n_6951),
+	.Y(n_7241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g682353 (
+	.A(n_6787),
+	.B(n_6790),
+	.C(n_6788),
+	.D(n_6789),
+	.Y(n_7240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4b_1 g682354 (
+	.A(brqrv_top_brqrv_dec_tlu_n_373),
+	.B(n_31479),
+	.C(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.D_N(n_30461),
+	.X(n_31289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682355 (
+	.A1(n_6928),
+	.A2(brqrv_top_brqrv_dec_tlu_micect[10]),
+	.B1(n_6183),
+	.B2(brqrv_top_brqrv_dec_tlu_micect[11]),
+	.C1(n_7130),
+	.Y(n_7239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g682356 (
+	.A(n_6793),
+	.B(n_6794),
+	.C(n_6791),
+	.D(n_6792),
+	.Y(n_7238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682357 (
+	.A1(n_6918),
+	.A2(brqrv_top_brqrv_dec_tlu_mtdata1_t0[6]),
+	.B1(n_6917),
+	.B2(brqrv_top_brqrv_dec_tlu_mtdata1_t2[6]),
+	.C1(n_7126),
+	.Y(n_7237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g682358 (
+	.A1(n_6918),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[36]),
+	.B1(n_6917),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[112]),
+	.C1(n_7139),
+	.X(n_7236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g682359 (
+	.A(n_6859),
+	.B(n_6862),
+	.C(n_6861),
+	.D(n_6860),
+	.Y(n_7235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g682360 (
+	.A(n_6856),
+	.B(n_6855),
+	.C(n_6858),
+	.D(n_6857),
+	.Y(n_7234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g682361 (
+	.A(n_6852),
+	.B(n_6853),
+	.C(n_6851),
+	.D(n_6854),
+	.Y(n_7233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g682362 (
+	.A(n_6850),
+	.B(n_6849),
+	.C(n_6848),
+	.D(n_6847),
+	.Y(n_7232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g682363 (
+	.A(n_6845),
+	.B(n_6843),
+	.C(n_6846),
+	.D(n_6844),
+	.Y(n_7231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g682364 (
+	.A(n_6839),
+	.B(n_6838),
+	.C(n_6840),
+	.D(n_6842),
+	.Y(n_7230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g682365 (
+	.A(n_6836),
+	.B(n_6837),
+	.C(n_6834),
+	.D(n_6835),
+	.Y(n_7229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g682366 (
+	.A(n_6831),
+	.B(n_6830),
+	.C(n_6833),
+	.D(n_6832),
+	.Y(n_7228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g682367 (
+	.A(n_6825),
+	.B(n_6826),
+	.C(n_6829),
+	.D(n_6827),
+	.Y(n_7227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g682368 (
+	.A(n_6824),
+	.B(n_6821),
+	.C(n_6823),
+	.D(n_6822),
+	.Y(n_7226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g682369 (
+	.A1(n_6109),
+	.A2(n_30255),
+	.B1(n_6233),
+	.B2(n_30254),
+	.C1(n_7149),
+	.Y(n_7225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g682370 (
+	.A1(n_6098),
+	.A2(n_30250),
+	.B1(n_6192),
+	.B2(n_30251),
+	.C1(n_7148),
+	.Y(n_7224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g682371 (
+	.A(n_6811),
+	.B(n_6812),
+	.C(n_6813),
+	.D(n_6814),
+	.Y(n_7223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g682372 (
+	.A(n_6808),
+	.B(n_6807),
+	.C(n_6810),
+	.D(n_6809),
+	.Y(n_7222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g682373 (
+	.A(n_6805),
+	.B(n_6806),
+	.C(n_6803),
+	.D(n_6804),
+	.Y(n_7221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g682374 (
+	.A(n_6802),
+	.B(n_6799),
+	.C(n_6801),
+	.D(n_6800),
+	.Y(n_7220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g682375 (
+	.A(n_6797),
+	.B(n_6795),
+	.C(n_6798),
+	.D(n_6796),
+	.Y(n_7219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682376 (
+	.A(brqrv_top_brqrv_exu_i0_rs2_d[3]),
+	.B_N(n_6940),
+	.Y(n_7252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682377 (
+	.A(n_7174),
+	.B(n_7142),
+	.Y(n_32210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g682378 (
+	.A1(n_31524),
+	.A2(n_31467),
+	.B1(n_30461),
+	.Y(brqrv_top_brqrv_dec_tlu_wr_meicpct_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682379 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[31]),
+	.B(n_7175),
+	.Y(n_7251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g682380 (
+	.A(n_31517),
+	.B(n_31515),
+	.X(n_31514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g682381 (
+	.A(n_31517),
+	.B(n_31513),
+	.X(brqrv_top_brqrv_dbg_sbdata1_reg_wren_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g682382 (
+	.A(n_7218),
+	.Y(n_29902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682383 (
+	.A1(n_6413),
+	.A2(n_6104),
+	.B1(n_6013),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[0]),
+	.C1(n_7017),
+	.Y(n_7217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g682384 (
+	.A1(n_6083),
+	.A2(n_30223),
+	.B1(n_6103),
+	.B2(n_30222),
+	.C1(n_7145),
+	.Y(n_7216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g682385 (
+	.A1(n_6304),
+	.A2(n_30212),
+	.B1(n_6088),
+	.B2(n_30213),
+	.C1(n_7144),
+	.Y(n_7215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g682386 (
+	.A(n_6754),
+	.B(n_6755),
+	.C(n_6753),
+	.D(n_6752),
+	.Y(n_7214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g682387 (
+	.A(n_6750),
+	.B(n_6748),
+	.C(n_6751),
+	.D(n_6749),
+	.Y(n_7213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g682388 (
+	.A(n_6746),
+	.B(n_6747),
+	.C(n_6745),
+	.D(n_6744),
+	.Y(n_7212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g682389 (
+	.A(n_6743),
+	.B(n_6742),
+	.C(n_6741),
+	.D(n_6740),
+	.Y(n_7211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g682390 (
+	.A1(brqrv_top_brqrv_dec_tlu_micect[17]),
+	.A2(n_6395),
+	.B1(n_6928),
+	.B2(brqrv_top_brqrv_dec_tlu_micect[18]),
+	.C1(n_6927),
+	.C2(brqrv_top_brqrv_dec_tlu_micect[16]),
+	.Y(n_7210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g682391 (
+	.A1(brqrv_top_brqrv_dec_tlu_micect[4]),
+	.A2(n_6938),
+	.B1(n_6408),
+	.B2(brqrv_top_brqrv_dec_tlu_micect[5]),
+	.C1(n_6935),
+	.C2(brqrv_top_brqrv_dec_tlu_micect[6]),
+	.Y(n_7209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g682392 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[28]),
+	.A2(n_30486),
+	.B1(n_6468),
+	.C1(n_7008),
+	.Y(n_7208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g682393 (
+	.A1(n_7003),
+	.A2(n_6484),
+	.B1(n_7163),
+	.Y(brqrv_top_brqrv_ifu_i0_icaf_type[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g682394 (
+	.A(n_6764),
+	.B(n_6762),
+	.C(n_6765),
+	.D(n_6763),
+	.Y(n_7207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g682395 (
+	.A(n_31407),
+	.B(n_31425),
+	.C(n_31406),
+	.D(n_7032),
+	.Y(n_7206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g682396 (
+	.A1(brqrv_top_brqrv_trigger_pkt_any[146]),
+	.A2(n_6916),
+	.B1(n_6917),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[108]),
+	.C1(n_6161),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc3h[6]),
+	.Y(n_7205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682397 (
+	.A1(n_6002),
+	.A2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[5]),
+	.B1(n_6029),
+	.B2(brqrv_top_brqrv_dec_tlu_mcountinhibit[5]),
+	.C1(n_7137),
+	.Y(n_7204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682398 (
+	.A1(n_6002),
+	.A2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[4]),
+	.B1(n_6021),
+	.B2(brqrv_top_brqrv_dec_tlu_dicawics[1]),
+	.C1(n_7153),
+	.Y(n_7203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g682399 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[6]),
+	.A2(n_6968),
+	.B1(n_6868),
+	.Y(n_7202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g682400 (
+	.A1(brqrv_top_brqrv_dec_tlu_miccmect[1]),
+	.A2(n_6397),
+	.B1(n_6936),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[6]),
+	.C1(n_6403),
+	.C2(brqrv_top_brqrv_dec_tlu_miccmect[5]),
+	.Y(n_7201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g682401 (
+	.A1(brqrv_top_brqrv_dec_tlu_miccmect[21]),
+	.A2(n_6403),
+	.B1(n_6937),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[20]),
+	.C1(n_6936),
+	.C2(brqrv_top_brqrv_dec_tlu_miccmect[22]),
+	.Y(n_7200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682402 (
+	.A1(n_6406),
+	.A2(brqrv_top_brqrv_dec_tlu_int_timers_mitctl1[2]),
+	.B1(n_6013),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[2]),
+	.C1(n_6947),
+	.Y(n_7199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682403 (
+	.A1(n_6958),
+	.A2(brqrv_top_brqrv_dec_tlu_mdccmect[17]),
+	.B1(n_7010),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[21]),
+	.Y(n_7198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g682404 (
+	.A(n_6898),
+	.B(n_6892),
+	.C(n_6895),
+	.D(n_6897),
+	.Y(n_7197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g682405 (
+	.A(n_6733),
+	.B(n_6727),
+	.C(n_6841),
+	.D(n_6730),
+	.Y(n_7196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g682406 (
+	.A(n_6999),
+	.B(n_6731),
+	.C(n_6449),
+	.Y(n_7195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g682407 (
+	.A(n_6699),
+	.B(n_6703),
+	.C(n_6702),
+	.D(n_6700),
+	.Y(n_7194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g682408 (
+	.A(n_6709),
+	.B(n_6704),
+	.C(n_6713),
+	.D(n_6701),
+	.Y(n_7193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682409 (
+	.A1(n_6160),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[2]),
+	.B1(n_6006),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[5]),
+	.C1(n_7143),
+	.Y(n_7192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g682410 (
+	.A(n_6633),
+	.B(n_6630),
+	.C(n_6636),
+	.D(n_6626),
+	.Y(n_7191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g682411 (
+	.A(n_6608),
+	.B(n_6610),
+	.C(n_6604),
+	.D(n_6603),
+	.Y(n_7190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g682412 (
+	.A(n_6967),
+	.B(n_6533),
+	.C(n_6331),
+	.Y(n_7189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g682413 (
+	.A(n_6524),
+	.B(n_6530),
+	.C(n_6526),
+	.D(n_6528),
+	.Y(n_7188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g682414 (
+	.A(n_6516),
+	.B(n_6519),
+	.C(n_6324),
+	.D(n_6525),
+	.Y(n_7187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g682415 (
+	.A(n_6505),
+	.B(n_6507),
+	.C(n_6509),
+	.D(n_6506),
+	.Y(n_7186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g682416 (
+	.A(n_6491),
+	.B(n_6489),
+	.C(n_6876),
+	.D(n_6877),
+	.Y(n_7185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g682417 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[31]),
+	.A2(n_30484),
+	.B1(n_6430),
+	.C1(n_6954),
+	.D1(n_6457),
+	.Y(n_7184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g682418 (
+	.A1(n_6158),
+	.A2(brqrv_top_brqrv_dec_tlu_mscratch[5]),
+	.B1(n_7166),
+	.Y(n_7183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g682419 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[26]),
+	.A2(n_30484),
+	.B1(n_6374),
+	.C1(n_6953),
+	.D1(n_6370),
+	.Y(n_7182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g682420 (
+	.A1(n_6175),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[90]),
+	.B1(n_7164),
+	.Y(n_7181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g682421 (
+	.A0(brqrv_top_brqrv_dec_dec_csr_wrdata_r[7]),
+	.A1(brqrv_top_brqrv_dec_tlu_mstatus[1]),
+	.S(n_7053),
+	.Y(n_7180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682422 (
+	.A1(n_7021),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpme4[4]),
+	.B1(n_6473),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpme3[4]),
+	.Y(n_7179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g682423 (
+	.A(n_6566),
+	.B(n_6972),
+	.C(n_6971),
+	.D(n_6565),
+	.Y(n_7178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g682424 (
+	.A(n_6984),
+	.B(n_6561),
+	.C(n_6970),
+	.D(n_6558),
+	.Y(n_7177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682425 (
+	.A1(n_7021),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpme4[3]),
+	.B1(n_6473),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpme3[3]),
+	.Y(n_7176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_2 g682426 (
+	.A(n_29901),
+	.B(n_32205),
+	.C(n_33354),
+	.Y(n_7218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g682427 (
+	.A(n_7174),
+	.Y(n_7175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682428 (
+	.A1(n_6002),
+	.A2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[7]),
+	.B1(n_6013),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[7]),
+	.C1(n_7020),
+	.Y(n_7169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682429 (
+	.A(n_7021),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme4[2]),
+	.Y(n_7168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682430 (
+	.A(n_7021),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme4[1]),
+	.Y(n_7167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682431 (
+	.A(n_6976),
+	.B(n_6575),
+	.Y(n_7166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682432 (
+	.A(n_6963),
+	.B(n_6503),
+	.Y(n_7165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682433 (
+	.A(n_6502),
+	.B(n_6962),
+	.Y(n_7164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g682434 (
+	.A1(n_6456),
+	.A2(n_6863),
+	.B1(n_6484),
+	.Y(n_7163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g682435 (
+	.A1(n_6180),
+	.A2(n_6939),
+	.B1(brqrv_top_brqrv_dec_tlu_mdccmect[12]),
+	.Y(n_7162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g682436 (
+	.A1(n_6932),
+	.A2(brqrv_top_brqrv_dec_tlu_mdccmect[6]),
+	.B1(brqrv_top_brqrv_dec_tlu_mdccmect[7]),
+	.Y(n_7161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g682437 (
+	.A1(n_6180),
+	.A2(n_6923),
+	.B1(brqrv_top_brqrv_dec_tlu_mdccmect[10]),
+	.Y(n_7160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o311ai_1 g682438 (
+	.A1(n_32571),
+	.A2(n_6031),
+	.A3(n_32549),
+	.B1(n_6359),
+	.C1(n_6564),
+	.Y(n_7159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g682439 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[4]),
+	.A2(n_6737),
+	.B1(n_6036),
+	.B2(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error[4]),
+	.C1(n_739),
+	.C2(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error[0]),
+	.Y(n_7158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g682440 (
+	.A1(n_6944),
+	.A2(brqrv_top_brqrv_dec_tlu_mfdhs[1]),
+	.B1(n_6965),
+	.Y(n_7157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g682441 (
+	.A1(brqrv_top_brqrv_dec_tlu_n_396),
+	.A2(n_6942),
+	.B1(brqrv_top_brqrv_dec_tlu_mdseac_locked_f),
+	.Y(n_7156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682442 (
+	.A1(n_6171),
+	.A2(n_34547),
+	.B1(n_6011),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc6h[14]),
+	.C1(n_6497),
+	.Y(n_7155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g682443 (
+	.A1(n_6181),
+	.A2(n_6934),
+	.B1(brqrv_top_brqrv_dec_tlu_miccmect[8]),
+	.Y(n_7154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g682444 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[4]),
+	.A2(n_30486),
+	.B1(n_6957),
+	.Y(n_7153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682445 (
+	.A(n_7040),
+	.B(brqrv_top_brqrv_dec_tlu_mdccmect[31]),
+	.Y(n_7152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682446 (
+	.A1(n_6002),
+	.A2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[13]),
+	.B1(n_6013),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[13]),
+	.C1(n_7036),
+	.Y(n_7151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682447 (
+	.A1(n_6011),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc6h[10]),
+	.B1(n_6014),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5h[10]),
+	.C1(n_7034),
+	.Y(n_7150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g682448 (
+	.A(n_6818),
+	.B(n_6819),
+	.C(n_6820),
+	.Y(n_7149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g682449 (
+	.A(n_6815),
+	.B(n_6817),
+	.C(n_6816),
+	.Y(n_7148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g682450 (
+	.A1(n_6303),
+	.A2(n_30159),
+	.B1(n_6311),
+	.B2(n_30158),
+	.C1(n_7033),
+	.Y(n_7147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g682451 (
+	.A(n_6778),
+	.B(n_6780),
+	.C(n_6779),
+	.Y(n_7146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g682452 (
+	.A(n_6760),
+	.B(n_6761),
+	.C(n_6759),
+	.Y(n_7145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g682453 (
+	.A(n_6756),
+	.B(n_6757),
+	.C(n_6758),
+	.Y(n_7144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g682454 (
+	.A(n_6668),
+	.B(n_6667),
+	.C(n_6665),
+	.Y(n_7143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g682455 (
+	.A_N(n_29900),
+	.B(n_6941),
+	.C(brqrv_top_brqrv_dec_dec_i0_instr_d[14]),
+	.Y(n_7142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g682456 (
+	.A_N(n_32816),
+	.B(n_6182),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ldst_samedw_r),
+	.Y(n_7141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682457 (
+	.A(n_7021),
+	.B(brqrv_top_brqrv_dec_tlu_mhpme4[9]),
+	.Y(n_7140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g682458 (
+	.A_N(brqrv_top_brqrv_dec_i0_predict_p_d[44]),
+	.B(n_6941),
+	.C(brqrv_top_brqrv_dec_decode_i0_dec_n_62),
+	.Y(n_7174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682459 (
+	.A_N(n_31816),
+	.B(n_7043),
+	.Y(n_32440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g682460 (
+	.A(n_31478),
+	.B(n_31467),
+	.X(n_7173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g682461 (
+	.A(n_7053),
+	.B(brqrv_top_brqrv_dec_tlu_mstatus[0]),
+	.X(n_7172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682462 (
+	.A(n_7053),
+	.B_N(brqrv_top_brqrv_dec_dec_csr_wrdata_r[3]),
+	.Y(n_7171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g682463 (
+	.A(brqrv_top_brqrv_dec_tlu_n_473),
+	.B(brqrv_top_brqrv_dec_tlu_n_499),
+	.C(n_30300),
+	.Y(n_7170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g682464 (
+	.A(brqrv_top_dmi_reg_addr[0]),
+	.B(n_32225),
+	.X(n_31515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682465 (
+	.A_N(n_32225),
+	.B(brqrv_top_dmi_reg_addr[0]),
+	.Y(n_31513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682466 (
+	.A(n_7005),
+	.B(n_32676),
+	.Y(n_31571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g682467 (
+	.A1(n_6915),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[74]),
+	.B1(n_6916),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[150]),
+	.X(n_7139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 g682468 (
+	.A1(brqrv_top_brqrv_dec_tlu_micect[23]),
+	.A2(brqrv_top_brqrv_dec_tlu_micect[26]),
+	.A3(brqrv_top_brqrv_dec_tlu_micect[25]),
+	.A4(brqrv_top_brqrv_dec_tlu_micect[24]),
+	.B1(n_6371),
+	.Y(n_7138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g682469 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[5]),
+	.A2(n_30486),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[5]),
+	.B2(n_30484),
+	.C1(n_6598),
+	.Y(n_7137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682470 (
+	.A1(n_6928),
+	.A2(brqrv_top_brqrv_dec_tlu_micect[2]),
+	.B1(n_6395),
+	.B2(brqrv_top_brqrv_dec_tlu_micect[1]),
+	.Y(n_7136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682471 (
+	.A(n_7042),
+	.B(brqrv_top_brqrv_dec_tlu_mdccmect[31]),
+	.Y(n_7135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682472 (
+	.A(n_7041),
+	.B(brqrv_top_brqrv_dec_tlu_mdccmect[31]),
+	.Y(n_7134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682473 (
+	.A(n_7044),
+	.B(brqrv_top_brqrv_dec_tlu_mdccmect[31]),
+	.Y(n_7133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682474 (
+	.A1(n_6406),
+	.A2(brqrv_top_brqrv_dec_tlu_int_timers_mitctl1[3]),
+	.B1(n_6002),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[3]),
+	.C1(n_6560),
+	.Y(n_7132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g682475 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_miccmect[30]),
+	.A2_N(n_6875),
+	.B1(brqrv_top_brqrv_dec_tlu_miccmect[14]),
+	.B2(n_6936),
+	.Y(n_7131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g682476 (
+	.A1(n_6927),
+	.A2(brqrv_top_brqrv_dec_tlu_micect[8]),
+	.B1(n_6395),
+	.B2(brqrv_top_brqrv_dec_tlu_micect[9]),
+	.X(n_7130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682477 (
+	.A1(n_6925),
+	.A2(brqrv_top_brqrv_dec_tlu_miccmect[10]),
+	.B1(n_6397),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[9]),
+	.Y(n_7129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g682478 (
+	.A1(n_6923),
+	.A2(brqrv_top_brqrv_dec_tlu_mdccmect[26]),
+	.B1(n_6475),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[25]),
+	.X(n_7128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682479 (
+	.A1(n_6923),
+	.A2(brqrv_top_brqrv_dec_tlu_mdccmect[2]),
+	.B1(n_6024),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[3]),
+	.Y(n_7127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_2 g682480 (
+	.A0(brqrv_top_brqrv_dec_tlu_mpmc_b_ns[1]),
+	.A1(brqrv_top_brqrv_dec_tlu_fw_halted),
+	.S(n_30455),
+	.X(brqrv_top_brqrv_dec_tlu_fw_halted_ns), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g682481 (
+	.A1(n_6915),
+	.A2(brqrv_top_brqrv_dec_tlu_mtdata1_t1[6]),
+	.B1(n_6916),
+	.B2(brqrv_top_brqrv_dec_tlu_mtdata1_t3[6]),
+	.X(n_7126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682482 (
+	.A1(n_6918),
+	.A2(brqrv_top_brqrv_dec_tlu_mtdata1_t0[5]),
+	.B1(n_6009),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[125]),
+	.Y(n_7125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682483 (
+	.A1(n_6915),
+	.A2(brqrv_top_brqrv_dec_tlu_mtdata1_t1[5]),
+	.B1(n_6917),
+	.B2(brqrv_top_brqrv_dec_tlu_mtdata1_t2[5]),
+	.Y(n_7124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682484 (
+	.A1(n_6915),
+	.A2(brqrv_top_brqrv_dec_tlu_mtdata1_t1[9]),
+	.B1(n_6917),
+	.B2(brqrv_top_brqrv_dec_tlu_mtdata1_t2[9]),
+	.Y(n_7123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682485 (
+	.A1(n_6920),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpme6[9]),
+	.B1(n_6919),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpme5[9]),
+	.Y(n_7122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682486 (
+	.A1(n_6917),
+	.A2(brqrv_top_brqrv_dec_tlu_mtdata1_t2[8]),
+	.B1(n_6175),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[96]),
+	.Y(n_7121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g682487 (
+	.A1(brqrv_top_brqrv_dec_tlu_mscause[3]),
+	.A2(n_6924),
+	.B1(n_6012),
+	.B2(brqrv_top_brqrv_dec_tlu_mcyclel[3]),
+	.C1(n_6165),
+	.C2(n_34590),
+	.Y(n_7120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682488 (
+	.A1(n_6921),
+	.A2(brqrv_top_brqrv_dec_tlu_mstatus[1]),
+	.B1(n_6012),
+	.B2(brqrv_top_brqrv_dec_tlu_mcyclel[7]),
+	.Y(n_7119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682489 (
+	.A1(n_6918),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[32]),
+	.B1(n_6915),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[70]),
+	.Y(n_7118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682490 (
+	.A1(n_6920),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpme6[5]),
+	.B1(n_6919),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpme5[5]),
+	.Y(n_7117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682491 (
+	.A1(n_6924),
+	.A2(brqrv_top_brqrv_dec_tlu_mscause[2]),
+	.B1(n_6167),
+	.B2(brqrv_top_brqrv_dec_tlu_minstretl[2]),
+	.Y(n_7116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682492 (
+	.A1(n_6920),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpme6[1]),
+	.B1(n_6919),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpme5[1]),
+	.Y(n_7115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682493 (
+	.A1(n_6918),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[35]),
+	.B1(n_6159),
+	.B2(brqrv_top_brqrv_dec_tlu_micect[1]),
+	.Y(n_7114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682494 (
+	.A1(n_6916),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[149]),
+	.B1(n_39146),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[39]),
+	.Y(n_7113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682495 (
+	.A1(n_6924),
+	.A2(brqrv_top_brqrv_dec_tlu_mscause[1]),
+	.B1(n_6003),
+	.B2(n_34585),
+	.Y(n_7112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682496 (
+	.A1(n_6915),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[72]),
+	.B1(n_6159),
+	.B2(brqrv_top_brqrv_dec_tlu_micect[0]),
+	.Y(n_7111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682497 (
+	.A1(n_6917),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[110]),
+	.B1(n_39146),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[38]),
+	.Y(n_7110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682498 (
+	.A1(n_6924),
+	.A2(brqrv_top_brqrv_dec_tlu_mscause[0]),
+	.B1(n_6164),
+	.B2(brqrv_top_brqrv_dec_tlu_mtval[0]),
+	.Y(n_7109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682499 (
+	.A1(n_6927),
+	.A2(brqrv_top_brqrv_dec_tlu_micect[24]),
+	.B1(n_6395),
+	.B2(brqrv_top_brqrv_dec_tlu_micect[25]),
+	.Y(n_7108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682500 (
+	.A1(n_6925),
+	.A2(brqrv_top_brqrv_dec_tlu_miccmect[18]),
+	.B1(n_6934),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[16]),
+	.Y(n_7107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g682501 (
+	.A1(n_6938),
+	.A2(brqrv_top_brqrv_dec_tlu_micect[20]),
+	.B1(n_6408),
+	.B2(brqrv_top_brqrv_dec_tlu_micect[21]),
+	.X(n_7106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g682502 (
+	.A1(n_6938),
+	.A2(brqrv_top_brqrv_dec_tlu_micect[12]),
+	.B1(n_6408),
+	.B2(brqrv_top_brqrv_dec_tlu_micect[13]),
+	.X(n_7105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682503 (
+	.A1(n_6937),
+	.A2(brqrv_top_brqrv_dec_tlu_miccmect[4]),
+	.B1(n_6025),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[3]),
+	.Y(n_7104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682504 (
+	.A1(n_6925),
+	.A2(brqrv_top_brqrv_dec_tlu_miccmect[26]),
+	.B1(n_6397),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[25]),
+	.Y(n_7103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682505 (
+	.A1(n_6927),
+	.A2(brqrv_top_brqrv_dec_tlu_micect[0]),
+	.B1(n_6183),
+	.B2(brqrv_top_brqrv_dec_tlu_micect[3]),
+	.Y(n_7102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682506 (
+	.A1(n_31870),
+	.A2(n_6943),
+	.B1(n_6032),
+	.B2(n_31869),
+	.Y(n_32447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g682508 (
+	.A(n_7095),
+	.Y(n_7096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682509 (
+	.A_N(n_6932),
+	.B(brqrv_top_brqrv_dec_tlu_mdccmect[30]),
+	.Y(n_7052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682510 (
+	.A_N(n_6937),
+	.B(brqrv_top_brqrv_dec_tlu_miccmect[30]),
+	.Y(n_7051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682511 (
+	.A(n_6894),
+	.B_N(brqrv_top_brqrv_lsu_lsu_pkt_m[0]),
+	.Y(brqrv_top_brqrv_lsu_pmu_misaligned_m), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682512 (
+	.A(n_6918),
+	.B(brqrv_top_brqrv_dec_tlu_mtdata1_t0[8]),
+	.Y(n_7050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682513 (
+	.A(n_6918),
+	.B(brqrv_top_brqrv_trigger_pkt_any[37]),
+	.Y(n_7049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682514 (
+	.A(n_6915),
+	.B(brqrv_top_brqrv_trigger_pkt_any[73]),
+	.Y(n_7048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682515 (
+	.A(n_6918),
+	.B(brqrv_top_brqrv_trigger_pkt_any[34]),
+	.Y(n_7047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682516 (
+	.A(n_6928),
+	.B(brqrv_top_brqrv_dec_tlu_micect[26]),
+	.Y(n_7046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682517 (
+	.A(n_6925),
+	.B(brqrv_top_brqrv_dec_tlu_miccmect[2]),
+	.Y(n_7045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682518 (
+	.A(n_6923),
+	.B(n_6180),
+	.Y(n_7044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g682519 (
+	.A(brqrv_top_brqrv_dec_tlu_n_396),
+	.B(n_31512),
+	.X(n_31511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g682520 (
+	.A1(n_31581),
+	.A2(n_31495),
+	.B1(n_31506),
+	.B2(n_32441),
+	.C1(n_32443),
+	.Y(n_7043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682521 (
+	.A(n_6932),
+	.B(n_6180),
+	.Y(n_7042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682522 (
+	.A(n_6926),
+	.B(n_6180),
+	.Y(n_7041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682523 (
+	.A(n_6939),
+	.B(n_6180),
+	.Y(n_7040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g682524 (
+	.A(brqrv_top_brqrv_dec_tlu_micect[31]),
+	.B(n_6864),
+	.Y(n_7039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g682525 (
+	.A1(n_6181),
+	.A2(n_6027),
+	.A3(brqrv_top_brqrv_dec_tlu_miccmect[0]),
+	.B1(brqrv_top_brqrv_dec_tlu_miccmect[24]),
+	.Y(n_7038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g682526 (
+	.A(n_6874),
+	.B(n_30518),
+	.Y(brqrv_top_brqrv_dec_decode_d_t[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g682527 (
+	.A(n_6873),
+	.B(n_30518),
+	.Y(brqrv_top_brqrv_dec_decode_d_t[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g682528 (
+	.A(n_6872),
+	.B(n_30518),
+	.Y(brqrv_top_brqrv_dec_decode_d_t[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g682529 (
+	.A(n_6871),
+	.B(n_30518),
+	.Y(brqrv_top_brqrv_dec_decode_d_t[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g682530 (
+	.A1(n_6477),
+	.A2(n_6180),
+	.B1(brqrv_top_brqrv_dec_tlu_mdccmect[13]),
+	.Y(n_7037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g682531 (
+	.A1(n_39141),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[13]),
+	.B1(n_6175),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[89]),
+	.C1(n_6880),
+	.X(n_7036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g682532 (
+	.A1(n_6181),
+	.A2(n_6403),
+	.B1(brqrv_top_brqrv_dec_tlu_miccmect[13]),
+	.Y(n_7035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g682533 (
+	.A1(n_39141),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[10]),
+	.B1(n_6175),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[86]),
+	.C1(n_6714),
+	.X(n_7034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682534 (
+	.A1(n_6186),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [60]),
+	.B1(n_6035),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [62]),
+	.C1(n_6781),
+	.Y(n_7033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682535 (
+	.A1(n_6160),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[1]),
+	.B1(n_6006),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[4]),
+	.C1(n_6675),
+	.Y(n_7032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682536 (
+	.A1(n_0),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualhi[0]),
+	.B1(n_6019),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualhi[1]),
+	.C1(n_6669),
+	.Y(n_7031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682537 (
+	.A(n_6926),
+	.B(brqrv_top_brqrv_dec_tlu_mdccmect[24]),
+	.Y(n_7030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682538 (
+	.A1(n_0),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[4]),
+	.B1(n_6018),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[100]),
+	.C1(n_6586),
+	.Y(n_7101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g682539 (
+	.A1(n_6160),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[4]),
+	.B1(n_6006),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[36]),
+	.C1(n_6588),
+	.X(n_7100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682540 (
+	.A1(n_6160),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[2]),
+	.B1(n_6006),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[34]),
+	.C1(n_6660),
+	.Y(n_7099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g682541 (
+	.A1(n_6160),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[3]),
+	.B1(n_6006),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[35]),
+	.C1(n_6584),
+	.X(n_7098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g682542 (
+	.A_N(n_29907),
+	.B(brqrv_top_brqrv_dec_tlu_mcyclel[2]),
+	.C(brqrv_top_brqrv_dec_tlu_mcyclel[3]),
+	.Y(n_32818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g682543 (
+	.A_N(n_29906),
+	.B(brqrv_top_brqrv_dec_tlu_minstretl[2]),
+	.C(brqrv_top_brqrv_dec_tlu_minstretl[3]),
+	.Y(n_32820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g682544 (
+	.A_N(n_31586),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[2]),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[3]),
+	.Y(n_32824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g682545 (
+	.A_N(n_31585),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[2]),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[3]),
+	.Y(n_32822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g682546 (
+	.A(brqrv_top_brqrv_dec_tlu_mpmc_b_ns[1]),
+	.B(n_30455),
+	.Y(n_7097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g682547 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[14]),
+	.B(n_29899),
+	.X(n_29901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682548 (
+	.A1(n_740),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error[7]),
+	.B1(n_739),
+	.B2(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error[1]),
+	.C1(n_6739),
+	.Y(n_7095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682549 (
+	.A1(n_6160),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[23]),
+	.B1(n_6006),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[55]),
+	.C1(n_6663),
+	.Y(n_7094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682550 (
+	.A1(n_6160),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[2]),
+	.B1(n_6006),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[6]),
+	.C1(n_6662),
+	.Y(n_7093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g682551 (
+	.A(brqrv_top_brqrv_dec_tlu_n_13273),
+	.B(n_31512),
+	.X(n_32511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g682552 (
+	.A1(n_0),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[23]),
+	.B1(n_6019),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[55]),
+	.C1(n_6658),
+	.X(n_7092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682553 (
+	.A1(n_6160),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[22]),
+	.B1(n_6006),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[54]),
+	.C1(n_6656),
+	.Y(n_7091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g682554 (
+	.A1(n_0),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[22]),
+	.B1(n_6019),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[54]),
+	.C1(n_6654),
+	.X(n_7090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682555 (
+	.A1(n_6160),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[21]),
+	.B1(n_6006),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[53]),
+	.C1(n_6653),
+	.Y(n_7089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g682556 (
+	.A1(n_0),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[21]),
+	.B1(n_6017),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[85]),
+	.C1(n_6652),
+	.X(n_7088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682557 (
+	.A1(n_6160),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[20]),
+	.B1(n_6006),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[52]),
+	.C1(n_6651),
+	.Y(n_7087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g682558 (
+	.A1(n_0),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[20]),
+	.B1(n_6019),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[52]),
+	.C1(n_6650),
+	.X(n_7086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682559 (
+	.A1(n_6160),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[19]),
+	.B1(n_6006),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[51]),
+	.C1(n_6646),
+	.Y(n_7085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g682560 (
+	.A1(n_0),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[19]),
+	.B1(n_6019),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[51]),
+	.C1(n_6643),
+	.X(n_7084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682561 (
+	.A1(n_6160),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[18]),
+	.B1(n_6006),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[50]),
+	.C1(n_6641),
+	.Y(n_7083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g682562 (
+	.A1(n_0),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[18]),
+	.B1(n_6018),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[114]),
+	.C1(n_6639),
+	.X(n_7082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682563 (
+	.A1(n_6160),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[17]),
+	.B1(n_6006),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[49]),
+	.C1(n_6637),
+	.Y(n_7081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g682564 (
+	.A1(n_0),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[17]),
+	.B1(n_6018),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[113]),
+	.C1(n_6635),
+	.X(n_7080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682565 (
+	.A1(n_6160),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[16]),
+	.B1(n_6006),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[48]),
+	.C1(n_6634),
+	.Y(n_7079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g682566 (
+	.A1(n_0),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[16]),
+	.B1(n_6018),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[112]),
+	.C1(n_6632),
+	.X(n_7078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g682567 (
+	.A1(n_6160),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[15]),
+	.B1(n_6006),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[47]),
+	.C1(n_6629),
+	.X(n_7077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682568 (
+	.A1(n_6160),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[1]),
+	.B1(n_6006),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[5]),
+	.C1(n_6627),
+	.Y(n_7076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682569 (
+	.A1(n_0),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[15]),
+	.B1(n_6019),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[47]),
+	.C1(n_6625),
+	.Y(n_7075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g682570 (
+	.A1(n_6160),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[14]),
+	.B1(n_6006),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[46]),
+	.C1(n_6624),
+	.X(n_7074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682571 (
+	.A1(n_0),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[14]),
+	.B1(n_6018),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[110]),
+	.C1(n_6622),
+	.Y(n_7073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g682572 (
+	.A1(n_6160),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[13]),
+	.B1(n_6006),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[45]),
+	.C1(n_6621),
+	.X(n_7072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682573 (
+	.A1(n_0),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[13]),
+	.B1(n_6018),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[109]),
+	.C1(n_6618),
+	.Y(n_7071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g682574 (
+	.A1(n_6160),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[12]),
+	.B1(n_6006),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[44]),
+	.C1(n_6617),
+	.X(n_7070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682575 (
+	.A1(n_0),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[12]),
+	.B1(n_6018),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[108]),
+	.C1(n_6615),
+	.Y(n_7069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g682576 (
+	.A1(n_6160),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[11]),
+	.B1(n_6006),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[43]),
+	.C1(n_6614),
+	.X(n_7068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682577 (
+	.A1(n_0),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[11]),
+	.B1(n_6018),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[107]),
+	.C1(n_6612),
+	.Y(n_7067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g682578 (
+	.A1(n_6160),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[10]),
+	.B1(n_6006),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[42]),
+	.C1(n_6735),
+	.X(n_7066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682579 (
+	.A1(n_0),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[10]),
+	.B1(n_6019),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[42]),
+	.C1(n_6609),
+	.Y(n_7065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g682580 (
+	.A1(n_6160),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[9]),
+	.B1(n_6006),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[41]),
+	.C1(n_6605),
+	.X(n_7064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682581 (
+	.A1(n_0),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[9]),
+	.B1(n_6018),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[105]),
+	.C1(n_6602),
+	.Y(n_7063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g682582 (
+	.A1(n_6160),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[8]),
+	.B1(n_6006),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[40]),
+	.C1(n_6601),
+	.X(n_7062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682583 (
+	.A1(n_0),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[8]),
+	.B1(n_6019),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[40]),
+	.C1(n_6600),
+	.Y(n_7061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g682584 (
+	.A1(n_6160),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[7]),
+	.B1(n_6006),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[39]),
+	.C1(n_6597),
+	.X(n_7060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682585 (
+	.A1(n_6160),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[0]),
+	.B1(n_6006),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[4]),
+	.C1(n_6571),
+	.Y(n_7059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682586 (
+	.A1(n_0),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[7]),
+	.B1(n_6019),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[39]),
+	.C1(n_6595),
+	.Y(n_7058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g682587 (
+	.A1(n_6160),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[6]),
+	.B1(n_6006),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[38]),
+	.C1(n_6680),
+	.X(n_7057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682588 (
+	.A1(n_0),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[6]),
+	.B1(n_6017),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[70]),
+	.C1(n_6594),
+	.Y(n_7056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g682589 (
+	.A1(n_6160),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[5]),
+	.B1(n_6006),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[37]),
+	.C1(n_6591),
+	.X(n_7055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682590 (
+	.A1(n_0),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[5]),
+	.B1(n_6019),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[37]),
+	.C1(n_6590),
+	.Y(n_7054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g682591 (
+	.A(n_31516),
+	.B(n_31520),
+	.X(n_32225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g682592 (
+	.A(n_29915),
+	.B(n_6942),
+	.X(n_31467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g682593 (
+	.A(brqrv_top_brqrv_dec_tlu_n_396),
+	.B(n_31044),
+	.X(n_7053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g682594 (
+	.A(brqrv_top_brqrv_dec_tlu_i0_valid_no_ebreak_ecall_r),
+	.Y(n_7028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g682595 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[7]),
+	.A2(n_30484),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[7]),
+	.B2(n_30486),
+	.C1(n_6332),
+	.Y(n_7020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682596 (
+	.A1(n_6397),
+	.A2(brqrv_top_brqrv_dec_tlu_miccmect[17]),
+	.B1(n_6025),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[19]),
+	.Y(n_7019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682597 (
+	.A1(n_6472),
+	.A2(brqrv_top_icm_clk_override),
+	.B1(n_6010),
+	.B2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[0]),
+	.Y(n_7018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682598 (
+	.A1(brqrv_top_brqrv_dec_tlu_int_timers_mitctl1_0_b),
+	.A2(n_6405),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[0]),
+	.B2(n_30486),
+	.Y(n_7017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682599 (
+	.A1(n_6399),
+	.A2(brqrv_top_brqrv_dec_tlu_meipt[1]),
+	.B1(n_33175),
+	.B2(brqrv_top_brqrv_dec_tlu_mdseac[1]),
+	.Y(n_7016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682600 (
+	.A1(n_6472),
+	.A2(brqrv_top_dccm_clk_override),
+	.B1(n_6010),
+	.B2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[1]),
+	.Y(n_7015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682601 (
+	.A1(n_6393),
+	.A2(brqrv_top_brqrv_dec_tlu_dicad1_raw[1]),
+	.B1(n_39143),
+	.B2(brqrv_top_brqrv_dec_tlu_dpc[1]),
+	.Y(n_7014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4bb_1 g682602 (
+	.A_N(brqrv_top_brqrv_dec_dec_i0_instr_d[13]),
+	.B_N(brqrv_top_brqrv_dec_dec_i0_instr_d[12]),
+	.C(brqrv_top_brqrv_dec_dec_i0_instr_d[6]),
+	.D(brqrv_top_brqrv_dec_dec_i0_instr_d[4]),
+	.Y(n_31074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682603 (
+	.A1(n_6012),
+	.A2(brqrv_top_brqrv_dec_tlu_mcyclel[17]),
+	.B1(n_6177),
+	.B2(brqrv_top_brqrv_dec_tlu_mtvec[16]),
+	.C1(n_6547),
+	.Y(n_7013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g682604 (
+	.A1(brqrv_top_brqrv_dec_tlu_micect[12]),
+	.A2(brqrv_top_brqrv_dec_tlu_micect[13]),
+	.A3(brqrv_top_brqrv_dec_tlu_micect[14]),
+	.B1(n_6410),
+	.Y(n_7012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g682605 (
+	.A1(brqrv_top_brqrv_dec_tlu_miccmect[24]),
+	.A2(brqrv_top_brqrv_dec_tlu_miccmect[25]),
+	.A3(brqrv_top_brqrv_dec_tlu_miccmect[26]),
+	.B1(n_6417),
+	.Y(n_7011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682606 (
+	.A(n_6893),
+	.B(brqrv_top_brqrv_dec_tlu_mdccmect[31]),
+	.Y(n_7010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g682607 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3h[23]),
+	.A2(n_6161),
+	.B1(n_6175),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[99]),
+	.C1(n_6009),
+	.C2(brqrv_top_brqrv_trigger_pkt_any[137]),
+	.Y(n_7009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g682608 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc4h[28]),
+	.A2(n_6004),
+	.B1(n_6014),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5h[28]),
+	.C1(n_6013),
+	.C2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[28]),
+	.Y(n_7008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g682609 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3[13]),
+	.A2(n_6015),
+	.B1(n_39142),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc4[13]),
+	.C1(n_6161),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc3h[13]),
+	.Y(n_7007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682610 (
+	.A1(n_6399),
+	.A2(brqrv_top_brqrv_dec_tlu_meipt[2]),
+	.B1(n_6404),
+	.B2(brqrv_top_brqrv_dec_tlu_meicidpl[2]),
+	.Y(n_7006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g682611 (
+	.A(brqrv_top_brqrv_dec_tlu_request_debug_mode_r_d1),
+	.B(n_31073),
+	.C(brqrv_top_brqrv_dec_tlu_i0_trigger_hit_raw_r),
+	.D(brqrv_top_brqrv_dec_tlu_inst_acc_r),
+	.Y(n_7005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g682613 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc2[51]),
+	.A2(n_5301),
+	.B1(n_6034),
+	.B2(brqrv_top_brqrv_ifu_aln_misc1[51]),
+	.C1(n_5302),
+	.C2(brqrv_top_brqrv_ifu_aln_misc0[51]),
+	.Y(n_7003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g682614 (
+	.A1(brqrv_top_brqrv_trigger_pkt_any[88]),
+	.A2(n_6175),
+	.B1(n_6009),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[126]),
+	.C1(n_39146),
+	.C2(brqrv_top_brqrv_trigger_pkt_any[50]),
+	.Y(n_7002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g682615 (
+	.A1(brqrv_top_brqrv_dec_tlu_mepc[23]),
+	.A2(n_6176),
+	.B1(n_6167),
+	.B2(brqrv_top_brqrv_dec_tlu_minstretl[23]),
+	.C1(n_33175),
+	.C2(brqrv_top_brqrv_dec_tlu_mdseac[23]),
+	.Y(n_7001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g682616 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3h[22]),
+	.A2(n_6161),
+	.B1(n_6175),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[98]),
+	.C1(n_6009),
+	.C2(brqrv_top_brqrv_trigger_pkt_any[136]),
+	.Y(n_7000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g682617 (
+	.A1(n_34608),
+	.A2(n_6165),
+	.B1(n_6003),
+	.B2(n_34607),
+	.C1(n_6012),
+	.C2(brqrv_top_brqrv_dec_tlu_mcyclel[12]),
+	.Y(n_6999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g682618 (
+	.A1(brqrv_top_brqrv_dec_tlu_mcause[11]),
+	.A2(n_6166),
+	.B1(n_6030),
+	.B2(brqrv_top_brqrv_dec_tlu_mie[2]),
+	.C1(n_6012),
+	.C2(brqrv_top_brqrv_dec_tlu_mcyclel[11]),
+	.Y(n_6998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g682619 (
+	.A1(brqrv_top_brqrv_dec_tlu_mepc[22]),
+	.A2(n_6176),
+	.B1(n_6167),
+	.B2(brqrv_top_brqrv_dec_tlu_minstretl[22]),
+	.C1(n_33175),
+	.C2(brqrv_top_brqrv_dec_tlu_mdseac[22]),
+	.Y(n_6997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g682620 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3[10]),
+	.A2(n_6015),
+	.B1(n_6169),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5[10]),
+	.C1(n_6161),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc3h[10]),
+	.Y(n_6996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682621 (
+	.A1(n_6407),
+	.A2(brqrv_top_brqrv_dec_tlu_meicurpl[2]),
+	.B1(n_6010),
+	.B2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[2]),
+	.Y(n_6995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682622 (
+	.A1(n_39146),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[47]),
+	.B1(n_6009),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[123]),
+	.C1(n_6698),
+	.Y(n_6994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g682623 (
+	.A1(brqrv_top_brqrv_dec_tlu_mcause[31]),
+	.A2(n_6166),
+	.B1(n_6167),
+	.B2(brqrv_top_brqrv_dec_tlu_minstretl[31]),
+	.C1(n_6010),
+	.C2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[31]),
+	.Y(n_6993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g682624 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3[9]),
+	.A2(n_6015),
+	.B1(n_39140),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[9]),
+	.C1(n_39142),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc4[9]),
+	.Y(n_6992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g682625 (
+	.A1(brqrv_top_brqrv_dec_tlu_mrac_ff_0[21]),
+	.A2(n_6010),
+	.B1(n_6012),
+	.B2(brqrv_top_brqrv_dec_tlu_mcyclel[21]),
+	.C1(n_6166),
+	.C2(brqrv_top_brqrv_dec_tlu_mcause[21]),
+	.Y(n_6991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g682626 (
+	.A1(brqrv_top_brqrv_dec_tlu_mcyclel[9]),
+	.A2(n_6012),
+	.B1(n_6166),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[9]),
+	.C1(n_6003),
+	.C2(n_34601),
+	.Y(n_6990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g682627 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3[8]),
+	.A2(n_6015),
+	.B1(n_39140),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[8]),
+	.C1(n_39142),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc4[8]),
+	.Y(n_6989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g682628 (
+	.A1(brqrv_top_brqrv_dec_tlu_mcyclel[8]),
+	.A2(n_6012),
+	.B1(n_6166),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[8]),
+	.C1(n_6003),
+	.C2(n_34599),
+	.Y(n_6988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g682629 (
+	.A1(brqrv_top_brqrv_dec_tlu_mrac_ff_0[27]),
+	.A2(n_6010),
+	.B1(n_6167),
+	.B2(brqrv_top_brqrv_dec_tlu_minstretl[27]),
+	.C1(n_6166),
+	.C2(brqrv_top_brqrv_dec_tlu_mcause[27]),
+	.Y(n_6987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g682630 (
+	.A1(brqrv_top_brqrv_dec_tlu_miccmect[7]),
+	.A2(n_6162),
+	.B1(n_6015),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc3[7]),
+	.C1(n_6161),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc3h[7]),
+	.Y(n_6986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g682631 (
+	.A1(brqrv_top_brqrv_dec_tlu_mepc[20]),
+	.A2(n_6176),
+	.B1(n_6167),
+	.B2(brqrv_top_brqrv_dec_tlu_minstretl[20]),
+	.C1(n_33175),
+	.C2(brqrv_top_brqrv_dec_tlu_mdseac[20]),
+	.Y(n_6985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g682632 (
+	.A1(brqrv_top_brqrv_trigger_pkt_any[17]),
+	.A2(n_39141),
+	.B1(n_39146),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[55]),
+	.C1(n_6009),
+	.C2(brqrv_top_brqrv_trigger_pkt_any[131]),
+	.Y(n_6984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g682633 (
+	.A1(brqrv_top_brqrv_dec_tlu_miccmect[6]),
+	.A2(n_6162),
+	.B1(n_6011),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc6h[6]),
+	.C1(n_39142),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc4[6]),
+	.Y(n_6983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g682634 (
+	.A1(brqrv_top_brqrv_dec_tlu_mepc[19]),
+	.A2(n_6176),
+	.B1(n_6167),
+	.B2(brqrv_top_brqrv_dec_tlu_minstretl[19]),
+	.C1(n_33175),
+	.C2(brqrv_top_brqrv_dec_tlu_mdseac[19]),
+	.Y(n_6982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g682635 (
+	.A1(brqrv_top_brqrv_trigger_pkt_any[81]),
+	.A2(n_6175),
+	.B1(n_6009),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[119]),
+	.C1(n_39146),
+	.C2(brqrv_top_brqrv_trigger_pkt_any[43]),
+	.Y(n_6981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g682636 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3[3]),
+	.A2(n_6015),
+	.B1(n_39140),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[3]),
+	.C1(n_39142),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc4[3]),
+	.Y(n_6980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g682637 (
+	.A1(brqrv_top_brqrv_dec_tlu_mepc[26]),
+	.A2(n_6176),
+	.B1(n_33175),
+	.B2(brqrv_top_brqrv_dec_tlu_mdseac[26]),
+	.C1(n_6167),
+	.C2(brqrv_top_brqrv_dec_tlu_minstretl[26]),
+	.Y(n_6979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g682638 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc6h[5]),
+	.A2(n_6011),
+	.B1(n_6004),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc4h[5]),
+	.C1(n_6014),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc5h[5]),
+	.Y(n_6978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g682639 (
+	.A1(brqrv_top_brqrv_trigger_pkt_any[18]),
+	.A2(n_39141),
+	.B1(n_39146),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[56]),
+	.C1(n_6009),
+	.C2(brqrv_top_brqrv_trigger_pkt_any[132]),
+	.Y(n_6977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g682640 (
+	.A1(brqrv_top_brqrv_dec_tlu_mcyclel[5]),
+	.A2(n_6012),
+	.B1(n_6166),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[5]),
+	.C1(n_6003),
+	.C2(n_34593),
+	.Y(n_6976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g682641 (
+	.A1(brqrv_top_brqrv_dec_tlu_miccmect[4]),
+	.A2(n_6162),
+	.B1(n_6175),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[80]),
+	.C1(n_39141),
+	.C2(brqrv_top_brqrv_trigger_pkt_any[4]),
+	.Y(n_6975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g682642 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc4h[4]),
+	.A2(n_6004),
+	.B1(n_6011),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc6h[4]),
+	.C1(n_6014),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc5h[4]),
+	.Y(n_6974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g682643 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc6[29]),
+	.A2(n_6172),
+	.B1(n_39141),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[29]),
+	.C1(n_39146),
+	.C2(brqrv_top_brqrv_trigger_pkt_any[67]),
+	.Y(n_6973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g682644 (
+	.A1(brqrv_top_brqrv_dec_tlu_mcause[18]),
+	.A2(n_6166),
+	.B1(n_6010),
+	.B2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[18]),
+	.C1(n_6167),
+	.C2(brqrv_top_brqrv_dec_tlu_minstretl[18]),
+	.Y(n_6972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g682645 (
+	.A1(brqrv_top_brqrv_dec_tlu_mscratch[18]),
+	.A2(n_6158),
+	.B1(n_6176),
+	.B2(brqrv_top_brqrv_dec_tlu_mepc[18]),
+	.C1(n_6177),
+	.C2(brqrv_top_brqrv_dec_tlu_mtvec[17]),
+	.Y(n_6971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g682646 (
+	.A1(brqrv_top_brqrv_dec_tlu_mdccmect[17]),
+	.A2(n_39140),
+	.B1(n_6169),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5[17]),
+	.C1(n_6172),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc6[17]),
+	.Y(n_6970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g682647 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3h[25]),
+	.A2(n_6161),
+	.B1(n_6175),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[101]),
+	.C1(n_6009),
+	.C2(brqrv_top_brqrv_trigger_pkt_any[139]),
+	.Y(n_6969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g682648 (
+	.A1(n_31078),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[7]),
+	.B1(n_6869),
+	.Y(n_6968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g682649 (
+	.A1(n_34588),
+	.A2(n_6165),
+	.B1(n_6012),
+	.B2(brqrv_top_brqrv_dec_tlu_mcyclel[2]),
+	.C1(n_6158),
+	.C2(brqrv_top_brqrv_dec_tlu_mscratch[2]),
+	.Y(n_6967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g682650 (
+	.A1(brqrv_top_brqrv_dec_tlu_dpc[16]),
+	.A2(n_39143),
+	.B1(n_33176),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0h[16]),
+	.C1(n_33175),
+	.C2(brqrv_top_brqrv_dec_tlu_mdseac[16]),
+	.Y(n_6966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g682651 (
+	.A(brqrv_top_brqrv_dec_tlu_mpmc_b[1]),
+	.B(n_32570),
+	.C(n_32580),
+	.D_N(brqrv_top_brqrv_dec_tlu_n_13117),
+	.Y(n_6965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g682652 (
+	.A1(brqrv_top_brqrv_dec_tlu_mrac_ff_0[25]),
+	.A2(n_6010),
+	.B1(n_6167),
+	.B2(brqrv_top_brqrv_dec_tlu_minstretl[25]),
+	.C1(n_6166),
+	.C2(brqrv_top_brqrv_dec_tlu_mcause[25]),
+	.Y(n_6964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g682653 (
+	.A1(brqrv_top_brqrv_dec_tlu_mcause[0]),
+	.A2(n_6166),
+	.B1(n_6012),
+	.B2(brqrv_top_brqrv_dec_tlu_mcyclel[0]),
+	.C1(n_6158),
+	.C2(brqrv_top_brqrv_dec_tlu_mscratch[0]),
+	.Y(n_6963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g682654 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3[14]),
+	.A2(n_6015),
+	.B1(n_6169),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5[14]),
+	.C1(n_6161),
+	.C2(brqrv_top_brqrv_dec_tlu_mhpmc3h[14]),
+	.Y(n_6962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g682655 (
+	.A(brqrv_top_brqrv_dec_tlu_miccmect[17]),
+	.B(brqrv_top_brqrv_dec_tlu_miccmect[19]),
+	.C(brqrv_top_brqrv_dec_tlu_miccmect[18]),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect[16]),
+	.Y(n_6961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g682656 (
+	.A(brqrv_top_brqrv_dec_tlu_micect[20]),
+	.B(brqrv_top_brqrv_dec_tlu_micect[21]),
+	.C(brqrv_top_brqrv_dec_tlu_micect[19]),
+	.D(brqrv_top_brqrv_dec_tlu_micect[22]),
+	.Y(n_6960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g682657 (
+	.A1(brqrv_top_brqrv_dec_tlu_mrac_ff_0[24]),
+	.A2(n_6010),
+	.B1(n_6167),
+	.B2(brqrv_top_brqrv_dec_tlu_minstretl[24]),
+	.C1(n_6166),
+	.C2(brqrv_top_brqrv_dec_tlu_mcause[24]),
+	.Y(n_6959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g682658 (
+	.A1(brqrv_top_brqrv_dec_tlu_mdccmect[30]),
+	.A2(n_6474),
+	.B1(brqrv_top_brqrv_dec_tlu_mdccmect[31]),
+	.Y(n_6958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g682660 (
+	.A1(n_34528),
+	.A2(n_6170),
+	.B1(n_6029),
+	.B2(brqrv_top_brqrv_dec_tlu_mcountinhibit[4]),
+	.C1(n_6013),
+	.C2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[4]),
+	.Y(n_6957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g682661 (
+	.A1(n_34538),
+	.A2(n_6170),
+	.B1(n_6013),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[9]),
+	.C1(n_39141),
+	.C2(brqrv_top_brqrv_trigger_pkt_any[9]),
+	.Y(n_6956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g682662 (
+	.A1(n_34535),
+	.A2(n_6171),
+	.B1(n_6011),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc6h[8]),
+	.C1(n_6013),
+	.C2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[8]),
+	.Y(n_6955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g682663 (
+	.A1(n_34581),
+	.A2(n_6171),
+	.B1(n_6013),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[31]),
+	.C1(n_6002),
+	.C2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[31]),
+	.Y(n_6954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g682664 (
+	.A1(n_34571),
+	.A2(n_6171),
+	.B1(n_6013),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[26]),
+	.C1(n_6002),
+	.C2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[26]),
+	.Y(n_6953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g682665 (
+	.A1(n_34553),
+	.A2(n_6171),
+	.B1(n_6013),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[17]),
+	.C1(n_6002),
+	.C2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[17]),
+	.Y(n_6952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g682666 (
+	.A1(n_6475),
+	.A2(brqrv_top_brqrv_dec_tlu_mdccmect[1]),
+	.B1(n_6477),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[5]),
+	.X(n_6951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g682667 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_dpc[9]),
+	.A2_N(n_39143),
+	.B1(brqrv_top_brqrv_dec_tlu_mcgc_int[9]),
+	.B2(n_6471),
+	.Y(n_6950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g682668 (
+	.A1_N(brqrv_top_brqrv_exu_i0_rs2_d[2]),
+	.A2_N(n_6480),
+	.B1(brqrv_top_brqrv_exu_i0_rs2_d[2]),
+	.B2(n_6480),
+	.Y(n_6949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682669 (
+	.A1(n_6472),
+	.A2(brqrv_top_brqrv_dec_tlu_mcgc_int[6]),
+	.B1(n_6023),
+	.B2(brqrv_top_brqrv_dec_tlu_dcsr[6]),
+	.Y(n_6948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g682670 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_int_timers_mitctl0[2]),
+	.A2_N(n_6413),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[2]),
+	.B2(n_30486),
+	.Y(n_6947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682671 (
+	.A1(n_6393),
+	.A2(brqrv_top_brqrv_dec_tlu_dicad1_raw[2]),
+	.B1(n_33176),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0h[2]),
+	.Y(n_6946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g682672 (
+	.A1(n_6160),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[2]),
+	.B1(n_6006),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[34]),
+	.C1(n_6580),
+	.X(n_7029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g682673 (
+	.A(brqrv_top_brqrv_dec_tlu_mcountinhibit[2]),
+	.B(n_32761),
+	.C(n_32233),
+	.D_N(brqrv_top_brqrv_dec_tlu_ebreak_to_debug_mode_r_BAR),
+	.Y(brqrv_top_brqrv_dec_tlu_i0_valid_no_ebreak_ecall_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682674 (
+	.A1(n_0),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[0]),
+	.B1(n_6018),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[96]),
+	.C1(n_6572),
+	.Y(n_7027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g682675 (
+	.A1(n_6160),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[0]),
+	.B1(n_6006),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[32]),
+	.C1(n_6573),
+	.X(n_7026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682676 (
+	.A1(n_0),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[1]),
+	.B1(n_6019),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[33]),
+	.C1(n_6574),
+	.Y(n_7025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g682677 (
+	.A1(n_6160),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[1]),
+	.B1(n_6006),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[33]),
+	.C1(n_6576),
+	.X(n_7024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682678 (
+	.A1(n_0),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[2]),
+	.B1(n_6018),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[98]),
+	.C1(n_6578),
+	.Y(n_7023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g682679 (
+	.A1(n_0),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[3]),
+	.B1(n_6019),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[35]),
+	.C1(n_6583),
+	.Y(n_7022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g682680 (
+	.A(n_31711),
+	.B(n_32580),
+	.C_N(n_6476),
+	.Y(n_7021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g682681 (
+	.A(n_31453),
+	.Y(n_6943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g682682 (
+	.A(n_6934),
+	.Y(n_6933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g682683 (
+	.A(n_6931),
+	.Y(n_31291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g682684 (
+	.A(n_6929),
+	.Y(n_6930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g682685 (
+	.A(n_6922),
+	.Y(n_6921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682686 (
+	.A1(n_39143),
+	.A2(brqrv_top_brqrv_dec_tlu_dpc[28]),
+	.B1(n_31705),
+	.B2(brqrv_top_brqrv_dec_tlu_meihap[28]),
+	.Y(n_6914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682687 (
+	.A1(n_6015),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc3[22]),
+	.B1(n_6162),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[22]),
+	.Y(n_6913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682688 (
+	.A1(n_6159),
+	.A2(brqrv_top_brqrv_dec_tlu_micect[12]),
+	.B1(n_39141),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[12]),
+	.Y(n_6912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682689 (
+	.A1(n_6004),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc4h[12]),
+	.B1(n_6172),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc6[12]),
+	.Y(n_6911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682690 (
+	.A1(n_6169),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc5[12]),
+	.B1(n_6161),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc3h[12]),
+	.Y(n_6910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g682691 (
+	.A(brqrv_top_brqrv_dbg_sbcs_reg_int[17]),
+	.B(n_6486),
+	.Y(brqrv_top_brqrv_dbg_sbaddress0_incr[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682692 (
+	.A1(n_6164),
+	.A2(brqrv_top_brqrv_dec_tlu_mtval[23]),
+	.B1(n_6166),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[23]),
+	.Y(n_6909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682693 (
+	.A1(n_6176),
+	.A2(brqrv_top_brqrv_dec_tlu_mepc[28]),
+	.B1(n_6010),
+	.B2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[28]),
+	.Y(n_6908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682694 (
+	.A(n_6472),
+	.B(brqrv_top_brqrv_dec_tlu_mcgc_int[5]),
+	.Y(n_6907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682695 (
+	.A(n_6472),
+	.B(brqrv_top_brqrv_dec_tlu_lsu_clk_override),
+	.Y(n_6906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682696 (
+	.A(n_6414),
+	.B(n_32768),
+	.Y(brqrv_top_brqrv_dec_decode_i0_inst_d[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682697 (
+	.A(n_6414),
+	.B(n_32717),
+	.Y(brqrv_top_brqrv_dec_decode_i0_inst_d[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g682698 (
+	.A(n_31478),
+	.B(n_31527),
+	.X(n_32344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682699 (
+	.A_N(brqrv_top_brqrv_dec_dec_i0_instr_d[14]),
+	.B(n_6485),
+	.Y(n_31070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682700 (
+	.A(n_6393),
+	.B(brqrv_top_brqrv_dec_tlu_dicad1_raw[3]),
+	.Y(n_6905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682702 (
+	.A_N(brqrv_top_brqrv_dbg_sbcs_reg_int[17]),
+	.B(n_6415),
+	.Y(brqrv_top_brqrv_dbg_n_4428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682703 (
+	.A(n_6415),
+	.B(brqrv_top_brqrv_dbg_sbcs_reg_int[17]),
+	.Y(brqrv_top_brqrv_dbg_n_4432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682704 (
+	.A(n_6407),
+	.B(brqrv_top_brqrv_dec_tlu_meicurpl[3]),
+	.Y(n_6904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682705 (
+	.A1(n_6015),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc3[12]),
+	.B1(n_39142),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc4[12]),
+	.Y(n_6903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682706 (
+	.A(n_6472),
+	.B(brqrv_top_brqrv_dec_tlu_pic_clk_override),
+	.Y(n_6902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682707 (
+	.A(n_6393),
+	.B(brqrv_top_brqrv_dec_tlu_dicad1_raw[0]),
+	.Y(n_6901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682708 (
+	.A(n_6404),
+	.B(brqrv_top_brqrv_dec_tlu_meicidpl[0]),
+	.Y(n_6900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682709 (
+	.A1(n_39140),
+	.A2(brqrv_top_brqrv_dec_tlu_mdccmect[31]),
+	.B1(n_33176),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0h[31]),
+	.Y(n_6899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682710 (
+	.A1(n_6003),
+	.A2(n_34609),
+	.B1(n_6165),
+	.B2(n_34610),
+	.Y(n_6898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682711 (
+	.A1(n_6158),
+	.A2(brqrv_top_brqrv_dec_tlu_mscratch[13]),
+	.B1(n_6167),
+	.B2(brqrv_top_brqrv_dec_tlu_minstretl[13]),
+	.Y(n_6897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682712 (
+	.A1(n_6158),
+	.A2(brqrv_top_brqrv_dec_tlu_mscratch[23]),
+	.B1(n_6177),
+	.B2(brqrv_top_brqrv_dec_tlu_mtvec[22]),
+	.Y(n_6896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682713 (
+	.A1(n_6176),
+	.A2(brqrv_top_brqrv_dec_tlu_mepc[13]),
+	.B1(n_6166),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[13]),
+	.Y(n_6895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682714 (
+	.A1(n_30804),
+	.A2(brqrv_top_brqrv_lsu_lsu_pkt_m[9]),
+	.B1(brqrv_top_brqrv_lsu_lsu_addr_m[0]),
+	.B2(brqrv_top_brqrv_lsu_lsu_pkt_m[10]),
+	.Y(n_6894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g682715 (
+	.A(brqrv_top_brqrv_dbg_n_4265),
+	.B(n_33474),
+	.Y(n_34896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682716 (
+	.A(n_6180),
+	.B(n_6477),
+	.Y(n_6893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682717 (
+	.A1(n_6012),
+	.A2(brqrv_top_brqrv_dec_tlu_mcyclel[13]),
+	.B1(n_6177),
+	.B2(brqrv_top_brqrv_dec_tlu_mtvec[12]),
+	.Y(n_6892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682718 (
+	.A1(n_6172),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc6[28]),
+	.B1(n_33176),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0h[28]),
+	.Y(n_6891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682719 (
+	.A1(n_6011),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc6h[23]),
+	.B1(n_6002),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[23]),
+	.Y(n_6890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682720 (
+	.A(n_6366),
+	.B(n_32764),
+	.Y(brqrv_top_brqrv_dec_decode_i0_inst_d[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682721 (
+	.A(n_6365),
+	.B(n_32749),
+	.Y(brqrv_top_brqrv_dec_decode_i0_inst_d[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682722 (
+	.A(n_6364),
+	.B(n_32747),
+	.Y(brqrv_top_brqrv_dec_decode_i0_inst_d[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682723 (
+	.A(n_6353),
+	.B(n_32744),
+	.Y(brqrv_top_brqrv_dec_decode_i0_inst_d[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682724 (
+	.A(n_6363),
+	.B(n_32769),
+	.Y(brqrv_top_brqrv_dec_decode_i0_inst_d[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682725 (
+	.A(n_6362),
+	.B(n_32736),
+	.Y(brqrv_top_brqrv_dec_decode_i0_inst_d[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682726 (
+	.A(n_6361),
+	.B(n_32723),
+	.Y(brqrv_top_brqrv_dec_decode_i0_inst_d[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682727 (
+	.A(n_6360),
+	.B(n_32726),
+	.Y(brqrv_top_brqrv_dec_decode_i0_inst_d[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682728 (
+	.A(n_6419),
+	.B(n_32725),
+	.Y(brqrv_top_brqrv_dec_decode_i0_inst_d[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682729 (
+	.A(n_6376),
+	.B(n_32767),
+	.Y(brqrv_top_brqrv_dec_decode_i0_inst_d[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682730 (
+	.A(n_6358),
+	.B(n_32766),
+	.Y(brqrv_top_brqrv_dec_decode_i0_inst_d[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682731 (
+	.A(n_6357),
+	.B(n_32734),
+	.Y(brqrv_top_brqrv_dec_decode_i0_inst_d[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682732 (
+	.A(n_6356),
+	.B(n_32762),
+	.Y(brqrv_top_brqrv_dec_decode_i0_inst_d[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g682733 (
+	.A_N(n_32565),
+	.B(brqrv_top_brqrv_dma_ctrl_dma_mem_addr_in_iccm),
+	.C(brqrv_top_brqrv_dma_ctrl_n_1319),
+	.Y(n_31545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682734 (
+	.A1(n_39143),
+	.A2(brqrv_top_brqrv_dec_tlu_dpc[13]),
+	.B1(n_31705),
+	.B2(brqrv_top_brqrv_dec_tlu_meihap[13]),
+	.Y(n_6889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682735 (
+	.A1(n_6164),
+	.A2(brqrv_top_brqrv_dec_tlu_mtval[13]),
+	.B1(n_33175),
+	.B2(brqrv_top_brqrv_dec_tlu_mdseac[13]),
+	.Y(n_6888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g682736 (
+	.A1(brqrv_top_brqrv_dec_tlu_mdccmect[24]),
+	.A2(brqrv_top_brqrv_dec_tlu_mdccmect[23]),
+	.B1(n_6416),
+	.Y(n_6887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g682737 (
+	.A1(brqrv_top_brqrv_dec_tlu_mdccmect[29]),
+	.A2(brqrv_top_brqrv_dec_tlu_mdccmect[30]),
+	.B1(brqrv_top_brqrv_dec_tlu_mdccmect[31]),
+	.Y(n_6886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682738 (
+	.A1(n_6159),
+	.A2(brqrv_top_brqrv_dec_tlu_micect[13]),
+	.B1(n_6162),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[13]),
+	.Y(n_6885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g682739 (
+	.A1(brqrv_top_brqrv_dec_tlu_mdccmect[9]),
+	.A2(brqrv_top_brqrv_dec_tlu_mdccmect[8]),
+	.B1(n_6401),
+	.Y(n_6884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g682740 (
+	.A1(n_6024),
+	.A2(n_6180),
+	.B1(brqrv_top_brqrv_dec_tlu_mdccmect[11]),
+	.Y(n_6883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g682741 (
+	.A1(brqrv_top_brqrv_dec_tlu_mdccmect[26]),
+	.A2(brqrv_top_brqrv_dec_tlu_mdccmect[25]),
+	.B1(n_6416),
+	.Y(n_6882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682742 (
+	.A1(n_39140),
+	.A2(brqrv_top_brqrv_dec_tlu_mdccmect[13]),
+	.B1(n_6169),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5[13]),
+	.Y(n_6881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g682743 (
+	.A1(n_39146),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[51]),
+	.B1(n_6009),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[127]),
+	.X(n_6880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682744 (
+	.A1(n_6011),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc6h[13]),
+	.B1(n_6172),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc6[13]),
+	.Y(n_6879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682745 (
+	.A1(n_6159),
+	.A2(brqrv_top_brqrv_dec_tlu_micect[23]),
+	.B1(n_33176),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0h[23]),
+	.Y(n_6878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682746 (
+	.A1(n_6158),
+	.A2(brqrv_top_brqrv_dec_tlu_mscratch[14]),
+	.B1(n_6167),
+	.B2(brqrv_top_brqrv_dec_tlu_minstretl[14]),
+	.Y(n_6877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682747 (
+	.A1(n_6176),
+	.A2(brqrv_top_brqrv_dec_tlu_mepc[14]),
+	.B1(n_6166),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[14]),
+	.Y(n_6876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g682748 (
+	.A1(brqrv_top_brqrv_dec_dec_i0_instr_d[2]),
+	.A2(brqrv_top_brqrv_ifu_i0_pc4),
+	.B1(n_33357),
+	.B2(brqrv_top_brqrv_ifu_aln_first2B),
+	.X(brqrv_top_brqrv_dec_decode_i0_inst_d[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g682749 (
+	.A(brqrv_top_brqrv_dec_tlu_miccmect[10]),
+	.B(brqrv_top_brqrv_dec_tlu_miccmect[14]),
+	.C(brqrv_top_brqrv_dec_tlu_miccmect[9]),
+	.Y(n_6875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g682750 (
+	.A(brqrv_top_brqrv_dec_dec_trigger_dec_i0_trigger_data_match[3]),
+	.B(brqrv_top_brqrv_dec_tlu_mtdata1_t3[2]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[146]),
+	.Y(n_6874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g682751 (
+	.A(brqrv_top_brqrv_dec_dec_trigger_dec_i0_trigger_data_match[2]),
+	.B(brqrv_top_brqrv_dec_tlu_mtdata1_t2[2]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[108]),
+	.Y(n_6873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g682752 (
+	.A(brqrv_top_brqrv_dec_dec_trigger_dec_i0_trigger_data_match[1]),
+	.B(brqrv_top_brqrv_dec_tlu_mtdata1_t1[2]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[70]),
+	.Y(n_6872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g682753 (
+	.A(brqrv_top_brqrv_dec_dec_trigger_dec_i0_trigger_data_match[0]),
+	.B(brqrv_top_brqrv_dec_tlu_mtdata1_t0[2]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[32]),
+	.Y(n_6871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g682754 (
+	.A1(n_5321),
+	.A2(brqrv_top_brqrv_dbg_data1_reg[12]),
+	.B1(n_33474),
+	.B2(brqrv_top_brqrv_dbg_command_reg[12]),
+	.X(n_34897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g682755 (
+	.A1(n_5321),
+	.A2(brqrv_top_brqrv_dbg_data1_reg[13]),
+	.B1(n_33474),
+	.B2(brqrv_top_brqrv_dbg_command_reg[13]),
+	.X(n_34898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g682756 (
+	.A1(n_5321),
+	.A2(brqrv_top_brqrv_dbg_data1_reg[14]),
+	.B1(n_33474),
+	.B2(brqrv_top_brqrv_dbg_command_reg[14]),
+	.X(n_34899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g682757 (
+	.A_N(brqrv_top_brqrv_dec_tlu_i0_trigger_hit_raw_r),
+	.B(n_30458),
+	.C(n_32676),
+	.Y(n_32276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g682758 (
+	.A1(n_5321),
+	.A2(brqrv_top_brqrv_dbg_data1_reg[15]),
+	.B1(n_33474),
+	.B2(brqrv_top_brqrv_dbg_command_reg[15]),
+	.X(n_34900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g682759 (
+	.A(n_31866),
+	.B(n_31419),
+	.C(n_31080),
+	.Y(n_6870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g682760 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[7]),
+	.B(n_31078),
+	.C(n_31077),
+	.Y(n_6869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g682761 (
+	.A1(n_33495),
+	.A2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[1]),
+	.B1(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r_d1[1]),
+	.X(brqrv_top_brqrv_dec_tlu_pc_r[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g682762 (
+	.A_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[7]),
+	.B(n_31077),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[6]),
+	.Y(n_6868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g682763 (
+	.A1(n_33495),
+	.A2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[10]),
+	.B1(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r_d1[10]),
+	.X(brqrv_top_brqrv_dec_tlu_pc_r[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g682764 (
+	.A(n_31711),
+	.B(n_31699),
+	.C_N(brqrv_top_brqrv_dec_tlu_mtsel[1]),
+	.Y(n_6867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g682765 (
+	.A1(n_33495),
+	.A2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[11]),
+	.B1(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r_d1[11]),
+	.X(brqrv_top_brqrv_dec_tlu_pc_r[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g682766 (
+	.A(n_31711),
+	.B(n_31699),
+	.C_N(brqrv_top_brqrv_dec_tlu_mtsel[0]),
+	.Y(n_6866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g682767 (
+	.A1(n_33495),
+	.A2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[12]),
+	.B1(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r_d1[12]),
+	.X(brqrv_top_brqrv_dec_tlu_pc_r[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g682768 (
+	.A1(n_33495),
+	.A2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[23]),
+	.B1(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r_d1[23]),
+	.X(brqrv_top_brqrv_dec_tlu_pc_r[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g682769 (
+	.A(brqrv_top_brqrv_dec_tlu_miccmect[22]),
+	.B(brqrv_top_brqrv_dec_tlu_miccmect[20]),
+	.C(brqrv_top_brqrv_dec_tlu_miccmect[21]),
+	.Y(n_6865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g682770 (
+	.A(brqrv_top_brqrv_dec_tlu_micect[17]),
+	.B(brqrv_top_brqrv_dec_tlu_micect[18]),
+	.C(brqrv_top_brqrv_dec_tlu_micect[16]),
+	.Y(n_6864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g682771 (
+	.A1(n_5301),
+	.A2(brqrv_top_brqrv_ifu_aln_misc1[51]),
+	.B1(n_6034),
+	.B2(brqrv_top_brqrv_ifu_aln_misc0[51]),
+	.X(n_6863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682772 (
+	.A1(n_6317),
+	.A2(n_30146),
+	.B1(n_6316),
+	.B2(n_30147),
+	.Y(n_6862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682773 (
+	.A1(n_6212),
+	.A2(n_30144),
+	.B1(n_6308),
+	.B2(n_30145),
+	.Y(n_6861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682774 (
+	.A1(n_6148),
+	.A2(n_30141),
+	.B1(n_6305),
+	.B2(n_30140),
+	.Y(n_6860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682775 (
+	.A1(n_6205),
+	.A2(n_30143),
+	.B1(n_6206),
+	.B2(n_30142),
+	.Y(n_6859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682776 (
+	.A1(n_6157),
+	.A2(n_30153),
+	.B1(n_6119),
+	.B2(n_30152),
+	.Y(n_6858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682777 (
+	.A1(n_6229),
+	.A2(n_30148),
+	.B1(n_6226),
+	.B2(n_30149),
+	.Y(n_6857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682778 (
+	.A1(n_6236),
+	.A2(n_30151),
+	.B1(n_6076),
+	.B2(n_30150),
+	.Y(n_6856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682779 (
+	.A1(n_6246),
+	.A2(n_30154),
+	.B1(n_6050),
+	.B2(n_30155),
+	.Y(n_6855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682780 (
+	.A1(n_6253),
+	.A2(n_30224),
+	.B1(n_6075),
+	.B2(n_30225),
+	.Y(n_6854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682781 (
+	.A1(n_6115),
+	.A2(n_30221),
+	.B1(n_6265),
+	.B2(n_30220),
+	.Y(n_6853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682782 (
+	.A1(n_6074),
+	.A2(n_30223),
+	.B1(n_6116),
+	.B2(n_30222),
+	.Y(n_6852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682783 (
+	.A1(n_6145),
+	.A2(n_30226),
+	.B1(n_6055),
+	.B2(n_30227),
+	.Y(n_6851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682784 (
+	.A1(n_6123),
+	.A2(n_30303),
+	.B1(n_6267),
+	.B2(n_30304),
+	.Y(n_6850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682785 (
+	.A1(n_6269),
+	.A2(n_30308),
+	.B1(n_6126),
+	.B2(n_30307),
+	.Y(n_6849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682786 (
+	.A1(n_6072),
+	.A2(n_30305),
+	.B1(n_6272),
+	.B2(n_30306),
+	.Y(n_6848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682787 (
+	.A1(n_6130),
+	.A2(n_30302),
+	.B1(n_6060),
+	.B2(n_30301),
+	.Y(n_6847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682788 (
+	.A1(n_6131),
+	.A2(n_30215),
+	.B1(n_6289),
+	.B2(n_30214),
+	.Y(n_6846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682789 (
+	.A1(n_6134),
+	.A2(n_30218),
+	.B1(n_6064),
+	.B2(n_30219),
+	.Y(n_6845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682790 (
+	.A1(n_6147),
+	.A2(n_30212),
+	.B1(n_6065),
+	.B2(n_30213),
+	.Y(n_6844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682791 (
+	.A1(n_6067),
+	.A2(n_30217),
+	.B1(n_6066),
+	.B2(n_30216),
+	.Y(n_6843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682792 (
+	.A1(n_6071),
+	.A2(n_30160),
+	.B1(n_6276),
+	.B2(n_30161),
+	.Y(n_6842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682793 (
+	.A1(n_6165),
+	.A2(n_34640),
+	.B1(n_6033),
+	.B2(brqrv_top_brqrv_dec_tlu_mip[3]),
+	.Y(n_6841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682794 (
+	.A1(n_6141),
+	.A2(n_30157),
+	.B1(n_6297),
+	.B2(n_30156),
+	.Y(n_6840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682795 (
+	.A1(n_6292),
+	.A2(n_30159),
+	.B1(n_6300),
+	.B2(n_30158),
+	.Y(n_6839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g682796 (
+	.A1(n_6186),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [316]),
+	.B1(n_6035),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [318]),
+	.X(n_6838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682797 (
+	.A1(n_6318),
+	.A2(n_30239),
+	.B1(n_6142),
+	.B2(n_30238),
+	.Y(n_6837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682798 (
+	.A1(n_6244),
+	.A2(n_30242),
+	.B1(n_6319),
+	.B2(n_30243),
+	.Y(n_6836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682799 (
+	.A1(n_6323),
+	.A2(n_30237),
+	.B1(n_6235),
+	.B2(n_30236),
+	.Y(n_6835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682800 (
+	.A1(n_6298),
+	.A2(n_30240),
+	.B1(n_6150),
+	.B2(n_30241),
+	.Y(n_6834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682801 (
+	.A1(n_6086),
+	.A2(n_30233),
+	.B1(n_6231),
+	.B2(n_30232),
+	.Y(n_6833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682802 (
+	.A1(n_6307),
+	.A2(n_30228),
+	.B1(n_6225),
+	.B2(n_30229),
+	.Y(n_6832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682803 (
+	.A1(n_6216),
+	.A2(n_30231),
+	.B1(n_6124),
+	.B2(n_30230),
+	.Y(n_6831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682804 (
+	.A1(n_6204),
+	.A2(n_30234),
+	.B1(n_6045),
+	.B2(n_30235),
+	.Y(n_6830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682805 (
+	.A1(n_6221),
+	.A2(n_30176),
+	.B1(n_6110),
+	.B2(n_30177),
+	.Y(n_6829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682806 (
+	.A1(n_6003),
+	.A2(n_34605),
+	.B1(n_6033),
+	.B2(brqrv_top_brqrv_dec_tlu_mip[2]),
+	.Y(n_6828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682807 (
+	.A1(n_6073),
+	.A2(n_30173),
+	.B1(n_6149),
+	.B2(n_30172),
+	.Y(n_6827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682808 (
+	.A1(n_6296),
+	.A2(n_30175),
+	.B1(n_6302),
+	.B2(n_30174),
+	.Y(n_6826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682809 (
+	.A1(n_6287),
+	.A2(n_30178),
+	.B1(n_6138),
+	.B2(n_30179),
+	.Y(n_6825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682810 (
+	.A1(n_6069),
+	.A2(n_30169),
+	.B1(n_6281),
+	.B2(n_30168),
+	.Y(n_6824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682811 (
+	.A1(n_6280),
+	.A2(n_30164),
+	.B1(n_6051),
+	.B2(n_30165),
+	.Y(n_6823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682812 (
+	.A1(n_6251),
+	.A2(n_30167),
+	.B1(n_6263),
+	.B2(n_30166),
+	.Y(n_6822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682813 (
+	.A1(n_6247),
+	.A2(n_30170),
+	.B1(n_6248),
+	.B2(n_30171),
+	.Y(n_6821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682814 (
+	.A1(n_6187),
+	.A2(n_30256),
+	.B1(n_6219),
+	.B2(n_30257),
+	.Y(n_6820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682815 (
+	.A1(n_6275),
+	.A2(n_30253),
+	.B1(n_6107),
+	.B2(n_30252),
+	.Y(n_6819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682816 (
+	.A1(n_6190),
+	.A2(n_30258),
+	.B1(n_6128),
+	.B2(n_30259),
+	.Y(n_6818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682817 (
+	.A1(n_6049),
+	.A2(n_30247),
+	.B1(n_6081),
+	.B2(n_30246),
+	.Y(n_6817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682818 (
+	.A1(n_6228),
+	.A2(n_30244),
+	.B1(n_6222),
+	.B2(n_30245),
+	.Y(n_6816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682819 (
+	.A1(n_6127),
+	.A2(n_30249),
+	.B1(n_6315),
+	.B2(n_30248),
+	.Y(n_6815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682820 (
+	.A1(n_6106),
+	.A2(n_30208),
+	.B1(n_6314),
+	.B2(n_30209),
+	.Y(n_6814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682821 (
+	.A1(n_6273),
+	.A2(n_30205),
+	.B1(n_6294),
+	.B2(n_30204),
+	.Y(n_6813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682822 (
+	.A1(n_6120),
+	.A2(n_30207),
+	.B1(n_6043),
+	.B2(n_30206),
+	.Y(n_6812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682823 (
+	.A1(n_6208),
+	.A2(n_30210),
+	.B1(n_6240),
+	.B2(n_30211),
+	.Y(n_6811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682824 (
+	.A1(n_6196),
+	.A2(n_30201),
+	.B1(n_6230),
+	.B2(n_30200),
+	.Y(n_6810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682825 (
+	.A1(n_6135),
+	.A2(n_30196),
+	.B1(n_6125),
+	.B2(n_30197),
+	.Y(n_6809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682826 (
+	.A1(n_6271),
+	.A2(n_30199),
+	.B1(n_6156),
+	.B2(n_30198),
+	.Y(n_6808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682827 (
+	.A1(n_6089),
+	.A2(n_30202),
+	.B1(n_6200),
+	.B2(n_30203),
+	.Y(n_6807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682828 (
+	.A1(n_6044),
+	.A2(n_30194),
+	.B1(n_6048),
+	.B2(n_30195),
+	.Y(n_6806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682829 (
+	.A1(n_6118),
+	.A2(n_30192),
+	.B1(n_6112),
+	.B2(n_30193),
+	.Y(n_6805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682830 (
+	.A1(n_6137),
+	.A2(n_30189),
+	.B1(n_6268),
+	.B2(n_30188),
+	.Y(n_6804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682831 (
+	.A1(n_6322),
+	.A2(n_30191),
+	.B1(n_6062),
+	.B2(n_30190),
+	.Y(n_6803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682832 (
+	.A1(n_6139),
+	.A2(n_30185),
+	.B1(n_6210),
+	.B2(n_30184),
+	.Y(n_6802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682833 (
+	.A1(n_6211),
+	.A2(n_30183),
+	.B1(n_6264),
+	.B2(n_30182),
+	.Y(n_6801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682834 (
+	.A1(n_6214),
+	.A2(n_30180),
+	.B1(n_6091),
+	.B2(n_30181),
+	.Y(n_6800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682835 (
+	.A1(n_6094),
+	.A2(n_30186),
+	.B1(n_6099),
+	.B2(n_30187),
+	.Y(n_6799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682836 (
+	.A1(n_6087),
+	.A2(n_30176),
+	.B1(n_6085),
+	.B2(n_30177),
+	.Y(n_6798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682837 (
+	.A1(n_6198),
+	.A2(n_30178),
+	.B1(n_6193),
+	.B2(n_30179),
+	.Y(n_6797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682838 (
+	.A1(n_6097),
+	.A2(n_30173),
+	.B1(n_6096),
+	.B2(n_30172),
+	.Y(n_6796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682839 (
+	.A1(n_6102),
+	.A2(n_30175),
+	.B1(n_6201),
+	.B2(n_30174),
+	.Y(n_6795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682840 (
+	.A1(n_6101),
+	.A2(n_30151),
+	.B1(n_6154),
+	.B2(n_30150),
+	.Y(n_6794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682841 (
+	.A1(n_6152),
+	.A2(n_30154),
+	.B1(n_6217),
+	.B2(n_30155),
+	.Y(n_6793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682842 (
+	.A1(n_6223),
+	.A2(n_30148),
+	.B1(n_6113),
+	.B2(n_30149),
+	.Y(n_6792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682843 (
+	.A1(n_6242),
+	.A2(n_30153),
+	.B1(n_6054),
+	.B2(n_30152),
+	.Y(n_6791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682844 (
+	.A1(n_6053),
+	.A2(n_30192),
+	.B1(n_6117),
+	.B2(n_30193),
+	.Y(n_6790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682845 (
+	.A1(n_6266),
+	.A2(n_30189),
+	.B1(n_6058),
+	.B2(n_30188),
+	.Y(n_6789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682846 (
+	.A1(n_6189),
+	.A2(n_30191),
+	.B1(n_6061),
+	.B2(n_30190),
+	.Y(n_6788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682847 (
+	.A1(n_6070),
+	.A2(n_30194),
+	.B1(n_6133),
+	.B2(n_30195),
+	.Y(n_6787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682848 (
+	.A1(n_6256),
+	.A2(n_30185),
+	.B1(n_6285),
+	.B2(n_30184),
+	.Y(n_6786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682849 (
+	.A1(n_6191),
+	.A2(n_30180),
+	.B1(n_6140),
+	.B2(n_30181),
+	.Y(n_6785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682850 (
+	.A1(n_6320),
+	.A2(n_30183),
+	.B1(n_6239),
+	.B2(n_30182),
+	.Y(n_6784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682851 (
+	.A1(n_6215),
+	.A2(n_30186),
+	.B1(n_6291),
+	.B2(n_30187),
+	.Y(n_6783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682852 (
+	.A1(n_6238),
+	.A2(n_30157),
+	.B1(n_6254),
+	.B2(n_30156),
+	.Y(n_6782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682853 (
+	.A1(n_6100),
+	.A2(n_30160),
+	.B1(n_6146),
+	.B2(n_30161),
+	.Y(n_6781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682854 (
+	.A1(n_6278),
+	.A2(n_30170),
+	.B1(n_6282),
+	.B2(n_30171),
+	.Y(n_6780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682855 (
+	.A1(n_6274),
+	.A2(n_30164),
+	.B1(n_6132),
+	.B2(n_30165),
+	.Y(n_6779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682856 (
+	.A1(n_6092),
+	.A2(n_30169),
+	.B1(n_6277),
+	.B2(n_30168),
+	.Y(n_6778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682857 (
+	.A1(n_6249),
+	.A2(n_30208),
+	.B1(n_6258),
+	.B2(n_30209),
+	.Y(n_6777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682858 (
+	.A1(n_6209),
+	.A2(n_30210),
+	.B1(n_6203),
+	.B2(n_30211),
+	.Y(n_6776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682859 (
+	.A1(n_6046),
+	.A2(n_30205),
+	.B1(n_6188),
+	.B2(n_30204),
+	.Y(n_6775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682860 (
+	.A1(n_6084),
+	.A2(n_30207),
+	.B1(n_6199),
+	.B2(n_30206),
+	.Y(n_6774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682861 (
+	.A1(n_6077),
+	.A2(n_30201),
+	.B1(n_6078),
+	.B2(n_30200),
+	.Y(n_6773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682862 (
+	.A1(n_6227),
+	.A2(n_30202),
+	.B1(n_6111),
+	.B2(n_30203),
+	.Y(n_6772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682863 (
+	.A1(n_6063),
+	.A2(n_30196),
+	.B1(n_6059),
+	.B2(n_30197),
+	.Y(n_6771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682864 (
+	.A1(n_6234),
+	.A2(n_30199),
+	.B1(n_6241),
+	.B2(n_30198),
+	.Y(n_6770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682865 (
+	.A1(n_6197),
+	.A2(n_30239),
+	.B1(n_6144),
+	.B2(n_30238),
+	.Y(n_6769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682866 (
+	.A1(n_6309),
+	.A2(n_30240),
+	.B1(n_6155),
+	.B2(n_30241),
+	.Y(n_6768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682867 (
+	.A1(n_6306),
+	.A2(n_30237),
+	.B1(n_6213),
+	.B2(n_30236),
+	.Y(n_6767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682868 (
+	.A1(n_6260),
+	.A2(n_30242),
+	.B1(n_6252),
+	.B2(n_30243),
+	.Y(n_6766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682869 (
+	.A1(n_6284),
+	.A2(n_30234),
+	.B1(n_6295),
+	.B2(n_30235),
+	.Y(n_6765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682870 (
+	.A1(n_6052),
+	.A2(n_30231),
+	.B1(n_6143),
+	.B2(n_30230),
+	.Y(n_6764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682871 (
+	.A1(n_6312),
+	.A2(n_30228),
+	.B1(n_6270),
+	.B2(n_30229),
+	.Y(n_6763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682872 (
+	.A1(n_6259),
+	.A2(n_30233),
+	.B1(n_6047),
+	.B2(n_30232),
+	.Y(n_6762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682873 (
+	.A1(n_6286),
+	.A2(n_30221),
+	.B1(n_6220),
+	.B2(n_30220),
+	.Y(n_6761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682874 (
+	.A1(n_6121),
+	.A2(n_30226),
+	.B1(n_6293),
+	.B2(n_30227),
+	.Y(n_6760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682875 (
+	.A1(n_6245),
+	.A2(n_30224),
+	.B1(n_6313),
+	.B2(n_30225),
+	.Y(n_6759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682876 (
+	.A1(n_6108),
+	.A2(n_30217),
+	.B1(n_6122),
+	.B2(n_30216),
+	.Y(n_6758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682877 (
+	.A1(n_6237),
+	.A2(n_30215),
+	.B1(n_6195),
+	.B2(n_30214),
+	.Y(n_6757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682878 (
+	.A1(n_6283),
+	.A2(n_30218),
+	.B1(n_6080),
+	.B2(n_30219),
+	.Y(n_6756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682879 (
+	.A1(n_6224),
+	.A2(n_30258),
+	.B1(n_6129),
+	.B2(n_30259),
+	.Y(n_6755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682880 (
+	.A1(n_6151),
+	.A2(n_30255),
+	.B1(n_6299),
+	.B2(n_30254),
+	.Y(n_6754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682881 (
+	.A1(n_6068),
+	.A2(n_30253),
+	.B1(n_6261),
+	.B2(n_30252),
+	.Y(n_6753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682882 (
+	.A1(n_6207),
+	.A2(n_30256),
+	.B1(n_6090),
+	.B2(n_30257),
+	.Y(n_6752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682883 (
+	.A1(n_6041),
+	.A2(n_30247),
+	.B1(n_6056),
+	.B2(n_30246),
+	.Y(n_6751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682884 (
+	.A1(n_6042),
+	.A2(n_30250),
+	.B1(n_6218),
+	.B2(n_30251),
+	.Y(n_6750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682885 (
+	.A1(n_6257),
+	.A2(n_30244),
+	.B1(n_6321),
+	.B2(n_30245),
+	.Y(n_6749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682886 (
+	.A1(n_6290),
+	.A2(n_30249),
+	.B1(n_6255),
+	.B2(n_30248),
+	.Y(n_6748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682887 (
+	.A1(n_6262),
+	.A2(n_30143),
+	.B1(n_6093),
+	.B2(n_30142),
+	.Y(n_6747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682888 (
+	.A1(n_6105),
+	.A2(n_30146),
+	.B1(n_6079),
+	.B2(n_30147),
+	.Y(n_6746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682889 (
+	.A1(n_6082),
+	.A2(n_30141),
+	.B1(n_6279),
+	.B2(n_30140),
+	.Y(n_6745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682890 (
+	.A1(n_6095),
+	.A2(n_30144),
+	.B1(n_6194),
+	.B2(n_30145),
+	.Y(n_6744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682891 (
+	.A1(n_6114),
+	.A2(n_30303),
+	.B1(n_6310),
+	.B2(n_30304),
+	.Y(n_6743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682892 (
+	.A1(n_6153),
+	.A2(n_30308),
+	.B1(n_6136),
+	.B2(n_30307),
+	.Y(n_6742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682893 (
+	.A1(n_6202),
+	.A2(n_30305),
+	.B1(n_6243),
+	.B2(n_30306),
+	.Y(n_6741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g682894 (
+	.A1(n_6250),
+	.A2(n_30302),
+	.B1(n_6232),
+	.B2(n_30301),
+	.Y(n_6740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g682895 (
+	.A1_N(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error[5]),
+	.A2_N(n_6036),
+	.B1(n_6301),
+	.B2(n_31601),
+	.Y(n_6739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682896 (
+	.A1(n_6165),
+	.A2(n_34642),
+	.B1(n_6033),
+	.B2(brqrv_top_brqrv_dec_tlu_mip[4]),
+	.Y(n_6738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g682897 (
+	.A1(n_33495),
+	.A2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[22]),
+	.B1(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r_d1[22]),
+	.X(brqrv_top_brqrv_dec_tlu_pc_r[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g682898 (
+	.A1(n_33495),
+	.A2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[31]),
+	.B1(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r_d1[31]),
+	.X(brqrv_top_brqrv_dec_tlu_pc_r[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g682899 (
+	.A1(n_33495),
+	.A2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[29]),
+	.B1(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r_d1[29]),
+	.X(brqrv_top_brqrv_dec_tlu_pc_r[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g682900 (
+	.A1(n_33495),
+	.A2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[25]),
+	.B1(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r_d1[25]),
+	.X(brqrv_top_brqrv_dec_tlu_pc_r[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g682902 (
+	.A(n_32790),
+	.B(n_31704),
+	.C_N(n_32581),
+	.Y(n_6944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 g682903 (
+	.A(n_31524),
+	.B(n_31510),
+	.C_N(n_29916),
+	.X(n_31479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g682904 (
+	.A(n_29924),
+	.B(n_32245),
+	.C(brqrv_top_brqrv_dec_dec_csr_wraddr_r[7]),
+	.Y(brqrv_top_brqrv_dec_tlu_n_499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g682905 (
+	.A1(n_6057),
+	.A2(n_31869),
+	.B1(n_6032),
+	.Y(n_31453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g682906 (
+	.A(brqrv_top_brqrv_dec_i0_predict_p_d[42]),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[44]),
+	.C(n_31880),
+	.X(n_29900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g682907 (
+	.A(brqrv_top_brqrv_dec_i0_predict_p_d[42]),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[44]),
+	.C(brqrv_top_brqrv_dec_i0_predict_p_d[46]),
+	.X(n_29899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 g682908 (
+	.A(brqrv_top_brqrv_dec_tlu_n_473),
+	.B(n_31529),
+	.C_N(brqrv_top_brqrv_dec_dec_csr_wraddr_r[7]),
+	.X(n_6942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 g682909 (
+	.A(brqrv_top_brqrv_dec_tlu_mdseac_locked_f),
+	.B(brqrv_top_brqrv_dec_tlu_nmi_int_detected_f),
+	.C_N(brqrv_top_brqrv_lsu_pmu_bus_error),
+	.X(brqrv_top_brqrv_dec_tlu_mdseac_en_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g682910 (
+	.A(n_31831),
+	.B(n_31747),
+	.C_N(n_6485),
+	.Y(n_6941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g682911 (
+	.A(brqrv_top_brqrv_dec_tlu_n_397),
+	.B(n_31482),
+	.X(n_31044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682912 (
+	.A(brqrv_top_brqrv_exu_i0_rs2_d[2]),
+	.B_N(n_6480),
+	.Y(n_6940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g682913 (
+	.A(brqrv_top_brqrv_dec_tlu_n_473),
+	.B(brqrv_top_brqrv_dec_tlu_n_397),
+	.C(n_30300),
+	.X(n_31512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g682914 (
+	.A1(brqrv_top_brqrv_dec_tlu_mdccmect[28]),
+	.A2(brqrv_top_brqrv_dec_tlu_mdccmect[27]),
+	.B1(brqrv_top_brqrv_dec_tlu_mdccmect[29]),
+	.Y(n_6939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g682915 (
+	.A1(brqrv_top_brqrv_dec_tlu_micect[27]),
+	.A2(brqrv_top_brqrv_dec_tlu_micect[28]),
+	.B1(brqrv_top_brqrv_dec_tlu_micect[29]),
+	.Y(n_6938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g682916 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mpmc_b[1]),
+	.A2_N(n_30446),
+	.B1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[1]),
+	.B2(n_30446),
+	.Y(brqrv_top_brqrv_dec_tlu_mpmc_b_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g682917 (
+	.A(n_6431),
+	.B(n_29926),
+	.Y(brqrv_top_brqrv_dec_tlu_n_13273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g682918 (
+	.A1(brqrv_top_brqrv_dec_tlu_miccmect[28]),
+	.A2(brqrv_top_brqrv_dec_tlu_miccmect[27]),
+	.B1(brqrv_top_brqrv_dec_tlu_miccmect[29]),
+	.Y(n_6937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682919 (
+	.A_N(n_6403),
+	.B(brqrv_top_brqrv_dec_tlu_miccmect[27]),
+	.Y(n_6936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682920 (
+	.A_N(n_6408),
+	.B(brqrv_top_brqrv_dec_tlu_micect[27]),
+	.Y(n_6935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g682921 (
+	.A(brqrv_top_brqrv_dec_tlu_miccmect[27]),
+	.B(n_6396),
+	.Y(n_6934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g682922 (
+	.A_N(n_6477),
+	.B(brqrv_top_brqrv_dec_tlu_mdccmect[27]),
+	.Y(n_6932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g682923 (
+	.A(n_31869),
+	.B(n_31292),
+	.Y(n_6931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g682924 (
+	.A(n_32571),
+	.B(n_6031),
+	.C(n_32545),
+	.Y(n_6929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g682925 (
+	.A1(brqrv_top_brqrv_dec_tlu_micect[29]),
+	.A2(brqrv_top_brqrv_dec_tlu_micect[27]),
+	.B1(n_6394),
+	.Y(n_6928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g682926 (
+	.A(brqrv_top_brqrv_dec_tlu_micect[27]),
+	.B(n_6394),
+	.Y(n_6927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g682927 (
+	.A(brqrv_top_brqrv_dec_tlu_mdccmect[27]),
+	.B(n_6474),
+	.Y(n_6926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g682928 (
+	.A1(brqrv_top_brqrv_dec_tlu_miccmect[29]),
+	.A2(brqrv_top_brqrv_dec_tlu_miccmect[27]),
+	.B1(n_6396),
+	.Y(n_6925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g682929 (
+	.A(n_32570),
+	.B_N(n_6476),
+	.Y(n_6924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g682930 (
+	.A1(brqrv_top_brqrv_dec_tlu_mdccmect[29]),
+	.A2(brqrv_top_brqrv_dec_tlu_mdccmect[27]),
+	.B1(n_6474),
+	.Y(n_6923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g682931 (
+	.A_N(brqrv_top_brqrv_dec_tlu_n_8029),
+	.B(n_32579),
+	.C(n_32790),
+	.Y(n_6922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g682932 (
+	.A(n_32578),
+	.B(n_31703),
+	.C_N(n_6476),
+	.Y(n_6920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g682933 (
+	.A(n_32580),
+	.B(n_32545),
+	.C_N(n_6476),
+	.Y(n_6919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g682934 (
+	.A(n_30490),
+	.B(n_30479),
+	.Y(n_6918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g682935 (
+	.A(brqrv_top_brqrv_dec_tlu_n_12947),
+	.B(n_30479),
+	.Y(n_6917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g682936 (
+	.A(brqrv_top_brqrv_dec_tlu_n_12944),
+	.B(n_30479),
+	.Y(n_6916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g682937 (
+	.A(brqrv_top_brqrv_dec_tlu_n_12950),
+	.B(n_30479),
+	.Y(n_6915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g682938 (
+	.A(n_6736),
+	.Y(n_6737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g682939 (
+	.A1(n_39144),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[106]),
+	.B1(n_39145),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[74]),
+	.X(n_6735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682940 (
+	.A1(n_33175),
+	.A2(brqrv_top_brqrv_dec_tlu_mdseac[12]),
+	.B1(n_31705),
+	.B2(brqrv_top_brqrv_dec_tlu_meihap[12]),
+	.Y(n_6734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682941 (
+	.A1(n_6003),
+	.A2(n_34639),
+	.B1(n_6030),
+	.B2(brqrv_top_brqrv_dec_tlu_mie[3]),
+	.Y(n_6733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682942 (
+	.A1(n_6159),
+	.A2(brqrv_top_brqrv_dec_tlu_micect[22]),
+	.B1(n_33176),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0h[22]),
+	.Y(n_6732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682943 (
+	.A1(n_6167),
+	.A2(brqrv_top_brqrv_dec_tlu_minstretl[12]),
+	.B1(n_6177),
+	.B2(brqrv_top_brqrv_dec_tlu_mtvec[11]),
+	.Y(n_6731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682944 (
+	.A1(n_6012),
+	.A2(brqrv_top_brqrv_dec_tlu_mcyclel[28]),
+	.B1(n_6177),
+	.B2(brqrv_top_brqrv_dec_tlu_mtvec[27]),
+	.Y(n_6730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682945 (
+	.A1(n_6003),
+	.A2(n_34645),
+	.B1(n_6158),
+	.B2(brqrv_top_brqrv_dec_tlu_mscratch[31]),
+	.Y(n_6729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682946 (
+	.A1(n_6162),
+	.A2(brqrv_top_brqrv_dec_tlu_miccmect[11]),
+	.B1(n_39140),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[11]),
+	.Y(n_6728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682947 (
+	.A1(n_6158),
+	.A2(brqrv_top_brqrv_dec_tlu_mscratch[28]),
+	.B1(n_6167),
+	.B2(brqrv_top_brqrv_dec_tlu_minstretl[28]),
+	.Y(n_6727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682948 (
+	.A1(n_6011),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc6h[11]),
+	.B1(n_6014),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5h[11]),
+	.Y(n_6726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682949 (
+	.A1(n_39141),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[11]),
+	.B1(n_39146),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[49]),
+	.Y(n_6725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682950 (
+	.A1(n_6011),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc6h[22]),
+	.B1(n_6002),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[22]),
+	.Y(n_6724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682951 (
+	.A1(n_39143),
+	.A2(brqrv_top_brqrv_dec_tlu_dpc[11]),
+	.B1(n_33175),
+	.B2(brqrv_top_brqrv_dec_tlu_mdseac[11]),
+	.Y(n_6723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682952 (
+	.A1(n_6158),
+	.A2(brqrv_top_brqrv_dec_tlu_mscratch[22]),
+	.B1(n_6177),
+	.B2(brqrv_top_brqrv_dec_tlu_mtvec[21]),
+	.Y(n_6722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682953 (
+	.A1(n_6021),
+	.A2(brqrv_top_brqrv_dec_tlu_dicawics[8]),
+	.B1(n_6163),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0[11]),
+	.Y(n_6721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682954 (
+	.A1(n_6176),
+	.A2(brqrv_top_brqrv_dec_tlu_mepc[31]),
+	.B1(n_33175),
+	.B2(brqrv_top_brqrv_dec_tlu_mdseac[31]),
+	.Y(n_6720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682955 (
+	.A1(n_6167),
+	.A2(brqrv_top_brqrv_dec_tlu_minstretl[11]),
+	.B1(n_6177),
+	.B2(brqrv_top_brqrv_dec_tlu_mtvec[10]),
+	.Y(n_6719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682956 (
+	.A1(n_6164),
+	.A2(brqrv_top_brqrv_dec_tlu_mtval[22]),
+	.B1(n_6166),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[22]),
+	.Y(n_6718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682957 (
+	.A1(n_6158),
+	.A2(brqrv_top_brqrv_dec_tlu_mscratch[11]),
+	.B1(n_6176),
+	.B2(brqrv_top_brqrv_dec_tlu_mepc[11]),
+	.Y(n_6717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682958 (
+	.A1(n_6004),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc4h[27]),
+	.B1(n_6161),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc3h[27]),
+	.Y(n_6716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682959 (
+	.A1(n_6013),
+	.A2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[10]),
+	.B1(n_6172),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc6[10]),
+	.Y(n_6715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g682960 (
+	.A1(n_39146),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[48]),
+	.B1(n_6009),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[124]),
+	.X(n_6714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682961 (
+	.A1(n_6162),
+	.A2(brqrv_top_brqrv_dec_tlu_miccmect[27]),
+	.B1(n_39140),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[27]),
+	.Y(n_6713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682962 (
+	.A1(n_39140),
+	.A2(brqrv_top_brqrv_dec_tlu_mdccmect[10]),
+	.B1(n_39142),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc4[10]),
+	.Y(n_6712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682963 (
+	.A1(n_6159),
+	.A2(brqrv_top_brqrv_dec_tlu_micect[10]),
+	.B1(n_6162),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[10]),
+	.Y(n_6711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682964 (
+	.A1(n_6165),
+	.A2(n_34646),
+	.B1(n_6164),
+	.B2(brqrv_top_brqrv_dec_tlu_mtval[31]),
+	.Y(n_6710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682965 (
+	.A1(n_6175),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[103]),
+	.B1(n_6009),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[141]),
+	.Y(n_6709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682966 (
+	.A1(n_39143),
+	.A2(brqrv_top_brqrv_dec_tlu_dpc[10]),
+	.B1(n_6164),
+	.B2(brqrv_top_brqrv_dec_tlu_mtval[10]),
+	.Y(n_6708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682967 (
+	.A1(n_6011),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc6h[21]),
+	.B1(n_39140),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[21]),
+	.Y(n_6707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682968 (
+	.A1(n_6022),
+	.A2(brqrv_top_brqrv_dec_tlu_mfdc_int[10]),
+	.B1(n_6163),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0[10]),
+	.Y(n_6706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682969 (
+	.A1(n_6023),
+	.A2(brqrv_top_brqrv_dec_tlu_dcsr[10]),
+	.B1(n_6010),
+	.B2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[10]),
+	.Y(n_6705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682970 (
+	.A1(n_39141),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[27]),
+	.B1(n_39146),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[65]),
+	.Y(n_6704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682971 (
+	.A1(n_6012),
+	.A2(brqrv_top_brqrv_dec_tlu_mcyclel[10]),
+	.B1(n_6177),
+	.B2(brqrv_top_brqrv_dec_tlu_mtvec[9]),
+	.Y(n_6703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682972 (
+	.A1(n_6176),
+	.A2(brqrv_top_brqrv_dec_tlu_mepc[10]),
+	.B1(n_6166),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[10]),
+	.Y(n_6702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682973 (
+	.A1(n_6015),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc3[27]),
+	.B1(n_39142),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc4[27]),
+	.Y(n_6701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682974 (
+	.A1(n_6158),
+	.A2(brqrv_top_brqrv_dec_tlu_mscratch[10]),
+	.B1(n_6167),
+	.B2(brqrv_top_brqrv_dec_tlu_minstretl[10]),
+	.Y(n_6700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682975 (
+	.A1(n_6003),
+	.A2(n_34603),
+	.B1(n_6165),
+	.B2(n_34604),
+	.Y(n_6699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g682976 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[9]),
+	.A2_N(n_6002),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[9]),
+	.B2(n_30486),
+	.Y(n_6698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682977 (
+	.A1(n_6159),
+	.A2(brqrv_top_brqrv_dec_tlu_micect[9]),
+	.B1(n_6162),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[9]),
+	.Y(n_6697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682978 (
+	.A1(n_6176),
+	.A2(brqrv_top_brqrv_dec_tlu_mepc[21]),
+	.B1(n_33175),
+	.B2(brqrv_top_brqrv_dec_tlu_mdseac[21]),
+	.Y(n_6696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682979 (
+	.A1(n_6011),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc6h[9]),
+	.B1(n_6014),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5h[9]),
+	.Y(n_6695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682980 (
+	.A1(n_39143),
+	.A2(brqrv_top_brqrv_dec_tlu_dpc[21]),
+	.B1(n_6009),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[135]),
+	.Y(n_6694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682981 (
+	.A1(n_6165),
+	.A2(n_34626),
+	.B1(n_6164),
+	.B2(brqrv_top_brqrv_dec_tlu_mtval[21]),
+	.Y(n_6693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682982 (
+	.A1(n_6163),
+	.A2(brqrv_top_brqrv_dec_tlu_dicad0[9]),
+	.B1(n_33176),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0h[9]),
+	.Y(n_6692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682983 (
+	.A1(n_6158),
+	.A2(brqrv_top_brqrv_dec_tlu_mscratch[9]),
+	.B1(n_6167),
+	.B2(brqrv_top_brqrv_dec_tlu_minstretl[9]),
+	.Y(n_6691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682984 (
+	.A1(n_39146),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[69]),
+	.B1(n_6009),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[145]),
+	.Y(n_6690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682985 (
+	.A1(n_6176),
+	.A2(brqrv_top_brqrv_dec_tlu_mepc[9]),
+	.B1(n_6010),
+	.B2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[9]),
+	.Y(n_6689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682986 (
+	.A1(n_6164),
+	.A2(brqrv_top_brqrv_dec_tlu_mtval[9]),
+	.B1(n_33175),
+	.B2(brqrv_top_brqrv_dec_tlu_mdseac[9]),
+	.Y(n_6688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682987 (
+	.A1(n_39141),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[8]),
+	.B1(n_6175),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[84]),
+	.Y(n_6687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682988 (
+	.A1(n_6011),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc6h[27]),
+	.B1(n_6002),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[27]),
+	.Y(n_6686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682989 (
+	.A1(n_6162),
+	.A2(brqrv_top_brqrv_dec_tlu_miccmect[8]),
+	.B1(n_6009),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[122]),
+	.Y(n_6685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682990 (
+	.A1(n_6015),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc3[20]),
+	.B1(n_39142),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc4[20]),
+	.Y(n_6684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682991 (
+	.A1(n_6159),
+	.A2(brqrv_top_brqrv_dec_tlu_micect[8]),
+	.B1(n_6021),
+	.B2(brqrv_top_brqrv_dec_tlu_dicawics[5]),
+	.Y(n_6683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682992 (
+	.A1(n_6011),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc6h[20]),
+	.B1(n_6014),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5h[20]),
+	.Y(n_6682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682993 (
+	.A1(n_6003),
+	.A2(n_34637),
+	.B1(n_6158),
+	.B2(brqrv_top_brqrv_dec_tlu_mscratch[27]),
+	.Y(n_6681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g682994 (
+	.A1(n_39144),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[102]),
+	.B1(n_39145),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[70]),
+	.X(n_6680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682995 (
+	.A1(n_6176),
+	.A2(brqrv_top_brqrv_dec_tlu_mepc[27]),
+	.B1(n_33175),
+	.B2(brqrv_top_brqrv_dec_tlu_mdseac[27]),
+	.Y(n_6679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682996 (
+	.A1(n_6176),
+	.A2(brqrv_top_brqrv_dec_tlu_mepc[8]),
+	.B1(n_6010),
+	.B2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[8]),
+	.Y(n_6678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682997 (
+	.A1(n_6022),
+	.A2(brqrv_top_brqrv_dec_tlu_mfdc_int[8]),
+	.B1(n_6163),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0[8]),
+	.Y(n_6677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g682998 (
+	.A1(n_6164),
+	.A2(brqrv_top_brqrv_dec_tlu_mtval[8]),
+	.B1(n_33175),
+	.B2(brqrv_top_brqrv_dec_tlu_mdseac[8]),
+	.Y(n_6676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g682999 (
+	.A1(n_39144),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[10]),
+	.B1(n_39145),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[7]),
+	.X(n_6675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683000 (
+	.A1(n_39146),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[45]),
+	.B1(n_6009),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[121]),
+	.Y(n_6674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683001 (
+	.A1(n_6165),
+	.A2(n_34638),
+	.B1(n_6164),
+	.B2(brqrv_top_brqrv_dec_tlu_mtval[27]),
+	.Y(n_6673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683002 (
+	.A1(n_6021),
+	.A2(brqrv_top_brqrv_dec_tlu_dicawics[14]),
+	.B1(n_33176),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0h[20]),
+	.Y(n_6672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g683003 (
+	.A1_N(n_31430),
+	.A2_N(n_31398),
+	.B1(n_31430),
+	.B2(n_31398),
+	.Y(n_6671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g683004 (
+	.A1_N(n_31434),
+	.A2_N(n_31297),
+	.B1(n_31434),
+	.B2(n_31297),
+	.Y(n_6670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g683005 (
+	.A1(n_6018),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualhi[3]),
+	.B1(n_6017),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualhi[2]),
+	.X(n_6669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683006 (
+	.A1(n_39144),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[11]),
+	.B1(n_39145),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[8]),
+	.Y(n_6668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683007 (
+	.A1(n_39144),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[9]),
+	.B1(n_39145),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[6]),
+	.Y(n_6667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683008 (
+	.A1(n_39140),
+	.A2(brqrv_top_brqrv_dec_tlu_mdccmect[7]),
+	.B1(n_39142),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc4[7]),
+	.Y(n_6666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683009 (
+	.A1(n_6160),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[0]),
+	.B1(n_6006),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[3]),
+	.Y(n_6665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683010 (
+	.A1(n_6158),
+	.A2(brqrv_top_brqrv_dec_tlu_mscratch[20]),
+	.B1(n_6177),
+	.B2(brqrv_top_brqrv_dec_tlu_mtvec[19]),
+	.Y(n_6664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g683011 (
+	.A1(n_39144),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[119]),
+	.B1(n_39145),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[87]),
+	.X(n_6663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g683012 (
+	.A1(n_39144),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[14]),
+	.B1(n_39145),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[10]),
+	.X(n_6662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683013 (
+	.A1(n_6159),
+	.A2(brqrv_top_brqrv_dec_tlu_micect[7]),
+	.B1(n_6021),
+	.B2(brqrv_top_brqrv_dec_tlu_dicawics[4]),
+	.Y(n_6661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g683014 (
+	.A1(n_39144),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[98]),
+	.B1(n_39145),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[66]),
+	.X(n_6660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683015 (
+	.A1(n_6164),
+	.A2(brqrv_top_brqrv_dec_tlu_mtval[20]),
+	.B1(n_6166),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[20]),
+	.Y(n_6659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g683016 (
+	.A1(n_6018),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[119]),
+	.B1(n_6017),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[87]),
+	.X(n_6658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683017 (
+	.A1(n_6015),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc3[26]),
+	.B1(n_6172),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc6[26]),
+	.Y(n_6657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g683018 (
+	.A1(n_39144),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[118]),
+	.B1(n_39145),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[86]),
+	.X(n_6656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683019 (
+	.A1(n_6176),
+	.A2(brqrv_top_brqrv_dec_tlu_mepc[7]),
+	.B1(n_33175),
+	.B2(brqrv_top_brqrv_dec_tlu_mdseac[7]),
+	.Y(n_6655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g683020 (
+	.A1(n_6018),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[118]),
+	.B1(n_6017),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[86]),
+	.X(n_6654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g683021 (
+	.A1(n_39144),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[117]),
+	.B1(n_39145),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[85]),
+	.X(n_6653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g683022 (
+	.A1(n_6018),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[117]),
+	.B1(n_6019),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[53]),
+	.X(n_6652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g683023 (
+	.A1(n_39144),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[116]),
+	.B1(n_39145),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[84]),
+	.X(n_6651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g683024 (
+	.A1(n_6018),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[116]),
+	.B1(n_6017),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[84]),
+	.X(n_6650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683025 (
+	.A1(n_6030),
+	.A2(brqrv_top_brqrv_dec_tlu_mie[1]),
+	.B1(n_6177),
+	.B2(brqrv_top_brqrv_dec_tlu_mtvec[6]),
+	.Y(n_6649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683026 (
+	.A1(n_39142),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc4[26]),
+	.B1(n_6169),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5[26]),
+	.Y(n_6648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683027 (
+	.A1(n_6159),
+	.A2(brqrv_top_brqrv_dec_tlu_micect[19]),
+	.B1(n_6172),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc6[19]),
+	.Y(n_6647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g683028 (
+	.A1(n_39144),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[115]),
+	.B1(n_39145),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[83]),
+	.X(n_6646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683029 (
+	.A1(n_6158),
+	.A2(brqrv_top_brqrv_dec_tlu_mscratch[7]),
+	.B1(n_6167),
+	.B2(brqrv_top_brqrv_dec_tlu_minstretl[7]),
+	.Y(n_6645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683030 (
+	.A1(n_6003),
+	.A2(n_34597),
+	.B1(n_6165),
+	.B2(n_34598),
+	.Y(n_6644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g683031 (
+	.A1(n_6018),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[115]),
+	.B1(n_6017),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[83]),
+	.X(n_6643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683032 (
+	.A1(n_6004),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc4h[19]),
+	.B1(n_6161),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc3h[19]),
+	.Y(n_6642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g683033 (
+	.A1(n_39144),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[114]),
+	.B1(n_39145),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[82]),
+	.X(n_6641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683034 (
+	.A1(n_39141),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[6]),
+	.B1(n_6175),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[82]),
+	.Y(n_6640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g683035 (
+	.A1(n_6017),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[82]),
+	.B1(n_6019),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[50]),
+	.X(n_6639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683036 (
+	.A1(n_6159),
+	.A2(brqrv_top_brqrv_dec_tlu_micect[6]),
+	.B1(n_6009),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[120]),
+	.Y(n_6638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g683037 (
+	.A1(n_39144),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[113]),
+	.B1(n_39145),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[81]),
+	.X(n_6637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683038 (
+	.A1(n_6162),
+	.A2(brqrv_top_brqrv_dec_tlu_miccmect[19]),
+	.B1(n_39140),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[19]),
+	.Y(n_6636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g683039 (
+	.A1(n_6017),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[81]),
+	.B1(n_6019),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[49]),
+	.X(n_6635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g683040 (
+	.A1(n_39144),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[112]),
+	.B1(n_39145),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[80]),
+	.X(n_6634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683041 (
+	.A1(n_6175),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[95]),
+	.B1(n_6009),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[133]),
+	.Y(n_6633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g683042 (
+	.A1(n_6017),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[80]),
+	.B1(n_6019),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[48]),
+	.X(n_6632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683043 (
+	.A1(n_39140),
+	.A2(brqrv_top_brqrv_dec_tlu_mdccmect[26]),
+	.B1(n_33176),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0h[26]),
+	.Y(n_6631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683044 (
+	.A1(n_39141),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[19]),
+	.B1(n_39146),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[57]),
+	.Y(n_6630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g683045 (
+	.A1(n_39144),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[111]),
+	.B1(n_39145),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[79]),
+	.X(n_6629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683046 (
+	.A1(n_6170),
+	.A2(n_34532),
+	.B1(n_6013),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[6]),
+	.Y(n_6628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g683047 (
+	.A1(n_39144),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[13]),
+	.B1(n_39145),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[9]),
+	.X(n_6627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683048 (
+	.A1(n_6015),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc3[19]),
+	.B1(n_39142),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc4[19]),
+	.Y(n_6626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g683049 (
+	.A1(n_6018),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[111]),
+	.B1(n_6017),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[79]),
+	.X(n_6625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g683050 (
+	.A1(n_39144),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[110]),
+	.B1(n_39145),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[78]),
+	.X(n_6624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683051 (
+	.A1(n_6015),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc3[6]),
+	.B1(n_39140),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[6]),
+	.Y(n_6623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g683052 (
+	.A1(n_6017),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[78]),
+	.B1(n_6019),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[46]),
+	.X(n_6622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g683053 (
+	.A1(n_39144),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[109]),
+	.B1(n_39145),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[77]),
+	.X(n_6621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683054 (
+	.A1(n_39143),
+	.A2(brqrv_top_brqrv_dec_tlu_dpc[19]),
+	.B1(n_6163),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0[19]),
+	.Y(n_6620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683055 (
+	.A1(n_6184),
+	.A2(brqrv_top_brqrv_dec_tlu_meihap[6]),
+	.B1(n_33175),
+	.B2(brqrv_top_brqrv_dec_tlu_mdseac[6]),
+	.Y(n_6619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g683056 (
+	.A1(n_6017),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[77]),
+	.B1(n_6019),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[45]),
+	.X(n_6618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g683057 (
+	.A1(n_39144),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[108]),
+	.B1(n_39145),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[76]),
+	.X(n_6617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683058 (
+	.A1(n_6003),
+	.A2(n_34635),
+	.B1(n_6177),
+	.B2(brqrv_top_brqrv_dec_tlu_mtvec[25]),
+	.Y(n_6616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g683059 (
+	.A1(n_6017),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[76]),
+	.B1(n_6019),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[44]),
+	.X(n_6615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g683060 (
+	.A1(n_39144),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[107]),
+	.B1(n_39145),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[75]),
+	.X(n_6614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683061 (
+	.A1(n_6015),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc3[29]),
+	.B1(n_6162),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[29]),
+	.Y(n_6613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g683062 (
+	.A1(n_6017),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[75]),
+	.B1(n_6019),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[43]),
+	.X(n_6612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683063 (
+	.A1(n_39143),
+	.A2(brqrv_top_brqrv_dec_tlu_dpc[12]),
+	.B1(n_6166),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[12]),
+	.Y(n_6611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683064 (
+	.A1(n_6158),
+	.A2(brqrv_top_brqrv_dec_tlu_mscratch[6]),
+	.B1(n_6167),
+	.B2(brqrv_top_brqrv_dec_tlu_minstretl[6]),
+	.Y(n_6610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g683065 (
+	.A1(n_6018),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[106]),
+	.B1(n_6017),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[74]),
+	.X(n_6609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683066 (
+	.A1(n_6003),
+	.A2(n_34595),
+	.B1(n_6165),
+	.B2(n_34596),
+	.Y(n_6608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683067 (
+	.A1(n_6165),
+	.A2(n_34636),
+	.B1(n_6166),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[26]),
+	.Y(n_6607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683068 (
+	.A1(n_6158),
+	.A2(brqrv_top_brqrv_dec_tlu_mscratch[19]),
+	.B1(n_6177),
+	.B2(brqrv_top_brqrv_dec_tlu_mtvec[18]),
+	.Y(n_6606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g683069 (
+	.A1(n_39144),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[105]),
+	.B1(n_39145),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[73]),
+	.X(n_6605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683070 (
+	.A1(n_6176),
+	.A2(brqrv_top_brqrv_dec_tlu_mepc[6]),
+	.B1(n_6166),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[6]),
+	.Y(n_6604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683071 (
+	.A1(n_6012),
+	.A2(brqrv_top_brqrv_dec_tlu_mcyclel[6]),
+	.B1(n_6177),
+	.B2(brqrv_top_brqrv_dec_tlu_mtvec[5]),
+	.Y(n_6603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g683072 (
+	.A1(n_6017),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[73]),
+	.B1(n_6019),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[41]),
+	.X(n_6602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g683073 (
+	.A1(n_39144),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[104]),
+	.B1(n_39145),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[72]),
+	.X(n_6601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g683074 (
+	.A1(n_6018),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[104]),
+	.B1(n_6017),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[72]),
+	.X(n_6600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683075 (
+	.A1(n_6164),
+	.A2(brqrv_top_brqrv_dec_tlu_mtval[19]),
+	.B1(n_6166),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[19]),
+	.Y(n_6599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683076 (
+	.A1(n_6013),
+	.A2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[5]),
+	.B1(n_6021),
+	.B2(brqrv_top_brqrv_dec_tlu_dicawics[2]),
+	.Y(n_6598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g683077 (
+	.A1(n_39144),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[103]),
+	.B1(n_39145),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[71]),
+	.X(n_6597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683078 (
+	.A1(n_6162),
+	.A2(brqrv_top_brqrv_dec_tlu_miccmect[5]),
+	.B1(n_39141),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[5]),
+	.Y(n_6596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g683079 (
+	.A1(n_6018),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[103]),
+	.B1(n_6017),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[71]),
+	.X(n_6595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g683080 (
+	.A1(n_6018),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[102]),
+	.B1(n_6019),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[38]),
+	.X(n_6594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683081 (
+	.A1(n_6172),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc6[18]),
+	.B1(n_33176),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0h[18]),
+	.Y(n_6593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683082 (
+	.A1(n_6015),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc3[5]),
+	.B1(n_39140),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[5]),
+	.Y(n_6592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g683083 (
+	.A1(n_39144),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[101]),
+	.B1(n_39145),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[69]),
+	.X(n_6591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g683084 (
+	.A1(n_6018),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[101]),
+	.B1(n_6017),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[69]),
+	.X(n_6590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683085 (
+	.A1(n_39142),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc4[18]),
+	.B1(n_6169),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5[18]),
+	.Y(n_6589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g683086 (
+	.A1(n_39144),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[100]),
+	.B1(n_39145),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[68]),
+	.X(n_6588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683087 (
+	.A1(n_39146),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[64]),
+	.B1(n_6009),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[140]),
+	.Y(n_6587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g683088 (
+	.A1(n_6017),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[68]),
+	.B1(n_6019),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[36]),
+	.X(n_6586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683089 (
+	.A1(n_39143),
+	.A2(brqrv_top_brqrv_dec_tlu_dpc[5]),
+	.B1(n_6184),
+	.B2(brqrv_top_brqrv_dec_tlu_meihap[5]),
+	.Y(n_6585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g683090 (
+	.A1(n_39144),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[99]),
+	.B1(n_39145),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[67]),
+	.X(n_6584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g683091 (
+	.A1(n_6018),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[99]),
+	.B1(n_6017),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[67]),
+	.X(n_6583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683092 (
+	.A1(n_6175),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[94]),
+	.B1(n_6163),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0[18]),
+	.Y(n_6582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683093 (
+	.A1(n_6015),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc3[18]),
+	.B1(n_6161),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc3h[18]),
+	.Y(n_6581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g683094 (
+	.A1(n_39144),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[98]),
+	.B1(n_39145),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[66]),
+	.X(n_6580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683095 (
+	.A1(n_6165),
+	.A2(n_34594),
+	.B1(n_6177),
+	.B2(brqrv_top_brqrv_dec_tlu_mtvec[4]),
+	.Y(n_6579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g683096 (
+	.A1(n_6017),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[66]),
+	.B1(n_6019),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[34]),
+	.X(n_6578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683097 (
+	.A1(n_6176),
+	.A2(brqrv_top_brqrv_dec_tlu_mepc[5]),
+	.B1(n_6010),
+	.B2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[5]),
+	.Y(n_6577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g683098 (
+	.A1(n_39144),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[97]),
+	.B1(n_39145),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[65]),
+	.X(n_6576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683099 (
+	.A1(n_6164),
+	.A2(brqrv_top_brqrv_dec_tlu_mtval[5]),
+	.B1(n_33175),
+	.B2(brqrv_top_brqrv_dec_tlu_mdseac[5]),
+	.Y(n_6575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g683100 (
+	.A1(n_6018),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[97]),
+	.B1(n_6017),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[65]),
+	.X(n_6574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g683101 (
+	.A1(n_39144),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[96]),
+	.B1(n_39145),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[64]),
+	.X(n_6573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g683102 (
+	.A1(n_6017),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[64]),
+	.B1(n_6019),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[32]),
+	.X(n_6572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g683103 (
+	.A1(n_39144),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[12]),
+	.B1(n_39145),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[8]),
+	.X(n_6571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683104 (
+	.A1(n_6175),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[105]),
+	.B1(n_6009),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[143]),
+	.Y(n_6570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683105 (
+	.A1(n_6011),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc6h[3]),
+	.B1(n_6014),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5h[3]),
+	.Y(n_6569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683106 (
+	.A1(n_39140),
+	.A2(brqrv_top_brqrv_dec_tlu_mdccmect[4]),
+	.B1(n_39142),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc4[4]),
+	.Y(n_6568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683107 (
+	.A1(n_6015),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc3[25]),
+	.B1(n_6162),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[25]),
+	.Y(n_6567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683108 (
+	.A1(n_6003),
+	.A2(n_34619),
+	.B1(n_31705),
+	.B2(brqrv_top_brqrv_dec_tlu_meihap[18]),
+	.Y(n_6566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683109 (
+	.A1(n_6012),
+	.A2(brqrv_top_brqrv_dec_tlu_mcyclel[18]),
+	.B1(n_6165),
+	.B2(n_34620),
+	.Y(n_6565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683110 (
+	.A1(n_6166),
+	.A2(brqrv_top_brqrv_dec_tlu_mcause[4]),
+	.B1(n_6010),
+	.B2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[4]),
+	.Y(n_6564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683111 (
+	.A1(n_39141),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[25]),
+	.B1(n_39146),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[63]),
+	.Y(n_6563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683112 (
+	.A1(n_6170),
+	.A2(n_34526),
+	.B1(n_6013),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[3]),
+	.Y(n_6562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683113 (
+	.A1(n_39142),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc4[17]),
+	.B1(n_33176),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0h[17]),
+	.Y(n_6561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g683114 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mcountinhibit[3]),
+	.A2_N(n_6029),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[3]),
+	.B2(n_30486),
+	.Y(n_6560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683115 (
+	.A1(n_39146),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[41]),
+	.B1(n_6175),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[79]),
+	.Y(n_6559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683116 (
+	.A1(n_6175),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[93]),
+	.B1(n_6163),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0[17]),
+	.Y(n_6558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683117 (
+	.A1(n_39143),
+	.A2(brqrv_top_brqrv_dec_tlu_dpc[17]),
+	.B1(n_6164),
+	.B2(brqrv_top_brqrv_dec_tlu_mtval[17]),
+	.Y(n_6557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683118 (
+	.A1(n_39143),
+	.A2(brqrv_top_brqrv_dec_tlu_dpc[3]),
+	.B1(n_6022),
+	.B2(brqrv_top_brqrv_dec_tlu_bpred_disable),
+	.Y(n_6556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683119 (
+	.A1(n_6170),
+	.A2(n_34554),
+	.B1(n_6011),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc6h[17]),
+	.Y(n_6555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683120 (
+	.A1(n_6164),
+	.A2(brqrv_top_brqrv_dec_tlu_mtval[3]),
+	.B1(n_6010),
+	.B2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[3]),
+	.Y(n_6554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683121 (
+	.A1(n_6030),
+	.A2(brqrv_top_brqrv_dec_tlu_mie[0]),
+	.B1(n_6177),
+	.B2(brqrv_top_brqrv_dec_tlu_mtvec[2]),
+	.Y(n_6553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683122 (
+	.A1(n_6176),
+	.A2(brqrv_top_brqrv_dec_tlu_mepc[3]),
+	.B1(n_6166),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[3]),
+	.Y(n_6552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683123 (
+	.A1(n_6003),
+	.A2(n_34589),
+	.B1(n_6167),
+	.B2(brqrv_top_brqrv_dec_tlu_minstretl[3]),
+	.Y(n_6551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683124 (
+	.A1(n_6158),
+	.A2(brqrv_top_brqrv_dec_tlu_mscratch[17]),
+	.B1(n_6165),
+	.B2(n_34618),
+	.Y(n_6550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683125 (
+	.A1(n_39143),
+	.A2(brqrv_top_brqrv_dec_tlu_dpc[29]),
+	.B1(n_6176),
+	.B2(brqrv_top_brqrv_dec_tlu_mepc[29]),
+	.Y(n_6549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683126 (
+	.A1(n_6011),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc6h[25]),
+	.B1(n_6002),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[25]),
+	.Y(n_6548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g683127 (
+	.A1(n_6176),
+	.A2(brqrv_top_brqrv_dec_tlu_mepc[17]),
+	.B1(n_6166),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[17]),
+	.X(n_6547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683128 (
+	.A1(n_39142),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc4[2]),
+	.B1(n_6161),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc3h[2]),
+	.Y(n_6546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683129 (
+	.A1(n_6003),
+	.A2(n_34633),
+	.B1(n_6158),
+	.B2(brqrv_top_brqrv_dec_tlu_mscratch[25]),
+	.Y(n_6545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g683130 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mfdc_int[14]),
+	.A2_N(n_32544),
+	.B1(brqrv_top_brqrv_dec_tlu_mdseac[17]),
+	.B2(n_33175),
+	.Y(n_6544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683131 (
+	.A1(n_6004),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc4h[16]),
+	.B1(n_6172),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc6[16]),
+	.Y(n_6543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683132 (
+	.A1(n_39140),
+	.A2(brqrv_top_brqrv_dec_tlu_mdccmect[16]),
+	.B1(n_6169),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5[16]),
+	.Y(n_6542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683133 (
+	.A1(n_6176),
+	.A2(brqrv_top_brqrv_dec_tlu_mepc[25]),
+	.B1(n_33175),
+	.B2(brqrv_top_brqrv_dec_tlu_mdseac[25]),
+	.Y(n_6541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683134 (
+	.A1(n_6164),
+	.A2(brqrv_top_brqrv_dec_tlu_mtval[29]),
+	.B1(n_6166),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[29]),
+	.Y(n_6540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683135 (
+	.A1(n_6015),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc3[16]),
+	.B1(n_39142),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc4[16]),
+	.Y(n_6539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683136 (
+	.A1(n_6165),
+	.A2(n_34634),
+	.B1(n_6164),
+	.B2(brqrv_top_brqrv_dec_tlu_mtval[25]),
+	.Y(n_6538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683137 (
+	.A1(n_39141),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[16]),
+	.B1(n_6009),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[130]),
+	.Y(n_6537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683138 (
+	.A1(n_39146),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[42]),
+	.B1(n_6009),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[118]),
+	.Y(n_6536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683139 (
+	.A1(n_39143),
+	.A2(brqrv_top_brqrv_dec_tlu_dpc[2]),
+	.B1(n_6023),
+	.B2(brqrv_top_brqrv_dec_tlu_dcsr[2]),
+	.Y(n_6535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683140 (
+	.A1(n_6162),
+	.A2(brqrv_top_brqrv_dec_tlu_miccmect[16]),
+	.B1(n_6021),
+	.B2(brqrv_top_brqrv_dec_tlu_dicawics[13]),
+	.Y(n_6534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683141 (
+	.A1(n_6166),
+	.A2(brqrv_top_brqrv_dec_tlu_mcause[2]),
+	.B1(n_6177),
+	.B2(brqrv_top_brqrv_dec_tlu_mtvec[1]),
+	.Y(n_6533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683142 (
+	.A1(n_6164),
+	.A2(brqrv_top_brqrv_dec_tlu_mtval[16]),
+	.B1(n_6010),
+	.B2(brqrv_top_brqrv_dec_tlu_mrac_ff_0[16]),
+	.Y(n_6532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g683143 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mfdc_int[13]),
+	.A2_N(n_32544),
+	.B1(brqrv_top_brqrv_dec_tlu_dicad0[16]),
+	.B2(n_6163),
+	.Y(n_6531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683144 (
+	.A1(n_6012),
+	.A2(brqrv_top_brqrv_dec_tlu_mcyclel[16]),
+	.B1(n_6177),
+	.B2(brqrv_top_brqrv_dec_tlu_mtvec[15]),
+	.Y(n_6530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683145 (
+	.A1(n_6011),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc6h[1]),
+	.B1(n_39142),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc4[1]),
+	.Y(n_6529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683146 (
+	.A1(n_6158),
+	.A2(brqrv_top_brqrv_dec_tlu_mscratch[16]),
+	.B1(n_6165),
+	.B2(n_34616),
+	.Y(n_6528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683147 (
+	.A1(n_6015),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc3[1]),
+	.B1(n_6162),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[1]),
+	.Y(n_6527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683148 (
+	.A1(n_6176),
+	.A2(brqrv_top_brqrv_dec_tlu_mepc[16]),
+	.B1(n_6166),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[16]),
+	.Y(n_6526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683149 (
+	.A1(n_6012),
+	.A2(brqrv_top_brqrv_dec_tlu_mcyclel[29]),
+	.B1(n_6177),
+	.B2(brqrv_top_brqrv_dec_tlu_mtvec[28]),
+	.Y(n_6525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683150 (
+	.A1(n_6003),
+	.A2(n_34615),
+	.B1(n_6167),
+	.B2(brqrv_top_brqrv_dec_tlu_minstretl[16]),
+	.Y(n_6524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683151 (
+	.A1(n_39140),
+	.A2(brqrv_top_brqrv_dec_tlu_mdccmect[15]),
+	.B1(n_6172),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc6[15]),
+	.Y(n_6523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683152 (
+	.A1(n_6015),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc3[15]),
+	.B1(n_39142),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc4[15]),
+	.Y(n_6522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683153 (
+	.A1(n_6011),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc6h[24]),
+	.B1(n_39140),
+	.B2(brqrv_top_brqrv_dec_tlu_mdccmect[24]),
+	.Y(n_6521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683154 (
+	.A1(n_6167),
+	.A2(brqrv_top_brqrv_dec_tlu_minstretl[1]),
+	.B1(n_6165),
+	.B2(n_34586),
+	.Y(n_6520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683155 (
+	.A1(n_6158),
+	.A2(brqrv_top_brqrv_dec_tlu_mscratch[29]),
+	.B1(n_6167),
+	.B2(brqrv_top_brqrv_dec_tlu_minstretl[29]),
+	.Y(n_6519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683156 (
+	.A1(n_6176),
+	.A2(brqrv_top_brqrv_dec_tlu_mepc[1]),
+	.B1(n_6166),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[1]),
+	.Y(n_6518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683157 (
+	.A1(n_6012),
+	.A2(brqrv_top_brqrv_dec_tlu_mcyclel[1]),
+	.B1(n_6158),
+	.B2(brqrv_top_brqrv_dec_tlu_mscratch[1]),
+	.Y(n_6517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683158 (
+	.A1(n_6003),
+	.A2(n_34641),
+	.B1(n_6030),
+	.B2(brqrv_top_brqrv_dec_tlu_mie[4]),
+	.Y(n_6516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683159 (
+	.A1(n_6021),
+	.A2(brqrv_top_brqrv_dec_tlu_ic_diag_pkt[18]),
+	.B1(n_33176),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0h[24]),
+	.Y(n_6515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683160 (
+	.A1(n_6159),
+	.A2(brqrv_top_brqrv_dec_tlu_micect[15]),
+	.B1(n_39146),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[53]),
+	.Y(n_6514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683161 (
+	.A1(n_6023),
+	.A2(brqrv_top_brqrv_dec_tlu_dcsr[15]),
+	.B1(n_6021),
+	.B2(brqrv_top_brqrv_dec_tlu_dicawics[12]),
+	.Y(n_6513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683162 (
+	.A1(n_6015),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc3[31]),
+	.B1(n_6172),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc6[31]),
+	.Y(n_6512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683163 (
+	.A1(n_39141),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[24]),
+	.B1(n_39146),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[62]),
+	.Y(n_6511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683164 (
+	.A1(n_6015),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc3[0]),
+	.B1(n_6162),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[0]),
+	.Y(n_6510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683165 (
+	.A1(n_6176),
+	.A2(brqrv_top_brqrv_dec_tlu_mepc[15]),
+	.B1(n_6166),
+	.B2(brqrv_top_brqrv_dec_tlu_mcause[15]),
+	.Y(n_6509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683166 (
+	.A1(n_6163),
+	.A2(brqrv_top_brqrv_dec_tlu_dicad0[0]),
+	.B1(n_33176),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0h[0]),
+	.Y(n_6508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683167 (
+	.A1(n_6158),
+	.A2(brqrv_top_brqrv_dec_tlu_mscratch[15]),
+	.B1(n_6167),
+	.B2(brqrv_top_brqrv_dec_tlu_minstretl[15]),
+	.Y(n_6507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683168 (
+	.A1(n_6012),
+	.A2(brqrv_top_brqrv_dec_tlu_mcyclel[15]),
+	.B1(n_6177),
+	.B2(brqrv_top_brqrv_dec_tlu_mtvec[14]),
+	.Y(n_6506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683169 (
+	.A1(n_6003),
+	.A2(n_34613),
+	.B1(n_6165),
+	.B2(n_34614),
+	.Y(n_6505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683170 (
+	.A1(n_39140),
+	.A2(brqrv_top_brqrv_dec_tlu_mdccmect[28]),
+	.B1(n_39142),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc4[28]),
+	.Y(n_6504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683171 (
+	.A1(n_6167),
+	.A2(brqrv_top_brqrv_dec_tlu_minstretl[0]),
+	.B1(n_6177),
+	.B2(brqrv_top_brqrv_dec_tlu_mtvec[0]),
+	.Y(n_6503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683172 (
+	.A1(n_39140),
+	.A2(brqrv_top_brqrv_dec_tlu_mdccmect[14]),
+	.B1(n_39142),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc4[14]),
+	.Y(n_6502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683173 (
+	.A1(n_6176),
+	.A2(brqrv_top_brqrv_dec_tlu_mepc[24]),
+	.B1(n_33175),
+	.B2(brqrv_top_brqrv_dec_tlu_mdseac[24]),
+	.Y(n_6501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683174 (
+	.A1(n_39142),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc4[31]),
+	.B1(n_6169),
+	.B2(brqrv_top_brqrv_dec_tlu_mhpmc5[31]),
+	.Y(n_6500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683175 (
+	.A1(n_6165),
+	.A2(n_34632),
+	.B1(n_6164),
+	.B2(brqrv_top_brqrv_dec_tlu_mtval[24]),
+	.Y(n_6499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683176 (
+	.A1(n_6014),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc5h[14]),
+	.B1(n_6013),
+	.B2(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[14]),
+	.Y(n_6498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g683177 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[14]),
+	.A2_N(n_6002),
+	.B1(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[14]),
+	.B2(n_30484),
+	.Y(n_6497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683178 (
+	.A1(n_6159),
+	.A2(brqrv_top_brqrv_dec_tlu_micect[14]),
+	.B1(n_6162),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[14]),
+	.Y(n_6496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683179 (
+	.A1(n_39146),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[66]),
+	.B1(n_6175),
+	.B2(brqrv_top_brqrv_trigger_pkt_any[104]),
+	.Y(n_6495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683180 (
+	.A1(n_6163),
+	.A2(brqrv_top_brqrv_dec_tlu_dicad0[14]),
+	.B1(n_33176),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0h[14]),
+	.Y(n_6494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683181 (
+	.A1(n_6015),
+	.A2(brqrv_top_brqrv_dec_tlu_mhpmc3[23]),
+	.B1(n_6162),
+	.B2(brqrv_top_brqrv_dec_tlu_miccmect[23]),
+	.Y(n_6493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683182 (
+	.A1(n_39143),
+	.A2(brqrv_top_brqrv_dec_tlu_dpc[14]),
+	.B1(n_31705),
+	.B2(brqrv_top_brqrv_dec_tlu_meihap[14]),
+	.Y(n_6492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683183 (
+	.A1(n_6003),
+	.A2(n_34611),
+	.B1(n_6165),
+	.B2(n_34612),
+	.Y(n_6491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683184 (
+	.A1(n_39143),
+	.A2(brqrv_top_brqrv_dec_tlu_dpc[4]),
+	.B1(n_6184),
+	.B2(brqrv_top_brqrv_dec_tlu_meihap[4]),
+	.Y(n_6490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683185 (
+	.A1(n_6012),
+	.A2(brqrv_top_brqrv_dec_tlu_mcyclel[14]),
+	.B1(n_6177),
+	.B2(brqrv_top_brqrv_dec_tlu_mtvec[13]),
+	.Y(n_6489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g683186 (
+	.A_N(n_31401),
+	.B(n_31400),
+	.C(n_31397),
+	.D(n_31399),
+	.Y(n_6488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g683187 (
+	.A1(n_6164),
+	.A2(brqrv_top_brqrv_dec_tlu_mtval[12]),
+	.B1(n_33176),
+	.B2(brqrv_top_brqrv_dec_tlu_dicad0h[12]),
+	.Y(n_6487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g683188 (
+	.A1_N(n_31940),
+	.A2_N(n_31849),
+	.B1(n_31940),
+	.B2(n_31849),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ldst_samedw_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g683189 (
+	.A_N(brqrv_top_dmi_reg_addr[6]),
+	.B(brqrv_top_dmi_reg_addr[4]),
+	.C(brqrv_top_dmi_reg_addr[3]),
+	.D(brqrv_top_dmi_reg_addr[5]),
+	.Y(n_31520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g683190 (
+	.A0(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error[2]),
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error[6]),
+	.S(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[5]),
+	.Y(n_6736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g683192 (
+	.A(n_6483),
+	.Y(n_33224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g683193 (
+	.A(n_6482),
+	.Y(n_33222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g683194 (
+	.A(n_6481),
+	.Y(n_33218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g683195 (
+	.A(n_6479),
+	.Y(n_33212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g683196 (
+	.A(n_6478),
+	.Y(n_33226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683197 (
+	.A(n_6475),
+	.Y(n_6474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g683198 (
+	.A(n_6472),
+	.Y(n_6471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g683199 (
+	.A(n_1),
+	.Y(n_31299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683200 (
+	.A(n_6004),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4h[21]),
+	.Y(n_6469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683201 (
+	.A(n_6011),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc6h[28]),
+	.Y(n_6468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683202 (
+	.A(n_39146),
+	.B(brqrv_top_brqrv_trigger_pkt_any[52]),
+	.Y(n_6467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683203 (
+	.A(brqrv_top_brqrv_dec_tlu_miccmect[30]),
+	.B(brqrv_top_brqrv_dec_tlu_miccmect[29]),
+	.Y(n_6466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g683204 (
+	.A(brqrv_top_brqrv_ifu_aln_first2B),
+	.B_N(brqrv_top_brqrv_dec_i0_predict_p_d[46]),
+	.Y(brqrv_top_brqrv_dec_decode_i0_inst_d[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g683205 (
+	.A(brqrv_top_brqrv_dma_ctrl_n_3254),
+	.B(n_33474),
+	.Y(n_34895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683206 (
+	.A(n_6163),
+	.B(brqrv_top_brqrv_dec_tlu_dicad0[13]),
+	.Y(n_6465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683207 (
+	.A(n_6165),
+	.B(n_34624),
+	.Y(n_6464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683208 (
+	.A(n_6161),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc3h[31]),
+	.Y(n_6463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683209 (
+	.A(n_6014),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc5h[23]),
+	.Y(n_6462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683210 (
+	.A(n_39146),
+	.B(brqrv_top_brqrv_trigger_pkt_any[44]),
+	.Y(n_6461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g683211 (
+	.A(brqrv_top_brqrv_dma_ctrl_n_3251),
+	.B(n_33474),
+	.Y(n_34894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683212 (
+	.A(n_39140),
+	.B(brqrv_top_brqrv_dec_tlu_mdccmect[25]),
+	.Y(n_6460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683213 (
+	.A(n_39140),
+	.B(brqrv_top_brqrv_dec_tlu_mdccmect[18]),
+	.Y(n_6459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g683214 (
+	.A(n_33474),
+	.B_N(brqrv_top_brqrv_dbg_data1_reg[16]),
+	.Y(n_34901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g683215 (
+	.A(n_30519),
+	.B_N(brqrv_top_brqrv_dec_decode_i0_icaf_d),
+	.Y(brqrv_top_brqrv_dec_decode_d_t[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683217 (
+	.A(n_6175),
+	.B(brqrv_top_brqrv_trigger_pkt_any[107]),
+	.Y(n_6457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g683218 (
+	.A(brqrv_top_brqrv_ifu_aln_n_2316),
+	.B_N(brqrv_top_brqrv_ifu_aln_misc2[51]),
+	.Y(n_6456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683219 (
+	.A(n_6165),
+	.B(n_34630),
+	.Y(n_6455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683220 (
+	.A(n_6166),
+	.B(brqrv_top_brqrv_dec_tlu_mcause[28]),
+	.Y(n_6454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683221 (
+	.A(n_6010),
+	.B(brqrv_top_brqrv_dec_tlu_mrac_ff_0[23]),
+	.Y(n_6453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683222 (
+	.A(n_6163),
+	.B(brqrv_top_brqrv_dec_tlu_dicad0[20]),
+	.Y(n_6452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683225 (
+	.A(n_39140),
+	.B(brqrv_top_brqrv_dec_tlu_mdccmect[22]),
+	.Y(n_6451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683226 (
+	.A(n_6022),
+	.B(brqrv_top_brqrv_dec_tlu_mfdc_int[12]),
+	.Y(n_6450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g683227 (
+	.A(n_32791),
+	.B(n_30519),
+	.Y(brqrv_top_brqrv_dec_decode_csr_write_d), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683228 (
+	.A(n_6158),
+	.B(brqrv_top_brqrv_dec_tlu_mscratch[12]),
+	.Y(n_6449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g683229 (
+	.A(n_5321),
+	.B(brqrv_top_brqrv_dbg_data1_reg[29]),
+	.X(n_34914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683230 (
+	.A(n_6161),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc3h[11]),
+	.Y(n_6448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683231 (
+	.A(n_6013),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[11]),
+	.Y(n_6447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g683232 (
+	.A(n_5321),
+	.B(brqrv_top_brqrv_dbg_data1_reg[30]),
+	.X(n_34915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683233 (
+	.A(n_6009),
+	.B(brqrv_top_brqrv_trigger_pkt_any[117]),
+	.Y(n_6446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g683234 (
+	.A(n_5321),
+	.B(brqrv_top_brqrv_dbg_data1_reg[28]),
+	.X(n_34913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g683235 (
+	.A(n_33474),
+	.B_N(brqrv_top_brqrv_dbg_data1_reg[27]),
+	.Y(n_34912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683236 (
+	.A(n_6014),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc5h[22]),
+	.Y(n_6445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683237 (
+	.A(n_6023),
+	.B(brqrv_top_brqrv_dec_tlu_dcsr[11]),
+	.Y(n_6444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683238 (
+	.A(n_33176),
+	.B(brqrv_top_brqrv_dec_tlu_dicad0h[11]),
+	.Y(n_6443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683239 (
+	.A(n_6014),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc5h[1]),
+	.Y(n_6442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g683240 (
+	.A(n_33474),
+	.B_N(brqrv_top_brqrv_dbg_data1_reg[26]),
+	.Y(n_34911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683241 (
+	.A(n_6165),
+	.B(n_34628),
+	.Y(n_6441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683242 (
+	.A(n_6165),
+	.B(n_34606),
+	.Y(n_6440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683243 (
+	.A(n_6010),
+	.B(brqrv_top_brqrv_dec_tlu_mrac_ff_0[22]),
+	.Y(n_6439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g683244 (
+	.A(n_33474),
+	.B_N(brqrv_top_brqrv_dbg_data1_reg[25]),
+	.Y(n_34910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683245 (
+	.A(n_6161),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc3h[26]),
+	.Y(n_6438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683246 (
+	.A(n_6172),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc6[7]),
+	.Y(n_6437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683247 (
+	.A(n_6002),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[21]),
+	.Y(n_6436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g683248 (
+	.A(n_33474),
+	.B_N(brqrv_top_brqrv_dbg_data1_reg[24]),
+	.Y(n_34909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683249 (
+	.A(n_6177),
+	.B(brqrv_top_brqrv_dec_tlu_mtvec[30]),
+	.Y(n_6435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683250 (
+	.A(n_6159),
+	.B(brqrv_top_brqrv_dec_tlu_micect[2]),
+	.Y(n_6434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683251 (
+	.A(n_6166),
+	.B(brqrv_top_brqrv_dec_tlu_mcause[7]),
+	.Y(n_6433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g683252 (
+	.A(n_33474),
+	.B_N(brqrv_top_brqrv_dbg_data1_reg[23]),
+	.Y(n_34908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683253 (
+	.A(n_6177),
+	.B(brqrv_top_brqrv_dec_tlu_mtvec[26]),
+	.Y(n_6432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g683254 (
+	.A(n_29925),
+	.B(n_32653),
+	.Y(n_6431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683255 (
+	.A(n_6011),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc6h[31]),
+	.Y(n_6430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g683256 (
+	.A(n_33474),
+	.B_N(brqrv_top_brqrv_dbg_data1_reg[22]),
+	.Y(n_34907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g683257 (
+	.A(n_33474),
+	.B_N(brqrv_top_brqrv_dbg_data1_reg[21]),
+	.Y(n_34906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g683258 (
+	.A(n_33474),
+	.B_N(brqrv_top_brqrv_dbg_data1_reg[20]),
+	.Y(n_34905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683259 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_miss_pending),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_ifu_bus_arvalid_ff),
+	.Y(n_32351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683260 (
+	.A(n_6004),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4h[9]),
+	.Y(n_6429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g683261 (
+	.A(n_33474),
+	.B_N(brqrv_top_brqrv_dbg_data1_reg[19]),
+	.Y(n_34904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683262 (
+	.A(n_6022),
+	.B(brqrv_top_brqrv_dec_tlu_mfdc_int[9]),
+	.Y(n_6428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683263 (
+	.A(n_6004),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4h[20]),
+	.Y(n_6427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g683264 (
+	.A(n_33474),
+	.B_N(brqrv_top_brqrv_dbg_data1_reg[18]),
+	.Y(n_34903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683265 (
+	.A(n_6002),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[20]),
+	.Y(n_6426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g683266 (
+	.A(n_33474),
+	.B_N(brqrv_top_brqrv_dbg_data1_reg[17]),
+	.Y(n_34902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683267 (
+	.A(n_39146),
+	.B(brqrv_top_brqrv_trigger_pkt_any[46]),
+	.Y(n_6425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683268 (
+	.A(n_6010),
+	.B(brqrv_top_brqrv_dec_tlu_mrac_ff_0[20]),
+	.Y(n_6424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683269 (
+	.A(n_6172),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc6[8]),
+	.Y(n_6423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g683270 (
+	.A_N(brqrv_top_brqrv_exu_n_917),
+	.B(n_31615),
+	.Y(n_32365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683271 (
+	.A(n_6014),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc5h[27]),
+	.Y(n_6422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683272 (
+	.A(n_6004),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4h[3]),
+	.Y(n_6421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683273 (
+	.A(n_6010),
+	.B(brqrv_top_brqrv_dec_tlu_mrac_ff_0[19]),
+	.Y(n_6420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g683274 (
+	.A(brqrv_top_brqrv_ifu_aln_first2B),
+	.B_N(brqrv_top_brqrv_dec_dec_i0_instr_d[21]),
+	.Y(brqrv_top_brqrv_dec_decode_i0_inst_d[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g683275 (
+	.A(brqrv_top_brqrv_ifu_aln_first2B),
+	.B_N(brqrv_top_brqrv_dec_dec_i0_instr_d[22]),
+	.Y(brqrv_top_brqrv_dec_decode_i0_inst_d[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683276 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[7]),
+	.B(brqrv_top_brqrv_ifu_i0_pc4),
+	.Y(n_6419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683277 (
+	.A(n_6002),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[8]),
+	.Y(n_6418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683278 (
+	.A(n_33495),
+	.B(n_32706),
+	.Y(n_31073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683279 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel[5]),
+	.B(brqrv_top_brqrv_dec_tlu_mcyclel[4]),
+	.Y(n_32819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683280 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[5]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[4]),
+	.Y(n_32823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683281 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[1]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[0]),
+	.Y(n_31585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683282 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[5]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[4]),
+	.Y(n_32825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683283 (
+	.A(brqrv_top_brqrv_dec_tlu_minstretl[5]),
+	.B(brqrv_top_brqrv_dec_tlu_minstretl[4]),
+	.Y(n_32821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g683284 (
+	.A_N(brqrv_top_brqrv_dbg_sbcs_reg_int[19]),
+	.B(brqrv_top_brqrv_dbg_sbcs_reg_int[18]),
+	.Y(n_6486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683285 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel[1]),
+	.B(brqrv_top_brqrv_dec_tlu_mcyclel[0]),
+	.Y(n_29907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683286 (
+	.A(brqrv_top_brqrv_dbg_cmd_size[1]),
+	.B(brqrv_top_brqrv_dbg_cmd_size[0]),
+	.Y(brqrv_top_brqrv_dbg_n_4265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g683287 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[2]),
+	.B(n_33354),
+	.Y(n_6485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g683288 (
+	.A_N(brqrv_top_dmi_reg_addr[1]),
+	.B(brqrv_top_dmi_reg_addr[2]),
+	.Y(n_31516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g683289 (
+	.A_N(n_31834),
+	.B(n_32638),
+	.Y(n_6484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g683290 (
+	.A(brqrv_top_brqrv_dec_tlu_n_398),
+	.B(n_30300),
+	.X(n_31482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683291 (
+	.A(brqrv_top_brqrv_dec_tlu_minstretl[1]),
+	.B(brqrv_top_brqrv_dec_tlu_minstretl[0]),
+	.Y(n_29906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683292 (
+	.A(n_30617),
+	.B(n_6026),
+	.Y(n_6483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683293 (
+	.A(n_30608),
+	.B(n_6026),
+	.Y(n_6482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g683294 (
+	.A(n_30831),
+	.B_N(n_30616),
+	.Y(n_33257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683295 (
+	.A(n_30666),
+	.B(n_6026),
+	.Y(n_6481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g683296 (
+	.A(n_30831),
+	.B_N(n_30826),
+	.Y(n_33245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g683297 (
+	.A(brqrv_top_brqrv_exu_i0_rs2_d[1]),
+	.B(brqrv_top_brqrv_exu_i0_rs2_d[0]),
+	.Y(n_6480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683298 (
+	.A(n_30838),
+	.B(n_6026),
+	.Y(n_6479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g683299 (
+	.A(n_29925),
+	.B(n_31047),
+	.X(n_31478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g683300 (
+	.A(n_30831),
+	.B_N(n_30674),
+	.Y(n_33249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g683301 (
+	.A(n_30831),
+	.B_N(n_30596),
+	.Y(n_33253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g683302 (
+	.A(n_30831),
+	.B_N(n_30659),
+	.Y(n_33259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683303 (
+	.A(n_30660),
+	.B(n_6026),
+	.Y(n_6478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683304 (
+	.A(n_31408),
+	.B(n_31402),
+	.Y(n_31298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683305 (
+	.A(brqrv_top_brqrv_dec_tlu_mdccmect[29]),
+	.B(brqrv_top_brqrv_dec_tlu_mdccmect[28]),
+	.Y(n_6477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g683306 (
+	.A_N(n_31870),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_r[9]),
+	.Y(n_31292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g683307 (
+	.A(brqrv_top_brqrv_dec_decode_r_d[19]),
+	.B(n_29916),
+	.X(brqrv_top_brqrv_dec_tlu_n_473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g683308 (
+	.A(n_32790),
+	.B(n_32573),
+	.Y(n_6476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g683309 (
+	.A(brqrv_top_brqrv_dec_tlu_mdccmect[28]),
+	.B(brqrv_top_brqrv_dec_tlu_mdccmect[29]),
+	.Y(n_6475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g683310 (
+	.A(n_32579),
+	.B(n_32567),
+	.Y(n_6473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g683311 (
+	.A(n_6028),
+	.B(n_32546),
+	.Y(n_6472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g683312 (
+	.A(n_32579),
+	.B(n_32785),
+	.X(n_30479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g683313 (
+	.A(n_31868),
+	.B(n_31408),
+	.Y(n_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g683314 (
+	.A(n_6412),
+	.Y(n_33220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g683315 (
+	.A(n_6411),
+	.Y(n_33216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g683316 (
+	.A(n_6409),
+	.Y(n_33214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683317 (
+	.A(n_6405),
+	.Y(n_6406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683318 (
+	.A(n_6401),
+	.Y(n_6402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g683319 (
+	.A(n_6400),
+	.Y(brqrv_top_brqrv_dec_tlu_exc_or_int_valid_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683320 (
+	.A(n_6397),
+	.Y(n_6396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683321 (
+	.A(n_6395),
+	.Y(n_6394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g683322 (
+	.A(n_32449),
+	.Y(n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683323 (
+	.A(n_31705),
+	.B(brqrv_top_brqrv_dec_tlu_meihap[26]),
+	.Y(n_6391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g683324 (
+	.A_N(n_31601),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error[4]),
+	.Y(n_6390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683325 (
+	.A(n_31705),
+	.B(brqrv_top_brqrv_dec_tlu_meihap[31]),
+	.Y(n_6389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g683326 (
+	.A(brqrv_top_brqrv_ifu_aln_first2B),
+	.B_N(brqrv_top_brqrv_dec_dec_i0_instr_d[19]),
+	.Y(brqrv_top_brqrv_dec_decode_i0_inst_d[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683327 (
+	.A(n_39140),
+	.B(brqrv_top_brqrv_dec_tlu_mdccmect[23]),
+	.Y(n_6388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g683328 (
+	.A(brqrv_top_brqrv_ifu_aln_first2B),
+	.B_N(brqrv_top_brqrv_dec_dec_i0_instr_d[24]),
+	.Y(brqrv_top_brqrv_dec_decode_i0_inst_d[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683329 (
+	.A(n_33175),
+	.B(brqrv_top_brqrv_dec_tlu_mdseac[14]),
+	.Y(n_6387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g683330 (
+	.A(brqrv_top_brqrv_ifu_aln_first2B),
+	.B_N(brqrv_top_brqrv_dec_dec_i0_instr_d[23]),
+	.Y(brqrv_top_brqrv_dec_decode_i0_inst_d[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683331 (
+	.A(n_6014),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc5h[19]),
+	.Y(n_6386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g683332 (
+	.A(brqrv_top_brqrv_ifu_aln_first2B),
+	.B_N(brqrv_top_brqrv_dec_i0_predict_p_d[45]),
+	.Y(brqrv_top_brqrv_dec_decode_i0_inst_d[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683333 (
+	.A(n_6159),
+	.B(brqrv_top_brqrv_dec_tlu_micect[5]),
+	.Y(n_6385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683334 (
+	.A(n_39143),
+	.B(brqrv_top_brqrv_dec_tlu_dpc[6]),
+	.Y(n_6384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683335 (
+	.A(n_6164),
+	.B(brqrv_top_brqrv_dec_tlu_mtval[26]),
+	.Y(n_6383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g683336 (
+	.A_N(n_30166),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [68]),
+	.Y(n_6382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683337 (
+	.A(n_6165),
+	.B(n_34622),
+	.Y(n_6381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683338 (
+	.A(n_39142),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4[29]),
+	.Y(n_6380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683339 (
+	.A(n_6010),
+	.B(brqrv_top_brqrv_dec_tlu_mrac_ff_0[26]),
+	.Y(n_6379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683340 (
+	.A(n_740),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error[0]),
+	.Y(n_6378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683341 (
+	.A(n_6015),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc3[4]),
+	.Y(n_6377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683342 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[6]),
+	.B(brqrv_top_brqrv_ifu_i0_pc4),
+	.Y(n_6376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683343 (
+	.A(n_6167),
+	.B(brqrv_top_brqrv_dec_tlu_minstretl[5]),
+	.Y(n_6375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683344 (
+	.A(n_6011),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc6h[26]),
+	.Y(n_6374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683345 (
+	.A(n_6015),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc3[28]),
+	.Y(n_6373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683346 (
+	.A(n_6159),
+	.B(brqrv_top_brqrv_dec_tlu_micect[4]),
+	.Y(n_6372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683347 (
+	.A(brqrv_top_brqrv_dec_tlu_micect[30]),
+	.B(brqrv_top_brqrv_dec_tlu_micect[31]),
+	.Y(n_6371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683348 (
+	.A(n_6175),
+	.B(brqrv_top_brqrv_trigger_pkt_any[102]),
+	.Y(n_6370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683349 (
+	.A(n_6003),
+	.B(n_34631),
+	.Y(n_6369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683350 (
+	.A(n_6161),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc3h[5]),
+	.Y(n_6368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g683351 (
+	.A(brqrv_top_brqrv_ifu_aln_first2B),
+	.B_N(brqrv_top_brqrv_dec_dec_i0_instr_d[20]),
+	.Y(brqrv_top_brqrv_dec_decode_i0_inst_d[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683352 (
+	.A(n_6161),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc3h[4]),
+	.Y(n_6367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g683353 (
+	.A(brqrv_top_brqrv_ifu_aln_first2B),
+	.B_N(brqrv_top_brqrv_dec_dec_i0_instr_d[31]),
+	.Y(brqrv_top_brqrv_dec_decode_i0_inst_d[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g683354 (
+	.A(brqrv_top_brqrv_ifu_aln_first2B),
+	.B_N(brqrv_top_brqrv_dec_i0_predict_p_d[47]),
+	.Y(brqrv_top_brqrv_dec_decode_i0_inst_d[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g683355 (
+	.A(brqrv_top_brqrv_ifu_aln_first2B),
+	.B_N(brqrv_top_brqrv_dec_i0_predict_p_d[44]),
+	.Y(brqrv_top_brqrv_dec_decode_i0_inst_d[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g683356 (
+	.A(brqrv_top_brqrv_ifu_aln_first2B),
+	.B_N(brqrv_top_brqrv_dec_i0_predict_p_d[43]),
+	.Y(brqrv_top_brqrv_dec_decode_i0_inst_d[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g683357 (
+	.A(brqrv_top_brqrv_ifu_aln_first2B),
+	.B_N(brqrv_top_brqrv_dec_i0_predict_p_d[42]),
+	.Y(brqrv_top_brqrv_dec_decode_i0_inst_d[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g683358 (
+	.A(brqrv_top_brqrv_ifu_aln_first2B),
+	.B_N(brqrv_top_brqrv_dec_dec_i0_instr_d[18]),
+	.Y(brqrv_top_brqrv_dec_decode_i0_inst_d[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g683359 (
+	.A(brqrv_top_brqrv_ifu_aln_first2B),
+	.B_N(brqrv_top_brqrv_dec_dec_i0_instr_d[17]),
+	.Y(brqrv_top_brqrv_dec_decode_i0_inst_d[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g683360 (
+	.A(brqrv_top_brqrv_ifu_aln_first2B),
+	.B_N(brqrv_top_brqrv_dec_dec_i0_instr_d[16]),
+	.Y(brqrv_top_brqrv_dec_decode_i0_inst_d[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683361 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[15]),
+	.B(brqrv_top_brqrv_ifu_i0_pc4),
+	.Y(n_6366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683362 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[14]),
+	.B(brqrv_top_brqrv_ifu_i0_pc4),
+	.Y(n_6365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683363 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[13]),
+	.B(brqrv_top_brqrv_ifu_i0_pc4),
+	.Y(n_6364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683364 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[11]),
+	.B(brqrv_top_brqrv_ifu_i0_pc4),
+	.Y(n_6363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683365 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[10]),
+	.B(brqrv_top_brqrv_ifu_i0_pc4),
+	.Y(n_6362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683366 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[9]),
+	.B(brqrv_top_brqrv_ifu_i0_pc4),
+	.Y(n_6361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683367 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[8]),
+	.B(brqrv_top_brqrv_ifu_i0_pc4),
+	.Y(n_6360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683368 (
+	.A(n_6176),
+	.B(brqrv_top_brqrv_dec_tlu_mepc[4]),
+	.Y(n_6359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683369 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[5]),
+	.B(brqrv_top_brqrv_ifu_i0_pc4),
+	.Y(n_6358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683370 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[4]),
+	.B(brqrv_top_brqrv_ifu_i0_pc4),
+	.Y(n_6357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683371 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[3]),
+	.B(brqrv_top_brqrv_ifu_i0_pc4),
+	.Y(n_6356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683372 (
+	.A(n_6009),
+	.B(brqrv_top_brqrv_trigger_pkt_any[114]),
+	.Y(n_6355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683373 (
+	.A(n_6021),
+	.B(brqrv_top_brqrv_dec_tlu_dicawics[0]),
+	.Y(n_6354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683374 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[12]),
+	.B(brqrv_top_brqrv_ifu_i0_pc4),
+	.Y(n_6353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g683375 (
+	.A(n_31647),
+	.B(n_30518),
+	.X(n_31131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g683376 (
+	.A_N(n_31579),
+	.B(brqrv_top_brqrv_dma_mem_write),
+	.Y(n_31578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683377 (
+	.A(n_6161),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc3h[3]),
+	.Y(n_6352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683378 (
+	.A(n_6015),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc3[17]),
+	.Y(n_6351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683379 (
+	.A(n_6172),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc6[0]),
+	.Y(n_6350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g683380 (
+	.A(n_32792),
+	.B(n_30519),
+	.X(n_32350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683381 (
+	.A(n_6163),
+	.B(brqrv_top_brqrv_dec_tlu_dicad0[24]),
+	.Y(n_6349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g683382 (
+	.A_N(n_31568),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_req_f),
+	.Y(n_31130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683383 (
+	.A(n_6002),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[0]),
+	.Y(n_6348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683384 (
+	.A(n_6002),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[29]),
+	.Y(n_6347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683385 (
+	.A(n_39142),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4[5]),
+	.Y(n_6346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683386 (
+	.A(n_6158),
+	.B(brqrv_top_brqrv_dec_tlu_mscratch[3]),
+	.Y(n_6345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683387 (
+	.A(n_6010),
+	.B(brqrv_top_brqrv_dec_tlu_mrac_ff_0[17]),
+	.Y(n_6344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683388 (
+	.A(n_31705),
+	.B(brqrv_top_brqrv_dec_tlu_meihap[17]),
+	.Y(n_6343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683389 (
+	.A(n_6002),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[2]),
+	.Y(n_6342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683390 (
+	.A(n_39141),
+	.B(brqrv_top_brqrv_trigger_pkt_any[15]),
+	.Y(n_6341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683391 (
+	.A(n_33175),
+	.B(brqrv_top_brqrv_dec_tlu_mdseac[18]),
+	.Y(n_6340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683392 (
+	.A(n_6014),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc5h[25]),
+	.Y(n_6339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683393 (
+	.A(n_6172),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc6[2]),
+	.Y(n_6338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683394 (
+	.A(n_6161),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc3h[16]),
+	.Y(n_6337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683395 (
+	.A(n_6164),
+	.B(brqrv_top_brqrv_dec_tlu_mtval[1]),
+	.Y(n_6336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683396 (
+	.A(n_6014),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc5h[18]),
+	.Y(n_6335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683397 (
+	.A(n_6177),
+	.B(brqrv_top_brqrv_dec_tlu_mtvec[24]),
+	.Y(n_6334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683398 (
+	.A(n_6159),
+	.B(brqrv_top_brqrv_dec_tlu_micect[16]),
+	.Y(n_6333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683399 (
+	.A(n_6011),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc6h[7]),
+	.Y(n_6332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683400 (
+	.A(n_6176),
+	.B(brqrv_top_brqrv_dec_tlu_mepc[2]),
+	.Y(n_6331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683401 (
+	.A(n_33175),
+	.B(brqrv_top_brqrv_dec_tlu_mdseac[29]),
+	.Y(n_6330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683402 (
+	.A(n_6165),
+	.B(n_34602),
+	.Y(n_6329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g683403 (
+	.A_N(n_31594),
+	.B(brqrv_top_brqrv_exu_i0_predict_p_d[54]),
+	.Y(n_31225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683404 (
+	.A(n_6004),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4h[24]),
+	.Y(n_6328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683405 (
+	.A(n_6002),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[24]),
+	.Y(n_6327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g683406 (
+	.A(brqrv_top_brqrv_dma_mem_write),
+	.B(n_31579),
+	.X(n_31109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683407 (
+	.A(n_6161),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc3h[15]),
+	.Y(n_6326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683408 (
+	.A(n_6009),
+	.B(brqrv_top_brqrv_trigger_pkt_any[115]),
+	.Y(n_6325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683409 (
+	.A(n_31705),
+	.B(brqrv_top_brqrv_dec_tlu_meihap[29]),
+	.Y(n_6324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683410 (
+	.A(brqrv_top_brqrv_dec_tlu_miccmect[30]),
+	.B(brqrv_top_brqrv_dec_tlu_miccmect[31]),
+	.Y(n_6417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683411 (
+	.A(brqrv_top_brqrv_dec_tlu_mdccmect[30]),
+	.B(brqrv_top_brqrv_dec_tlu_mdccmect[31]),
+	.Y(n_6416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g683412 (
+	.A(brqrv_top_brqrv_dbg_sbcs_reg_int[18]),
+	.B(brqrv_top_brqrv_dbg_sbcs_reg_int[19]),
+	.Y(n_6415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683413 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[1]),
+	.B(brqrv_top_brqrv_ifu_i0_pc4),
+	.Y(n_6414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683414 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[1]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[0]),
+	.Y(n_31586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g683415 (
+	.A(n_31711),
+	.B(n_31702),
+	.Y(n_6413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683416 (
+	.A(n_30597),
+	.B(n_6026),
+	.Y(n_6412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683417 (
+	.A(n_30675),
+	.B(n_6026),
+	.Y(n_6411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g683418 (
+	.A(brqrv_top_brqrv_dec_tlu_micect[31]),
+	.B(brqrv_top_brqrv_dec_tlu_micect[30]),
+	.Y(n_6410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g683419 (
+	.A(n_30831),
+	.B_N(n_30606),
+	.Y(n_33255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g683420 (
+	.A(n_30831),
+	.B_N(n_30665),
+	.Y(n_33251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g683421 (
+	.A(n_30831),
+	.B_N(n_30683),
+	.Y(n_33247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683422 (
+	.A(n_30685),
+	.B(n_6026),
+	.Y(n_6409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g683423 (
+	.A_N(n_31402),
+	.B(n_31408),
+	.Y(n_32450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683424 (
+	.A(brqrv_top_brqrv_dec_tlu_micect[28]),
+	.B(brqrv_top_brqrv_dec_tlu_micect[29]),
+	.Y(n_6408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g683425 (
+	.A(n_32790),
+	.B(n_32568),
+	.Y(n_6407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g683426 (
+	.A_N(n_31696),
+	.B(brqrv_top_brqrv_dec_tlu_n_13117),
+	.Y(n_6405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g683427 (
+	.A(n_32579),
+	.B(n_32780),
+	.Y(n_6404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683428 (
+	.A(brqrv_top_brqrv_dec_tlu_miccmect[29]),
+	.B(brqrv_top_brqrv_dec_tlu_miccmect[28]),
+	.Y(n_6403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g683429 (
+	.A(brqrv_top_brqrv_dec_tlu_mdccmect[31]),
+	.B(brqrv_top_brqrv_dec_tlu_mdccmect[30]),
+	.Y(n_6401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g683430 (
+	.A(brqrv_top_brqrv_dec_tlu_n_4284),
+	.B(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.Y(n_6400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g683431 (
+	.A(n_32568),
+	.B(n_32545),
+	.Y(n_6399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g683432 (
+	.A_N(n_32227),
+	.B(n_29926),
+	.Y(brqrv_top_brqrv_dec_tlu_n_396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g683433 (
+	.A(n_32582),
+	.B(n_31697),
+	.Y(n_6398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g683434 (
+	.A(brqrv_top_brqrv_dec_tlu_miccmect[28]),
+	.B(brqrv_top_brqrv_dec_tlu_miccmect[29]),
+	.Y(n_6397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g683435 (
+	.A(brqrv_top_brqrv_dec_tlu_micect[29]),
+	.B(brqrv_top_brqrv_dec_tlu_micect[28]),
+	.Y(n_6395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g683436 (
+	.A(n_6028),
+	.B(n_32782),
+	.Y(n_6393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g683437 (
+	.A(n_6182),
+	.B(n_31868),
+	.Y(n_32449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683438 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [466]),
+	.Y(n_6323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683439 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [374]),
+	.Y(n_6322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683440 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [226]),
+	.Y(n_6321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683441 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [102]),
+	.Y(n_6320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683442 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [478]),
+	.Y(n_6319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683443 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [470]),
+	.Y(n_6318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683444 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [284]),
+	.Y(n_6317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683445 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [286]),
+	.Y(n_6316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683446 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [488]),
+	.Y(n_6315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683447 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [410]),
+	.Y(n_6314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683448 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [186]),
+	.Y(n_6313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683449 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [192]),
+	.Y(n_6312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683450 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [52]),
+	.Y(n_6311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683451 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [8]),
+	.Y(n_6310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683452 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [216]),
+	.Y(n_6309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683453 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [282]),
+	.Y(n_6308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683454 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [448]),
+	.Y(n_6307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683455 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [210]),
+	.Y(n_6306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683456 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [272]),
+	.Y(n_6305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683457 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [160]),
+	.Y(n_6304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683458 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [54]),
+	.Y(n_6303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683459 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [340]),
+	.Y(n_6302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683460 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error[3]),
+	.Y(n_6301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683461 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [308]),
+	.Y(n_6300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683462 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [244]),
+	.Y(n_6299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683463 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [472]),
+	.Y(n_6298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683464 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [304]),
+	.Y(n_6297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683465 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [342]),
+	.Y(n_6296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683466 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [206]),
+	.Y(n_6295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683467 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [400]),
+	.Y(n_6294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683468 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [190]),
+	.Y(n_6293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683469 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [310]),
+	.Y(n_6292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683470 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [110]),
+	.Y(n_6291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683471 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [234]),
+	.Y(n_6290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683472 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [420]),
+	.Y(n_6289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683473 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [70]),
+	.Y(n_6288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683474 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [348]),
+	.Y(n_6287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683475 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [178]),
+	.Y(n_6286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683476 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [104]),
+	.Y(n_6285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683477 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [204]),
+	.Y(n_6284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683478 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [172]),
+	.Y(n_6283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683479 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [78]),
+	.Y(n_6282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683480 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [328]),
+	.Y(n_6281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683482 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [320]),
+	.Y(n_6280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683483 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [16]),
+	.Y(n_6279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683484 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [76]),
+	.Y(n_6278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683485 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [72]),
+	.Y(n_6277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683486 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [314]),
+	.Y(n_6276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683487 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [498]),
+	.Y(n_6275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683488 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [64]),
+	.Y(n_6274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683489 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [402]),
+	.Y(n_6273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683490 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [260]),
+	.Y(n_6272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683491 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [390]),
+	.Y(n_6271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683492 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [194]),
+	.Y(n_6270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683493 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [256]),
+	.Y(n_6269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683494 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [368]),
+	.Y(n_6268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683495 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [264]),
+	.Y(n_6267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683496 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [114]),
+	.Y(n_6266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683497 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [432]),
+	.Y(n_6265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683498 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [356]),
+	.Y(n_6264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683499 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [324]),
+	.Y(n_6263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683500 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [22]),
+	.Y(n_6262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683501 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [240]),
+	.Y(n_6261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683502 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [220]),
+	.Y(n_6260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683503 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [202]),
+	.Y(n_6259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683504 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [154]),
+	.Y(n_6258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683505 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [224]),
+	.Y(n_6257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683506 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [106]),
+	.Y(n_6256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683507 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [232]),
+	.Y(n_6255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683508 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [48]),
+	.Y(n_6254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683509 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [440]),
+	.Y(n_6253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683510 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [222]),
+	.Y(n_6252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683511 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [326]),
+	.Y(n_6251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683512 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [12]),
+	.Y(n_6250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683513 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [152]),
+	.Y(n_6249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683514 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [334]),
+	.Y(n_6248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683515 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [332]),
+	.Y(n_6247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683516 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [300]),
+	.Y(n_6246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683517 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [184]),
+	.Y(n_6245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683518 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [476]),
+	.Y(n_6244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683519 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [4]),
+	.Y(n_6243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683520 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [42]),
+	.Y(n_6242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683521 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [132]),
+	.Y(n_6241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683522 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [414]),
+	.Y(n_6240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683523 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [100]),
+	.Y(n_6239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683524 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [50]),
+	.Y(n_6238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683525 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [166]),
+	.Y(n_6237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683526 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [294]),
+	.Y(n_6236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683527 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [464]),
+	.Y(n_6235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683528 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [134]),
+	.Y(n_6234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683529 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [500]),
+	.Y(n_6233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683530 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [14]),
+	.Y(n_6232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683531 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [456]),
+	.Y(n_6231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683532 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [392]),
+	.Y(n_6230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683533 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [288]),
+	.Y(n_6229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683534 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [480]),
+	.Y(n_6228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683535 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [140]),
+	.Y(n_6227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683536 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [290]),
+	.Y(n_6226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683537 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [450]),
+	.Y(n_6225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683538 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [252]),
+	.Y(n_6224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683539 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [32]),
+	.Y(n_6223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683540 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [482]),
+	.Y(n_6222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683541 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [344]),
+	.Y(n_6221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683542 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [176]),
+	.Y(n_6220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683543 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [506]),
+	.Y(n_6219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683544 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [238]),
+	.Y(n_6218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683545 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [46]),
+	.Y(n_6217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683546 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [454]),
+	.Y(n_6216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683547 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [108]),
+	.Y(n_6215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683548 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [352]),
+	.Y(n_6214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683549 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [208]),
+	.Y(n_6213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683550 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [280]),
+	.Y(n_6212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683551 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [358]),
+	.Y(n_6211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683552 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [360]),
+	.Y(n_6210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683553 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [156]),
+	.Y(n_6209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g683554 (
+	.A(n_30456),
+	.Y(brqrv_top_brqrv_dec_tlu_pause_expired_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683555 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [412]),
+	.Y(n_6208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683556 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [248]),
+	.Y(n_6207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683557 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [276]),
+	.Y(n_6206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683558 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [278]),
+	.Y(n_6205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683559 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [460]),
+	.Y(n_6204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683560 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [158]),
+	.Y(n_6203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683561 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [6]),
+	.Y(n_6202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683562 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [84]),
+	.Y(n_6201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683563 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [398]),
+	.Y(n_6200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683564 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [148]),
+	.Y(n_6199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683565 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [92]),
+	.Y(n_6198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683566 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [214]),
+	.Y(n_6197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683567 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [394]),
+	.Y(n_6196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683568 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [164]),
+	.Y(n_6195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683569 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [26]),
+	.Y(n_6194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683570 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [94]),
+	.Y(n_6193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683571 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [494]),
+	.Y(n_6192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683572 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [96]),
+	.Y(n_6191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683573 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [508]),
+	.Y(n_6190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683574 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [118]),
+	.Y(n_6189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683575 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [144]),
+	.Y(n_6188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683576 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [504]),
+	.Y(n_6187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683577 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[29]),
+	.Y(n_34578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g683579 (
+	.A(n_30458),
+	.Y(brqrv_top_brqrv_dec_tlu_i0_exception_valid_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683580 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[14]),
+	.Y(n_34547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683588 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[29]),
+	.Y(n_34577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g683595 (
+	.A(n_30162),
+	.Y(n_6186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683596 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[3]),
+	.Y(n_34526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683597 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[9]),
+	.Y(n_34538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683598 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[16]),
+	.Y(n_34552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683599 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[17]),
+	.Y(n_34553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683600 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[8]),
+	.Y(n_34535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683601 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[17]),
+	.Y(n_34554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g683607 (
+	.A(n_32541),
+	.Y(n_6184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683608 (
+	.A(brqrv_top_brqrv_dec_tlu_micect[29]),
+	.Y(n_6183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683609 (
+	.A(n_31408),
+	.Y(n_6182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g683610 (
+	.A(brqrv_top_brqrv_dec_tlu_miccmect[30]),
+	.Y(n_6181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g683611 (
+	.A(brqrv_top_brqrv_dec_tlu_mdccmect[30]),
+	.Y(n_6180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g683612 (
+	.A(n_31429),
+	.Y(n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g683613 (
+	.A(n_33474),
+	.Y(n_5321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g683614 (
+	.A(n_30503),
+	.Y(n_6177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g683615 (
+	.A(n_30483),
+	.Y(n_6176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g683616 (
+	.A(n_31714),
+	.Y(n_6175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g683619 (
+	.A(n_30505),
+	.Y(n_6172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g683620 (
+	.A(n_30486),
+	.Y(n_6171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g683621 (
+	.A(n_30484),
+	.Y(n_6170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g683622 (
+	.A(n_30494),
+	.Y(n_6169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g683624 (
+	.A(n_30501),
+	.Y(n_6167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g683625 (
+	.A(n_30498),
+	.Y(n_6166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g683626 (
+	.A(n_30504),
+	.Y(n_6165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g683627 (
+	.A(n_30495),
+	.Y(n_6164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g683628 (
+	.A(n_32781),
+	.Y(n_6163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g683629 (
+	.A(n_30491),
+	.Y(n_6162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g683630 (
+	.A(n_30509),
+	.Y(n_6161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g683631 (
+	.A(n_31296),
+	.Y(n_6160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g683632 (
+	.A(n_30499),
+	.Y(n_6159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g683633 (
+	.A(n_30497),
+	.Y(n_6158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683634 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [298]),
+	.Y(n_6157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683635 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [388]),
+	.Y(n_6156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683636 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [218]),
+	.Y(n_6155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683637 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [36]),
+	.Y(n_6154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683638 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [0]),
+	.Y(n_6153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683639 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [44]),
+	.Y(n_6152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683640 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [246]),
+	.Y(n_6151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683641 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [474]),
+	.Y(n_6150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683642 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [336]),
+	.Y(n_6149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683643 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [274]),
+	.Y(n_6148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683644 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [416]),
+	.Y(n_6147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683645 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [58]),
+	.Y(n_6146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683646 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [444]),
+	.Y(n_6145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683647 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [212]),
+	.Y(n_6144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683648 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [196]),
+	.Y(n_6143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683649 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [468]),
+	.Y(n_6142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683650 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [306]),
+	.Y(n_6141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683651 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [98]),
+	.Y(n_6140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683652 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [362]),
+	.Y(n_6139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683653 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [350]),
+	.Y(n_6138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683654 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [370]),
+	.Y(n_6137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683655 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [2]),
+	.Y(n_6136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683656 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [384]),
+	.Y(n_6135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683657 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [428]),
+	.Y(n_6134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683658 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [126]),
+	.Y(n_6133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683659 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [66]),
+	.Y(n_6132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683660 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [422]),
+	.Y(n_6131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683661 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [268]),
+	.Y(n_6130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683662 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [254]),
+	.Y(n_6129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683663 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [510]),
+	.Y(n_6128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683664 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [490]),
+	.Y(n_6127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683665 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [258]),
+	.Y(n_6126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683666 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [386]),
+	.Y(n_6125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683667 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [452]),
+	.Y(n_6124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683668 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [266]),
+	.Y(n_6123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683669 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [168]),
+	.Y(n_6122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683670 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [188]),
+	.Y(n_6121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683671 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [406]),
+	.Y(n_6120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683672 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [296]),
+	.Y(n_6119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683673 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [376]),
+	.Y(n_6118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683674 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [122]),
+	.Y(n_6117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683675 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [436]),
+	.Y(n_6116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683676 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [434]),
+	.Y(n_6115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683677 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [10]),
+	.Y(n_6114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683678 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [34]),
+	.Y(n_6113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683679 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [378]),
+	.Y(n_6112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683680 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [142]),
+	.Y(n_6111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683681 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [346]),
+	.Y(n_6110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683682 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [502]),
+	.Y(n_6109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683683 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [170]),
+	.Y(n_6108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683684 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [496]),
+	.Y(n_6107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683685 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [408]),
+	.Y(n_6106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683686 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [28]),
+	.Y(n_6105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g683687 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitctl0_0_b),
+	.Y(n_6104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683688 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [180]),
+	.Y(n_6103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683689 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [86]),
+	.Y(n_6102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683690 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [38]),
+	.Y(n_6101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683691 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [56]),
+	.Y(n_6100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683692 (
+	.A(n_38505),
+	.Y(brqrv_top_brqrv_dec_tlu_fence_i_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683693 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [366]),
+	.Y(n_6099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683694 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [492]),
+	.Y(n_6098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683695 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [82]),
+	.Y(n_6097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683696 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [80]),
+	.Y(n_6096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683697 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [24]),
+	.Y(n_6095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683698 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [364]),
+	.Y(n_6094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683699 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [20]),
+	.Y(n_6093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683700 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [74]),
+	.Y(n_6092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683701 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [354]),
+	.Y(n_6091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683702 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [250]),
+	.Y(n_6090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683703 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [396]),
+	.Y(n_6089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683704 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [162]),
+	.Y(n_6088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683705 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [88]),
+	.Y(n_6087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683706 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [458]),
+	.Y(n_6086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683707 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [90]),
+	.Y(n_6085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683708 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [150]),
+	.Y(n_6084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683709 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [182]),
+	.Y(n_6083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683710 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [18]),
+	.Y(n_6082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683711 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [484]),
+	.Y(n_6081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683712 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [174]),
+	.Y(n_6080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683713 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [30]),
+	.Y(n_6079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683714 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [136]),
+	.Y(n_6078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683715 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [138]),
+	.Y(n_6077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683716 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [292]),
+	.Y(n_6076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683717 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [442]),
+	.Y(n_6075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683718 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [438]),
+	.Y(n_6074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683719 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [338]),
+	.Y(n_6073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683720 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [262]),
+	.Y(n_6072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683721 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [312]),
+	.Y(n_6071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683722 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [124]),
+	.Y(n_6070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683723 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [330]),
+	.Y(n_6069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683724 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [242]),
+	.Y(n_6068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683725 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [426]),
+	.Y(n_6067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683726 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [424]),
+	.Y(n_6066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683727 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [418]),
+	.Y(n_6065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683728 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [430]),
+	.Y(n_6064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683729 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [128]),
+	.Y(n_6063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683730 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [372]),
+	.Y(n_6062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683731 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [116]),
+	.Y(n_6061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683732 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [270]),
+	.Y(n_6060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683733 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [130]),
+	.Y(n_6059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683734 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [112]),
+	.Y(n_6058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g683735 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_r[10]),
+	.Y(n_6057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683736 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [228]),
+	.Y(n_6056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683737 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [446]),
+	.Y(n_6055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683738 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [40]),
+	.Y(n_6054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683739 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [120]),
+	.Y(n_6053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683740 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [198]),
+	.Y(n_6052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683741 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [322]),
+	.Y(n_6051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683742 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [302]),
+	.Y(n_6050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683743 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [486]),
+	.Y(n_6049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683744 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [382]),
+	.Y(n_6048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683745 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [200]),
+	.Y(n_6047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683746 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [146]),
+	.Y(n_6046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683747 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [462]),
+	.Y(n_6045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683748 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [380]),
+	.Y(n_6044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683749 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [404]),
+	.Y(n_6043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683750 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [236]),
+	.Y(n_6042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683751 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [230]),
+	.Y(n_6041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683753 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[18]),
+	.Y(n_34555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g683756 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[31]),
+	.Y(n_34581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683767 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[4]),
+	.Y(n_34528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683772 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[15]),
+	.Y(n_34549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683773 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[6]),
+	.Y(n_34532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683778 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[15]),
+	.Y(n_34550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683786 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[6]),
+	.Y(n_34531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g683787 (
+	.A(n_31600),
+	.Y(n_6036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683788 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[26]),
+	.Y(n_34571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g683790 (
+	.A(n_30163),
+	.Y(n_6035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683792 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[28]),
+	.Y(n_34576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g683793 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[18]),
+	.Y(n_34556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g683794 (
+	.A(n_31267),
+	.Y(n_6033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g683795 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_r[9]),
+	.Y(n_6032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g683797 (
+	.A(n_30502),
+	.Y(n_6030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g683798 (
+	.A(n_32566),
+	.Y(n_6029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g683800 (
+	.A(brqrv_top_brqrv_dec_tlu_miccmect[31]),
+	.Y(n_6027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g683802 (
+	.A(brqrv_top_brqrv_dec_tlu_miccmect[29]),
+	.Y(n_6025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g683803 (
+	.A(brqrv_top_brqrv_dec_tlu_mdccmect[29]),
+	.Y(n_6024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g683804 (
+	.A(n_30513),
+	.Y(n_6023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g683805 (
+	.A(n_32544),
+	.Y(n_6022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g683806 (
+	.A(n_32539),
+	.Y(n_6021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g683808 (
+	.A(n_31428),
+	.Y(n_6019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g683809 (
+	.A(n_31426),
+	.Y(n_6018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g683810 (
+	.A(n_31427),
+	.Y(n_6017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g683812 (
+	.A(n_30492),
+	.Y(n_6015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g683813 (
+	.A(n_30510),
+	.Y(n_6014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g683814 (
+	.A(n_30488),
+	.Y(n_6013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g683815 (
+	.A(n_30496),
+	.Y(n_6012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g683816 (
+	.A(n_30506),
+	.Y(n_6011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g683817 (
+	.A(n_30500),
+	.Y(n_6010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g683818 (
+	.A(n_31712),
+	.Y(n_6009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g683821 (
+	.A(n_31295),
+	.Y(n_6006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g683823 (
+	.A(n_39180),
+	.Y(n_6004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g683824 (
+	.A(n_30511),
+	.Y(n_6003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g683825 (
+	.A(n_30487),
+	.Y(n_6002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g683826 (
+	.A(brqrv_top_brqrv_ifu_aln_first2B),
+	.Y(brqrv_top_brqrv_ifu_i0_pc4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g850725 (
+	.A_N(n_7496),
+	.B(n_5995),
+	.Y(n_32446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g683846 (
+	.A(n_7494),
+	.B(n_7492),
+	.X(n_32375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g683847 (
+	.A_N(n_7493),
+	.B(n_7487),
+	.Y(n_32445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g683848 (
+	.A_N(n_7491),
+	.B(n_7486),
+	.Y(n_32444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g683849 (
+	.A_N(n_7461),
+	.B(n_32447),
+	.Y(n_5995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g683850 (
+	.A(n_31867),
+	.B(n_31408),
+	.C_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_merge_en),
+	.Y(n_5994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g683851 (
+	.A1(n_29902),
+	.A2(brqrv_top_brqrv_exu_i0_rs2_d[0]),
+	.B1_N(n_32210),
+	.Y(n_5993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g683852 (
+	.A_N(n_7099),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_merge_en),
+	.C(n_31408),
+	.Y(n_32452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g683853 (
+	.A_N(n_6486),
+	.B(brqrv_top_brqrv_dbg_sbcs_reg_int[17]),
+	.Y(brqrv_top_brqrv_dbg_n_4412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g604335 (
+	.A(n_5992),
+	.B(n_31004),
+	.Y(n_31110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g604336 (
+	.A_N(brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f),
+	.B(n_5991),
+	.Y(n_31462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g850726 (
+	.A1(brqrv_top_brqrv_ifu_ifc_dma_iccm_stall_any_f),
+	.A2(n_5989),
+	.B1(n_31577),
+	.Y(n_5992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g850727 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_n_3474),
+	.A2(n_5990),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_miss_pending),
+	.Y(n_5991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g850728 (
+	.A(brqrv_top_brqrv_exu_flush_final),
+	.B_N(n_31595),
+	.Y(n_5990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g850729 (
+	.A(n_31569),
+	.B_N(brqrv_top_brqrv_ifu_ifc_fb_full_f),
+	.Y(n_5989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g850730 (
+	.A(n_31474),
+	.B(n_31512),
+	.X(n_31473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g850731 (
+	.A(n_31474),
+	.B(n_5988),
+	.X(n_31472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g850732 (
+	.A(n_31471),
+	.B(n_31512),
+	.X(n_31470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g850733 (
+	.A(brqrv_top_brqrv_dec_tlu_n_444),
+	.B(n_5988),
+	.X(n_32510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g850734 (
+	.A(n_31471),
+	.B(n_5988),
+	.X(n_31469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g850735 (
+	.A(n_31477),
+	.B(n_5988),
+	.X(n_31475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g850736 (
+	.A(n_5987),
+	.B(n_29925),
+	.C(n_29915),
+	.Y(n_31474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g850737 (
+	.A(n_31477),
+	.B(n_31512),
+	.X(n_31476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g850738 (
+	.A_N(brqrv_top_brqrv_dma_mem_write),
+	.B(n_31486),
+	.Y(n_31485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850739 (
+	.A(n_31486),
+	.B(brqrv_top_brqrv_dma_mem_write),
+	.Y(n_31075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4b_1 g850740 (
+	.A(n_31577),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_miss_pending),
+	.C(brqrv_top_brqrv_exu_flush_final),
+	.D_N(n_32607),
+	.X(brqrv_top_brqrv_ifu_mem_ctl_fetch_bf_f_c1_clken), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850741 (
+	.A(n_5986),
+	.B(n_29915),
+	.Y(n_31471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g604348 (
+	.A(brqrv_top_brqrv_dec_tlu_n_473),
+	.B(brqrv_top_brqrv_dec_tlu_n_499),
+	.C(n_30300),
+	.X(n_5988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g604349 (
+	.A(brqrv_top_brqrv_dec_tlu_n_444),
+	.B(n_31512),
+	.X(n_31483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g604350 (
+	.A_N(n_31478),
+	.B(n_29915),
+	.Y(n_31477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g604351 (
+	.A(n_5987),
+	.Y(n_32514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g604352 (
+	.A(n_29925),
+	.B(n_31522),
+	.Y(n_5986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g604353 (
+	.A(n_29914),
+	.B(n_29926),
+	.Y(n_5987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g604354 (
+	.A(n_31561),
+	.B(n_31579),
+	.Y(n_31486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 lsu_axi_bvalid_reg (
+	.CLK(CTS_1),
+	.D(lsu_axi_wvalid),
+	.Q(lsu_axi_bvalid), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626461 (
+	.A1(n_31868),
+	.A2(n_31300),
+	.B1(n_31867),
+	.B2(n_31302),
+	.C1(n_5929),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[34]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626462 (
+	.A1(n_31886),
+	.A2(n_31088),
+	.B1(n_31851),
+	.B2(n_32441),
+	.C1(n_5955),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626463 (
+	.A1(n_31888),
+	.A2(n_31088),
+	.B1(n_32669),
+	.B2(n_32441),
+	.C1(n_5984),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626464 (
+	.A1(n_31890),
+	.A2(n_31088),
+	.B1(n_31852),
+	.B2(n_32441),
+	.C1(n_5983),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626465 (
+	.A1(n_31892),
+	.A2(n_31088),
+	.B1(n_31853),
+	.B2(n_32441),
+	.C1(n_5982),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626466 (
+	.A1(n_31894),
+	.A2(n_31088),
+	.B1(n_32671),
+	.B2(n_32441),
+	.C1(n_5981),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626467 (
+	.A1(n_31896),
+	.A2(n_31088),
+	.B1(n_31854),
+	.B2(n_32441),
+	.C1(n_5980),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626468 (
+	.A1(n_31898),
+	.A2(n_31088),
+	.B1(n_32672),
+	.B2(n_32441),
+	.C1(n_5979),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626469 (
+	.A1(n_31900),
+	.A2(n_31088),
+	.B1(n_31855),
+	.B2(n_32441),
+	.C1(n_5978),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626470 (
+	.A1(n_31902),
+	.A2(n_31088),
+	.B1(n_31856),
+	.B2(n_32441),
+	.C1(n_5977),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626471 (
+	.A1(n_32682),
+	.A2(n_31088),
+	.B1(n_31857),
+	.B2(n_32441),
+	.C1(n_5976),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626472 (
+	.A1(n_31905),
+	.A2(n_31088),
+	.B1(n_31858),
+	.B2(n_32441),
+	.C1(n_5975),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626473 (
+	.A1(n_31907),
+	.A2(n_31088),
+	.B1(n_31859),
+	.B2(n_32441),
+	.C1(n_5974),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626474 (
+	.A1(n_31909),
+	.A2(n_31088),
+	.B1(n_31860),
+	.B2(n_32441),
+	.C1(n_5973),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626475 (
+	.A1(n_31911),
+	.A2(n_31088),
+	.B1(n_31836),
+	.B2(n_32441),
+	.C1(n_5972),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626476 (
+	.A1(n_31913),
+	.A2(n_31088),
+	.B1(n_31837),
+	.B2(n_32441),
+	.C1(n_5971),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626477 (
+	.A1(n_31915),
+	.A2(n_31088),
+	.B1(n_31838),
+	.B2(n_32441),
+	.C1(n_5970),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626478 (
+	.A1(n_31917),
+	.A2(n_31088),
+	.B1(n_31839),
+	.B2(n_32441),
+	.C1(n_5969),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626479 (
+	.A1(n_31919),
+	.A2(n_31088),
+	.B1(n_31840),
+	.B2(n_32441),
+	.C1(n_5968),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626480 (
+	.A1(n_31921),
+	.A2(n_31088),
+	.B1(n_31841),
+	.B2(n_32441),
+	.C1(n_5967),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626481 (
+	.A1(n_31925),
+	.A2(n_31088),
+	.B1(n_31843),
+	.B2(n_32441),
+	.C1(n_5966),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626482 (
+	.A1(n_31927),
+	.A2(n_31088),
+	.B1(n_31844),
+	.B2(n_32441),
+	.C1(n_5965),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626483 (
+	.A1(n_31929),
+	.A2(n_31088),
+	.B1(n_31845),
+	.B2(n_32441),
+	.C1(n_5964),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626484 (
+	.A1(n_31931),
+	.A2(n_31088),
+	.B1(n_32667),
+	.B2(n_32441),
+	.C1(n_5963),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626485 (
+	.A1(n_31933),
+	.A2(n_31088),
+	.B1(n_31846),
+	.B2(n_32441),
+	.C1(n_5962),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626486 (
+	.A1(n_31935),
+	.A2(n_31088),
+	.B1(n_31847),
+	.B2(n_32441),
+	.C1(n_5961),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626487 (
+	.A1(n_31937),
+	.A2(n_31088),
+	.B1(n_31848),
+	.B2(n_32441),
+	.C1(n_5960),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626488 (
+	.A1(n_31940),
+	.A2(n_31088),
+	.B1(n_31849),
+	.B2(n_32441),
+	.C1(n_5959),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626489 (
+	.A1(n_31868),
+	.A2(n_31088),
+	.B1(n_31867),
+	.B2(n_32441),
+	.C1(n_5958),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626490 (
+	.A1(n_31884),
+	.A2(n_31300),
+	.B1(n_31850),
+	.B2(n_31302),
+	.C1(n_5957),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[63]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626491 (
+	.A1(n_31886),
+	.A2(n_31300),
+	.B1(n_31851),
+	.B2(n_31302),
+	.C1(n_5956),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[62]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626492 (
+	.A1(n_31888),
+	.A2(n_31300),
+	.B1(n_32669),
+	.B2(n_31302),
+	.C1(n_5985),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[61]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626493 (
+	.A1(n_31890),
+	.A2(n_31300),
+	.B1(n_31852),
+	.B2(n_31302),
+	.C1(n_5954),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[60]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626494 (
+	.A1(n_31892),
+	.A2(n_31300),
+	.B1(n_31853),
+	.B2(n_31302),
+	.C1(n_5953),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[59]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626495 (
+	.A1(n_31894),
+	.A2(n_31300),
+	.B1(n_32671),
+	.B2(n_31302),
+	.C1(n_5952),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[58]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626496 (
+	.A1(n_31896),
+	.A2(n_31300),
+	.B1(n_31854),
+	.B2(n_31302),
+	.C1(n_5951),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[57]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626497 (
+	.A1(n_31898),
+	.A2(n_31300),
+	.B1(n_32672),
+	.B2(n_31302),
+	.C1(n_5950),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[56]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626498 (
+	.A1(n_31900),
+	.A2(n_31300),
+	.B1(n_31855),
+	.B2(n_31302),
+	.C1(n_5949),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[55]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626499 (
+	.A1(n_31902),
+	.A2(n_31300),
+	.B1(n_31856),
+	.B2(n_31302),
+	.C1(n_5948),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[54]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626500 (
+	.A1(n_32682),
+	.A2(n_31300),
+	.B1(n_31857),
+	.B2(n_31302),
+	.C1(n_5947),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[53]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626501 (
+	.A1(n_31905),
+	.A2(n_31300),
+	.B1(n_31858),
+	.B2(n_31302),
+	.C1(n_5946),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[52]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626502 (
+	.A1(n_31907),
+	.A2(n_31300),
+	.B1(n_31859),
+	.B2(n_31302),
+	.C1(n_5945),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[51]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626503 (
+	.A1(n_31909),
+	.A2(n_31300),
+	.B1(n_31860),
+	.B2(n_31302),
+	.C1(n_5944),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[50]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626504 (
+	.A1(n_31911),
+	.A2(n_31300),
+	.B1(n_31836),
+	.B2(n_31302),
+	.C1(n_5943),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[49]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626505 (
+	.A1(n_31913),
+	.A2(n_31300),
+	.B1(n_31837),
+	.B2(n_31302),
+	.C1(n_5942),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[48]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626506 (
+	.A1(n_31915),
+	.A2(n_31300),
+	.B1(n_31838),
+	.B2(n_31302),
+	.C1(n_5941),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[47]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626507 (
+	.A1(n_31917),
+	.A2(n_31300),
+	.B1(n_31839),
+	.B2(n_31302),
+	.C1(n_5940),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[46]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626508 (
+	.A1(n_31919),
+	.A2(n_31300),
+	.B1(n_31840),
+	.B2(n_31302),
+	.C1(n_5939),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[45]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626509 (
+	.A1(n_31921),
+	.A2(n_31300),
+	.B1(n_31841),
+	.B2(n_31302),
+	.C1(n_5938),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[44]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626510 (
+	.A1(n_31925),
+	.A2(n_31300),
+	.B1(n_31843),
+	.B2(n_31302),
+	.C1(n_5937),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[42]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626511 (
+	.A1(n_31927),
+	.A2(n_31300),
+	.B1(n_31844),
+	.B2(n_31302),
+	.C1(n_5936),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[41]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626512 (
+	.A1(n_31929),
+	.A2(n_31300),
+	.B1(n_31845),
+	.B2(n_31302),
+	.C1(n_5935),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[40]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626513 (
+	.A1(n_31931),
+	.A2(n_31300),
+	.B1(n_32667),
+	.B2(n_31302),
+	.C1(n_5934),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[39]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626514 (
+	.A1(n_31933),
+	.A2(n_31300),
+	.B1(n_31846),
+	.B2(n_31302),
+	.C1(n_5933),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[38]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626515 (
+	.A1(n_31935),
+	.A2(n_31300),
+	.B1(n_31847),
+	.B2(n_31302),
+	.C1(n_5932),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[37]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626516 (
+	.A1(n_31937),
+	.A2(n_31300),
+	.B1(n_31848),
+	.B2(n_31302),
+	.C1(n_5931),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[36]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626517 (
+	.A1(n_31940),
+	.A2(n_31300),
+	.B1(n_31849),
+	.B2(n_31302),
+	.C1(n_5930),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[35]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626518 (
+	.A1(n_31884),
+	.A2(n_31088),
+	.B1(n_31850),
+	.B2(n_32441),
+	.C1(n_5870),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626519 (
+	.A1(n_31868),
+	.A2(n_32309),
+	.B1(n_31867),
+	.B2(n_31504),
+	.C1(n_5871),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[98]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626520 (
+	.A1(n_31886),
+	.A2(n_32308),
+	.B1(n_31851),
+	.B2(n_32454),
+	.C1(n_5927),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[94]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626521 (
+	.A1(n_31888),
+	.A2(n_32308),
+	.B1(n_32669),
+	.B2(n_32454),
+	.C1(n_5926),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[93]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626522 (
+	.A1(n_31890),
+	.A2(n_32308),
+	.B1(n_31852),
+	.B2(n_32454),
+	.C1(n_5895),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[92]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626523 (
+	.A1(n_31892),
+	.A2(n_32308),
+	.B1(n_31853),
+	.B2(n_32454),
+	.C1(n_5924),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[91]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626524 (
+	.A1(n_31894),
+	.A2(n_32308),
+	.B1(n_32671),
+	.B2(n_32454),
+	.C1(n_5923),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[90]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626525 (
+	.A1(n_31896),
+	.A2(n_32308),
+	.B1(n_31854),
+	.B2(n_32454),
+	.C1(n_5922),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[89]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626526 (
+	.A1(n_31898),
+	.A2(n_32308),
+	.B1(n_32672),
+	.B2(n_32454),
+	.C1(n_5921),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[88]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626527 (
+	.A1(n_31900),
+	.A2(n_32308),
+	.B1(n_31855),
+	.B2(n_32454),
+	.C1(n_5920),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[87]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626528 (
+	.A1(n_31902),
+	.A2(n_32308),
+	.B1(n_31856),
+	.B2(n_32454),
+	.C1(n_5919),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[86]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626529 (
+	.A1(n_32682),
+	.A2(n_32308),
+	.B1(n_31857),
+	.B2(n_32454),
+	.C1(n_5918),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[85]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626530 (
+	.A1(n_31905),
+	.A2(n_32308),
+	.B1(n_31858),
+	.B2(n_32454),
+	.C1(n_5917),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[84]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626531 (
+	.A1(n_31907),
+	.A2(n_32308),
+	.B1(n_31859),
+	.B2(n_32454),
+	.C1(n_5916),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[83]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626532 (
+	.A1(n_31909),
+	.A2(n_32308),
+	.B1(n_31860),
+	.B2(n_32454),
+	.C1(n_5915),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[82]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626533 (
+	.A1(n_31911),
+	.A2(n_32308),
+	.B1(n_31836),
+	.B2(n_32454),
+	.C1(n_5914),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[81]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626534 (
+	.A1(n_31913),
+	.A2(n_32308),
+	.B1(n_31837),
+	.B2(n_32454),
+	.C1(n_5912),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[80]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626535 (
+	.A1(n_31915),
+	.A2(n_32308),
+	.B1(n_31838),
+	.B2(n_32454),
+	.C1(n_5913),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[79]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626536 (
+	.A1(n_31917),
+	.A2(n_32308),
+	.B1(n_31839),
+	.B2(n_32454),
+	.C1(n_5911),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[78]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626537 (
+	.A1(n_31919),
+	.A2(n_32308),
+	.B1(n_31840),
+	.B2(n_32454),
+	.C1(n_5910),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[77]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626538 (
+	.A1(n_31921),
+	.A2(n_32308),
+	.B1(n_31841),
+	.B2(n_32454),
+	.C1(n_5909),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[76]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626539 (
+	.A1(n_31925),
+	.A2(n_32308),
+	.B1(n_31843),
+	.B2(n_32454),
+	.C1(n_5908),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[74]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626540 (
+	.A1(n_31927),
+	.A2(n_32308),
+	.B1(n_31844),
+	.B2(n_32454),
+	.C1(n_5906),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[73]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626541 (
+	.A1(n_31929),
+	.A2(n_32308),
+	.B1(n_31845),
+	.B2(n_32454),
+	.C1(n_5907),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[72]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626542 (
+	.A1(n_31931),
+	.A2(n_32308),
+	.B1(n_32667),
+	.B2(n_32454),
+	.C1(n_5905),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[71]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626543 (
+	.A1(n_31933),
+	.A2(n_32308),
+	.B1(n_31846),
+	.B2(n_32454),
+	.C1(n_5904),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[70]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626544 (
+	.A1(n_31935),
+	.A2(n_32308),
+	.B1(n_31847),
+	.B2(n_32454),
+	.C1(n_5903),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[69]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626545 (
+	.A1(n_31937),
+	.A2(n_32308),
+	.B1(n_31848),
+	.B2(n_32454),
+	.C1(n_5902),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[68]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626546 (
+	.A1(n_31940),
+	.A2(n_32308),
+	.B1(n_31849),
+	.B2(n_32454),
+	.C1(n_5901),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[67]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626547 (
+	.A1(n_31868),
+	.A2(n_32308),
+	.B1(n_31867),
+	.B2(n_32454),
+	.C1(n_5900),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[66]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626548 (
+	.A1(n_31884),
+	.A2(n_32309),
+	.B1(n_31850),
+	.B2(n_31504),
+	.C1(n_5899),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[127]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626549 (
+	.A1(n_31886),
+	.A2(n_32309),
+	.B1(n_31851),
+	.B2(n_31504),
+	.C1(n_5898),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[126]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626550 (
+	.A1(n_31888),
+	.A2(n_32309),
+	.B1(n_32669),
+	.B2(n_31504),
+	.C1(n_5897),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[125]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626551 (
+	.A1(n_31890),
+	.A2(n_32309),
+	.B1(n_31852),
+	.B2(n_31504),
+	.C1(n_5896),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[124]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626552 (
+	.A1(n_31892),
+	.A2(n_32309),
+	.B1(n_31853),
+	.B2(n_31504),
+	.C1(n_5894),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[123]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626553 (
+	.A1(n_31894),
+	.A2(n_32309),
+	.B1(n_32671),
+	.B2(n_31504),
+	.C1(n_5925),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[122]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626554 (
+	.A1(n_31896),
+	.A2(n_32309),
+	.B1(n_31854),
+	.B2(n_31504),
+	.C1(n_5893),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[121]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626555 (
+	.A1(n_31898),
+	.A2(n_32309),
+	.B1(n_32672),
+	.B2(n_31504),
+	.C1(n_5892),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[120]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626556 (
+	.A1(n_31900),
+	.A2(n_32309),
+	.B1(n_31855),
+	.B2(n_31504),
+	.C1(n_5891),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[119]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626557 (
+	.A1(n_31902),
+	.A2(n_32309),
+	.B1(n_31856),
+	.B2(n_31504),
+	.C1(n_5890),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[118]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626558 (
+	.A1(n_32682),
+	.A2(n_32309),
+	.B1(n_31857),
+	.B2(n_31504),
+	.C1(n_5889),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[117]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626559 (
+	.A1(n_31905),
+	.A2(n_32309),
+	.B1(n_31858),
+	.B2(n_31504),
+	.C1(n_5888),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[116]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626560 (
+	.A1(n_31907),
+	.A2(n_32309),
+	.B1(n_31859),
+	.B2(n_31504),
+	.C1(n_5887),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[115]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626561 (
+	.A1(n_31909),
+	.A2(n_32309),
+	.B1(n_31860),
+	.B2(n_31504),
+	.C1(n_5886),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[114]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626562 (
+	.A1(n_31911),
+	.A2(n_32309),
+	.B1(n_31836),
+	.B2(n_31504),
+	.C1(n_5885),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[113]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626563 (
+	.A1(n_31913),
+	.A2(n_32309),
+	.B1(n_31837),
+	.B2(n_31504),
+	.C1(n_5884),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[112]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626564 (
+	.A1(n_31915),
+	.A2(n_32309),
+	.B1(n_31838),
+	.B2(n_31504),
+	.C1(n_5883),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[111]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626565 (
+	.A1(n_31917),
+	.A2(n_32309),
+	.B1(n_31839),
+	.B2(n_31504),
+	.C1(n_5882),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[110]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626566 (
+	.A1(n_31919),
+	.A2(n_32309),
+	.B1(n_31840),
+	.B2(n_31504),
+	.C1(n_5881),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[109]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626567 (
+	.A1(n_31921),
+	.A2(n_32309),
+	.B1(n_31841),
+	.B2(n_31504),
+	.C1(n_5880),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[108]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626568 (
+	.A1(n_31925),
+	.A2(n_32309),
+	.B1(n_31843),
+	.B2(n_31504),
+	.C1(n_5879),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[106]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626569 (
+	.A1(n_31927),
+	.A2(n_32309),
+	.B1(n_31844),
+	.B2(n_31504),
+	.C1(n_5878),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[105]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626570 (
+	.A1(n_31929),
+	.A2(n_32309),
+	.B1(n_31845),
+	.B2(n_31504),
+	.C1(n_5877),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[104]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626571 (
+	.A1(n_31931),
+	.A2(n_32309),
+	.B1(n_32667),
+	.B2(n_31504),
+	.C1(n_5876),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[103]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626572 (
+	.A1(n_31933),
+	.A2(n_32309),
+	.B1(n_31846),
+	.B2(n_31504),
+	.C1(n_5875),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[102]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626573 (
+	.A1(n_31935),
+	.A2(n_32309),
+	.B1(n_31847),
+	.B2(n_31504),
+	.C1(n_5874),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[101]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626574 (
+	.A1(n_31937),
+	.A2(n_32309),
+	.B1(n_31848),
+	.B2(n_31504),
+	.C1(n_5873),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[100]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626575 (
+	.A1(n_31940),
+	.A2(n_32309),
+	.B1(n_31849),
+	.B2(n_31504),
+	.C1(n_5872),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[99]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626576 (
+	.A1(n_31884),
+	.A2(n_32308),
+	.B1(n_31850),
+	.B2(n_32454),
+	.C1(n_5928),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[95]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626577 (
+	.A(n_5869),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[29]),
+	.Y(n_5985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626578 (
+	.A(n_5866),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[29]),
+	.Y(n_5984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626579 (
+	.A(n_5866),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[28]),
+	.Y(n_5983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626580 (
+	.A(n_5866),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[27]),
+	.Y(n_5982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626581 (
+	.A(n_5866),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[26]),
+	.Y(n_5981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626582 (
+	.A(n_5866),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[25]),
+	.Y(n_5980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626583 (
+	.A(n_5866),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[24]),
+	.Y(n_5979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626584 (
+	.A(n_5866),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[23]),
+	.Y(n_5978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626585 (
+	.A(n_5866),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[22]),
+	.Y(n_5977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626586 (
+	.A(n_5866),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[21]),
+	.Y(n_5976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626587 (
+	.A(n_5866),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[20]),
+	.Y(n_5975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626588 (
+	.A(n_5866),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[19]),
+	.Y(n_5974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626589 (
+	.A(n_5866),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[18]),
+	.Y(n_5973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626590 (
+	.A(n_5866),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[17]),
+	.Y(n_5972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626591 (
+	.A(n_5866),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[16]),
+	.Y(n_5971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626592 (
+	.A(n_5866),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[15]),
+	.Y(n_5970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626593 (
+	.A(n_5866),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[14]),
+	.Y(n_5969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626594 (
+	.A(n_5866),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[13]),
+	.Y(n_5968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626595 (
+	.A(n_5866),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[12]),
+	.Y(n_5967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626596 (
+	.A(n_5866),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[10]),
+	.Y(n_5966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626597 (
+	.A(n_5866),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[9]),
+	.Y(n_5965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626598 (
+	.A(n_5866),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[8]),
+	.Y(n_5964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626599 (
+	.A(n_5866),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[7]),
+	.Y(n_5963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626600 (
+	.A(n_5866),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[6]),
+	.Y(n_5962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626601 (
+	.A(n_5866),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[5]),
+	.Y(n_5961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626602 (
+	.A(n_5866),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[4]),
+	.Y(n_5960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626603 (
+	.A(n_5866),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[3]),
+	.Y(n_5959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626604 (
+	.A(n_5866),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[2]),
+	.Y(n_5958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626605 (
+	.A(n_5869),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[31]),
+	.Y(n_5957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626606 (
+	.A(n_5869),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[30]),
+	.Y(n_5956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626607 (
+	.A(n_5866),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[30]),
+	.Y(n_5955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626608 (
+	.A(n_5869),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[28]),
+	.Y(n_5954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626609 (
+	.A(n_5869),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[27]),
+	.Y(n_5953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626610 (
+	.A(n_5869),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[26]),
+	.Y(n_5952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626611 (
+	.A(n_5869),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[25]),
+	.Y(n_5951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626612 (
+	.A(n_5869),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[24]),
+	.Y(n_5950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626613 (
+	.A(n_5869),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[23]),
+	.Y(n_5949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626614 (
+	.A(n_5869),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[22]),
+	.Y(n_5948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626615 (
+	.A(n_5869),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[21]),
+	.Y(n_5947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626616 (
+	.A(n_5869),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[20]),
+	.Y(n_5946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626617 (
+	.A(n_5869),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[19]),
+	.Y(n_5945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626618 (
+	.A(n_5869),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[18]),
+	.Y(n_5944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626619 (
+	.A(n_5869),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[17]),
+	.Y(n_5943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626620 (
+	.A(n_5869),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[16]),
+	.Y(n_5942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626621 (
+	.A(n_5869),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[15]),
+	.Y(n_5941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626622 (
+	.A(n_5869),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[14]),
+	.Y(n_5940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626623 (
+	.A(n_5869),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[13]),
+	.Y(n_5939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626624 (
+	.A(n_5869),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[12]),
+	.Y(n_5938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626625 (
+	.A(n_5869),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[10]),
+	.Y(n_5937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626626 (
+	.A(n_5869),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[9]),
+	.Y(n_5936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626627 (
+	.A(n_5869),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[8]),
+	.Y(n_5935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626628 (
+	.A(n_5869),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[7]),
+	.Y(n_5934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626629 (
+	.A(n_5869),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[6]),
+	.Y(n_5933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626630 (
+	.A(n_5869),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[5]),
+	.Y(n_5932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626631 (
+	.A(n_5869),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[4]),
+	.Y(n_5931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626632 (
+	.A(n_5869),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[3]),
+	.Y(n_5930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626633 (
+	.A(n_5869),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[2]),
+	.Y(n_5929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626634 (
+	.A(n_5868),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[31]),
+	.Y(n_5928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626635 (
+	.A(n_5868),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[30]),
+	.Y(n_5927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626636 (
+	.A(n_5868),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[29]),
+	.Y(n_5926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626637 (
+	.A(n_5867),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[26]),
+	.Y(n_5925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626638 (
+	.A(n_5868),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[27]),
+	.Y(n_5924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626639 (
+	.A(n_5868),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[26]),
+	.Y(n_5923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626640 (
+	.A(n_5868),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[25]),
+	.Y(n_5922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626641 (
+	.A(n_5868),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[24]),
+	.Y(n_5921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626642 (
+	.A(n_5868),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[23]),
+	.Y(n_5920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626643 (
+	.A(n_5868),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[22]),
+	.Y(n_5919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626644 (
+	.A(n_5868),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[21]),
+	.Y(n_5918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626645 (
+	.A(n_5868),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[20]),
+	.Y(n_5917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626646 (
+	.A(n_5868),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[19]),
+	.Y(n_5916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626647 (
+	.A(n_5868),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[18]),
+	.Y(n_5915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626648 (
+	.A(n_5868),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[17]),
+	.Y(n_5914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626649 (
+	.A(n_5868),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[15]),
+	.Y(n_5913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626650 (
+	.A(n_5868),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[16]),
+	.Y(n_5912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626651 (
+	.A(n_5868),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[14]),
+	.Y(n_5911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626652 (
+	.A(n_5868),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[13]),
+	.Y(n_5910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626653 (
+	.A(n_5868),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[12]),
+	.Y(n_5909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626654 (
+	.A(n_5868),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[10]),
+	.Y(n_5908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626655 (
+	.A(n_5868),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[8]),
+	.Y(n_5907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626656 (
+	.A(n_5868),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[9]),
+	.Y(n_5906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626657 (
+	.A(n_5868),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[7]),
+	.Y(n_5905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626658 (
+	.A(n_5868),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[6]),
+	.Y(n_5904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626659 (
+	.A(n_5868),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[5]),
+	.Y(n_5903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626660 (
+	.A(n_5868),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[4]),
+	.Y(n_5902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626661 (
+	.A(n_5868),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[3]),
+	.Y(n_5901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626662 (
+	.A(n_5868),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[2]),
+	.Y(n_5900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626663 (
+	.A(n_5867),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[31]),
+	.Y(n_5899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626664 (
+	.A(n_5867),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[30]),
+	.Y(n_5898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626665 (
+	.A(n_5867),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[29]),
+	.Y(n_5897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626666 (
+	.A(n_5867),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[28]),
+	.Y(n_5896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626667 (
+	.A(n_5868),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[28]),
+	.Y(n_5895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626668 (
+	.A(n_5867),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[27]),
+	.Y(n_5894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626669 (
+	.A(n_5867),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[25]),
+	.Y(n_5893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626670 (
+	.A(n_5867),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[24]),
+	.Y(n_5892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626671 (
+	.A(n_5867),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[23]),
+	.Y(n_5891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626672 (
+	.A(n_5867),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[22]),
+	.Y(n_5890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626673 (
+	.A(n_5867),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[21]),
+	.Y(n_5889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626674 (
+	.A(n_5867),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[20]),
+	.Y(n_5888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626675 (
+	.A(n_5867),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[19]),
+	.Y(n_5887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626676 (
+	.A(n_5867),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[18]),
+	.Y(n_5886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626677 (
+	.A(n_5867),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[17]),
+	.Y(n_5885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626678 (
+	.A(n_5867),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[16]),
+	.Y(n_5884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626679 (
+	.A(n_5867),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[15]),
+	.Y(n_5883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626680 (
+	.A(n_5867),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[14]),
+	.Y(n_5882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626681 (
+	.A(n_5867),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[13]),
+	.Y(n_5881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626682 (
+	.A(n_5867),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[12]),
+	.Y(n_5880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626683 (
+	.A(n_5867),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[10]),
+	.Y(n_5879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626684 (
+	.A(n_5867),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[9]),
+	.Y(n_5878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626685 (
+	.A(n_5867),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[8]),
+	.Y(n_5877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626686 (
+	.A(n_5867),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[7]),
+	.Y(n_5876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626687 (
+	.A(n_5867),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[6]),
+	.Y(n_5875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626688 (
+	.A(n_5867),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[5]),
+	.Y(n_5874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626689 (
+	.A(n_5867),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[4]),
+	.Y(n_5873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626690 (
+	.A(n_5867),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[3]),
+	.Y(n_5872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626691 (
+	.A(n_5867),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[2]),
+	.Y(n_5871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626692 (
+	.A(n_5866),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[31]),
+	.Y(n_5870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626693 (
+	.A(n_31496),
+	.B(n_31504),
+	.Y(n_32309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626694 (
+	.A(n_32503),
+	.B(n_31302),
+	.Y(n_31300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626695 (
+	.A(n_32443),
+	.B(n_32441),
+	.Y(n_31088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626696 (
+	.A(n_31445),
+	.B(n_32454),
+	.Y(n_32308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g626697 (
+	.A(n_5869),
+	.Y(n_32503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g626698 (
+	.A(n_5868),
+	.Y(n_31445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g626699 (
+	.A(n_31584),
+	.B(n_31497),
+	.Y(n_5869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g626700 (
+	.A(n_31446),
+	.B(n_31497),
+	.Y(n_5868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g626701 (
+	.A(n_5867),
+	.Y(n_31496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g626702 (
+	.A(n_5866),
+	.Y(n_32443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g626703 (
+	.A(n_31502),
+	.B(n_31497),
+	.Y(n_5867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g626704 (
+	.A(n_31580),
+	.B(n_31497),
+	.Y(n_5866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g626705 (
+	.A1(brqrv_top_brqrv_dec_tlu_wb_coalescing_disable),
+	.A2(n_5317),
+	.A3(n_5865),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_valid),
+	.Y(n_31497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g626706 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_WrPtr1_r[0]),
+	.B(n_5863),
+	.X(n_32454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626707 (
+	.A(n_5864),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_WrPtr1_r[0]),
+	.Y(n_31504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g626708 (
+	.A(n_31499),
+	.B(n_31498),
+	.C(n_5832),
+	.Y(n_5865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626709 (
+	.A(n_5300),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_WrPtr1_r[0]),
+	.Y(n_31302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g626710 (
+	.A(n_5863),
+	.Y(n_5864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626712 (
+	.A(n_5861),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_WrPtr1_r[1]),
+	.Y(n_5863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626713 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_wr_en),
+	.B(n_31500),
+	.Y(n_31499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626714 (
+	.A(n_5861),
+	.B(n_5383),
+	.Y(n_32441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g626716 (
+	.A(n_31506),
+	.B_N(n_32513),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_wr_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g626718 (
+	.A(n_32816),
+	.B(n_32513),
+	.Y(n_5861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g626719 (
+	.A1(brqrv_top_brqrv_lsu_lsu_pkt_r[7]),
+	.A2(n_5860),
+	.B1(n_5330),
+	.C1(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.Y(n_32513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g626720 (
+	.A(n_5330),
+	.B(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.C(n_5829),
+	.D(n_31822),
+	.X(n_31498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g626721 (
+	.A(n_30626),
+	.B(n_5859),
+	.C(n_31822),
+	.Y(n_5860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g626722 (
+	.A(brqrv_top_brqrv_lsu_lsu_exc_m),
+	.B(n_5409),
+	.C(n_31939),
+	.D(brqrv_top_brqrv_dec_tlu_flush_lower_r),
+	.X(n_31822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g626723 (
+	.A(n_5849),
+	.B(n_32657),
+	.C(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.X(brqrv_top_brqrv_dec_tlu_flush_lower_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g626724 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[22]),
+	.B(n_32582),
+	.X(n_32540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g626725 (
+	.A(brqrv_top_brqrv_dec_i0_predict_p_d[43]),
+	.B(n_32573),
+	.X(n_32784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_2 g626726 (
+	.A(brqrv_top_brqrv_dec_tlu_n_13199),
+	.B(brqrv_top_brqrv_dec_tlu_n_3813),
+	.C_N(n_30465),
+	.X(brqrv_top_brqrv_dec_tlu_interrupt_valid_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626727 (
+	.A(brqrv_top_brqrv_dec_decode_any_csr_d),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[20]),
+	.Y(n_32579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626728 (
+	.A(brqrv_top_brqrv_dec_decode_any_csr_d),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[42]),
+	.Y(n_32573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626729 (
+	.A(brqrv_top_brqrv_dec_decode_any_csr_d),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[23]),
+	.Y(n_32582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626730 (
+	.A(brqrv_top_brqrv_dec_decode_any_csr_d),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[43]),
+	.Y(n_32570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626731 (
+	.A(brqrv_top_brqrv_dec_decode_any_csr_d),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[22]),
+	.Y(n_32790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626732 (
+	.A(brqrv_top_brqrv_dec_decode_any_csr_d),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[21]),
+	.Y(n_32578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g626733 (
+	.A1(brqrv_top_brqrv_dec_dec_i0_instr_d[20]),
+	.A2(brqrv_top_brqrv_dec_dec_i0_instr_d[21]),
+	.B1(brqrv_top_brqrv_dec_decode_any_csr_d),
+	.X(n_32577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626734 (
+	.A(n_30463),
+	.B(brqrv_top_brqrv_dec_tlu_take_int_timer0_int),
+	.Y(brqrv_top_brqrv_dec_tlu_n_3813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626735 (
+	.A(n_32791),
+	.B(n_32792),
+	.Y(brqrv_top_brqrv_dec_decode_any_csr_d), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4b_1 g626736 (
+	.A(n_31760),
+	.B(n_31747),
+	.C(n_33354),
+	.D_N(n_31833),
+	.X(n_32791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g626737 (
+	.A(n_5857),
+	.B(n_5798),
+	.C(n_5780),
+	.Y(brqrv_top_brqrv_dec_tlu_take_int_timer0_int), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g626738 (
+	.A(n_5858),
+	.B(n_5798),
+	.C(n_5780),
+	.Y(n_30463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626740 (
+	.A(n_30464),
+	.B(n_30462),
+	.Y(brqrv_top_brqrv_dec_tlu_n_13199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g626742 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_m[7]),
+	.B(n_32924),
+	.Y(n_5859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g626743 (
+	.A(n_5798),
+	.B(n_5856),
+	.X(n_30464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g626744 (
+	.A(brqrv_top_brqrv_dec_decode_i0_icaf_d),
+	.B(brqrv_top_brqrv_dec_decode_i0_br_error_all),
+	.X(n_33354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g626745 (
+	.A(n_5816),
+	.B(n_5856),
+	.Y(n_5858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g626746 (
+	.A(n_5807),
+	.B(n_5856),
+	.C_N(brqrv_top_brqrv_dec_tlu_mie_ns[4]),
+	.Y(n_5857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 g626747 (
+	.A1(brqrv_top_brqrv_dec_tlu_halt_taken_f),
+	.A2(brqrv_top_brqrv_dec_tlu_dec_tlu_flush_noredir_r_d1),
+	.A3(n_5363),
+	.A4(n_5849),
+	.B1(n_30461),
+	.Y(n_32657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626748 (
+	.A(n_5855),
+	.B(n_5799),
+	.Y(n_30461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626749 (
+	.A(n_5855),
+	.B(n_5800),
+	.Y(n_5856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g626750 (
+	.A1(brqrv_top_brqrv_dec_decode_leak1_mode),
+	.A2(n_31740),
+	.B1(n_32528),
+	.Y(brqrv_top_brqrv_dec_decode_i0_br_error_all), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g626751 (
+	.A(n_5853),
+	.B(n_32939),
+	.C(n_32951),
+	.D(n_32952),
+	.Y(n_32924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g626752 (
+	.A(brqrv_top_brqrv_dec_decode_leak1_mode),
+	.B(n_5854),
+	.X(n_32528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4bb_1 g626753 (
+	.A(brqrv_top_brqrv_dec_tlu_ext_int_freeze_d1),
+	.B(n_5852),
+	.C_N(brqrv_top_brqrv_dec_tlu_ebreak_to_debug_mode_r_BAR),
+	.D_N(n_30465),
+	.Y(n_5855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g626754 (
+	.A1(n_5851),
+	.A2(n_31726),
+	.B1(n_5830),
+	.Y(n_5854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g626755 (
+	.A(n_5848),
+	.B(n_32941),
+	.C(n_32940),
+	.D(n_32953),
+	.X(n_5853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626756 (
+	.A(n_5850),
+	.B(n_30460),
+	.Y(n_5852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g626757 (
+	.A1(n_32585),
+	.A2(n_5847),
+	.B1(n_5801),
+	.B2(n_5826),
+	.Y(n_5851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g626758 (
+	.A_N(n_5849),
+	.B(n_5844),
+	.C(n_5842),
+	.D(brqrv_top_brqrv_dec_tlu_ebreak_to_debug_mode_r_BAR),
+	.Y(n_30465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g626759 (
+	.A1(brqrv_top_brqrv_dec_tlu_internal_dbg_halt_mode),
+	.A2(n_5587),
+	.B1(brqrv_top_brqrv_dec_tlu_internal_pmu_fw_halt_mode),
+	.C1(brqrv_top_brqrv_dec_tlu_n_12976),
+	.Y(n_5850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g626760 (
+	.A(n_5845),
+	.B(n_30460),
+	.C(n_32730),
+	.Y(n_5849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g626761 (
+	.A(n_5840),
+	.B(n_32947),
+	.C(n_32945),
+	.D(n_32949),
+	.X(n_5848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g626762 (
+	.A(n_5790),
+	.B(n_5836),
+	.C(n_5841),
+	.D(n_5843),
+	.Y(n_5847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626763 (
+	.A(n_5846),
+	.B(n_32730),
+	.Y(brqrv_top_brqrv_dec_tlu_n_12976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g626764 (
+	.A(brqrv_top_brqrv_dec_tlu_exc_or_int_valid_r_d1),
+	.B(n_5299),
+	.Y(n_5846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g626765 (
+	.A(brqrv_top_brqrv_dec_tlu_reset_delayed),
+	.B(n_5299),
+	.Y(n_5845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g626766 (
+	.A(brqrv_top_brqrv_dec_tlu_internal_pmu_fw_halt_mode),
+	.Y(n_5844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g626767 (
+	.A1(n_5689),
+	.A2(brqrv_top_brqrv_dec_i0_predict_p_d[46]),
+	.B1(n_5785),
+	.C1(n_5783),
+	.D1(n_5839),
+	.Y(n_5843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g626768 (
+	.A1(brqrv_top_brqrv_dec_tlu_internal_dbg_halt_mode),
+	.A2(n_5519),
+	.B1(n_5470),
+	.B2(brqrv_top_brqrv_dec_tlu_ext_int_freeze_d1),
+	.C1(n_5791),
+	.Y(n_5842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g626769 (
+	.A1(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.A2(n_5820),
+	.B1(n_30457),
+	.Y(brqrv_top_brqrv_dec_tlu_internal_pmu_fw_halt_mode), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626770 (
+	.A1(n_5690),
+	.A2(brqrv_top_brqrv_dec_i0_predict_p_d[39]),
+	.B1(n_5697),
+	.B2(brqrv_top_brqrv_dec_i0_predict_p_d[40]),
+	.C1(n_5838),
+	.Y(n_5841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g626771 (
+	.A1(n_5837),
+	.A2(n_30455),
+	.B1(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.X(n_30457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g626772 (
+	.A(n_5831),
+	.B(n_32944),
+	.C(n_32943),
+	.D(n_32942),
+	.X(n_5840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g626774 (
+	.A(n_5810),
+	.B(n_5811),
+	.C(n_5815),
+	.D(n_5834),
+	.Y(n_5839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g626775 (
+	.A1(brqrv_top_brqrv_dec_i0_predict_p_d[40]),
+	.A2(n_5697),
+	.B1(brqrv_top_brqrv_dec_i0_predict_p_d[38]),
+	.B2(n_5698),
+	.C1(n_5835),
+	.Y(n_5838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626777 (
+	.A(n_30454),
+	.B(brqrv_top_brqrv_dec_tlu_pmu_fw_halt_req_f),
+	.Y(n_5837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626778 (
+	.A(n_30456),
+	.B(n_5821),
+	.Y(brqrv_top_brqrv_dec_tlu_sel_npc_resume), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626779 (
+	.A(n_5833),
+	.B(n_5506),
+	.Y(brqrv_top_brqrv_dec_tlu_internal_dbg_halt_mode), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g626780 (
+	.A1_N(n_5690),
+	.A2_N(brqrv_top_brqrv_dec_i0_predict_p_d[39]),
+	.B1(n_5698),
+	.B2(brqrv_top_brqrv_dec_i0_predict_p_d[38]),
+	.Y(n_5836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g626781 (
+	.A1_N(n_5696),
+	.A2_N(brqrv_top_brqrv_dec_i0_predict_p_d[41]),
+	.B1(n_5696),
+	.B2(brqrv_top_brqrv_dec_i0_predict_p_d[41]),
+	.Y(n_5835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g626782 (
+	.A(n_5671),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[48]),
+	.Y(n_5834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g626783 (
+	.A(n_5833),
+	.Y(brqrv_top_brqrv_dec_tlu_debug_halt_req_ns), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g626784 (
+	.A1(n_30453),
+	.A2(n_5827),
+	.B1(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.X(n_30454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g626785 (
+	.A1(n_5828),
+	.A2(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.B1(brqrv_top_brqrv_dec_tlu_enter_debug_halt_req),
+	.Y(n_5833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g626786 (
+	.A1(n_31500),
+	.A2(n_5353),
+	.A3(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_timer[0]),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_sideeffect),
+	.Y(n_5832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g626787 (
+	.A(n_5823),
+	.B(n_32950),
+	.C(n_32927),
+	.D(n_32946),
+	.X(n_5831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g626788 (
+	.A(brqrv_top_brqrv_dec_tlu_pmu_fw_halt_req_f),
+	.B(brqrv_top_brqrv_dec_tlu_ext_int_freeze_d1),
+	.C(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.D(n_5824),
+	.X(n_30456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g626789 (
+	.A1_N(brqrv_top_brqrv_dec_dec_i0_instr_d[21]),
+	.A2_N(n_5826),
+	.B1(n_5774),
+	.B2(n_5826),
+	.Y(brqrv_top_brqrv_dec_i0_predict_p_d[38]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g626790 (
+	.A1(n_5826),
+	.A2(brqrv_top_brqrv_dec_dec_i0_instr_d[22]),
+	.B1(n_5825),
+	.B2(brqrv_top_brqrv_dec_dec_i0_instr_d[9]),
+	.X(brqrv_top_brqrv_dec_i0_predict_p_d[39]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g626791 (
+	.A1(n_5826),
+	.A2(brqrv_top_brqrv_dec_dec_i0_instr_d[20]),
+	.B1(n_5825),
+	.B2(brqrv_top_brqrv_dec_dec_i0_instr_d[7]),
+	.X(brqrv_top_brqrv_dec_i0_predict_p_d[48]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g626792 (
+	.A1(n_31732),
+	.A2(n_5822),
+	.B1(n_5775),
+	.B2(n_5781),
+	.Y(n_5830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g626793 (
+	.A1(n_5826),
+	.A2(brqrv_top_brqrv_dec_dec_i0_instr_d[24]),
+	.B1(n_5825),
+	.B2(brqrv_top_brqrv_dec_dec_i0_instr_d[11]),
+	.X(brqrv_top_brqrv_dec_i0_predict_p_d[41]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g626794 (
+	.A1(n_5826),
+	.A2(brqrv_top_brqrv_dec_dec_i0_instr_d[23]),
+	.B1(n_5825),
+	.B2(brqrv_top_brqrv_dec_dec_i0_instr_d[10]),
+	.X(brqrv_top_brqrv_dec_i0_predict_p_d[40]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g626795 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_m[7]),
+	.B(n_32954),
+	.Y(n_5829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g626796 (
+	.A_N(n_31501),
+	.B(n_32816),
+	.Y(n_31500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g626797 (
+	.A(n_5828),
+	.Y(brqrv_top_brqrv_dec_tlu_dbg_tlu_halted), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g626798 (
+	.A_N(brqrv_top_brqrv_dec_tlu_enter_debug_halt_req),
+	.B(n_5819),
+	.C(brqrv_top_brqrv_dec_tlu_pmu_fw_halt_req_f),
+	.Y(n_5827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g626799 (
+	.A1(n_5819),
+	.A2(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.B1(n_32730),
+	.B2(brqrv_top_brqrv_dec_tlu_dbg_halted),
+	.Y(n_5828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g626800 (
+	.A(n_5826),
+	.Y(n_5825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g626801 (
+	.A(n_5800),
+	.B(n_5798),
+	.C(n_5817),
+	.Y(n_5824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g626802 (
+	.A(n_5317),
+	.B(n_5330),
+	.C(n_5812),
+	.D(n_31506),
+	.X(n_31501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g626803 (
+	.A1(n_32683),
+	.A2(n_32684),
+	.B1(n_32697),
+	.Y(n_5826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111a_1 g626804 (
+	.A1(n_31868),
+	.A2(n_5342),
+	.B1(n_32928),
+	.C1(n_32948),
+	.D1(n_5813),
+	.X(n_5823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g626805 (
+	.A1_N(n_5764),
+	.A2_N(n_31726),
+	.B1(n_5764),
+	.B2(n_31726),
+	.Y(n_5822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111o_1 g626806 (
+	.A1(n_31934),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[5]),
+	.B1(n_5485),
+	.C1(n_5437),
+	.D1(n_5809),
+	.X(n_32954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g626807 (
+	.A_N(n_30452),
+	.B(brqrv_top_brqrv_dec_tlu_dec_tlu_pmu_fw_halted),
+	.Y(n_5821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626808 (
+	.A(n_30452),
+	.B(brqrv_top_brqrv_dec_tlu_internal_pmu_fw_halt_mode_f),
+	.Y(n_5820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g626809 (
+	.A1(n_31760),
+	.A2(n_5814),
+	.B1(n_31757),
+	.Y(n_5818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g626810 (
+	.A(brqrv_top_brqrv_dec_tlu_core_empty),
+	.B(brqrv_top_brqrv_dec_tlu_halt_taken),
+	.X(n_5819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626811 (
+	.A(n_30452),
+	.B(brqrv_top_brqrv_dec_tlu_dec_tlu_pmu_fw_halted),
+	.Y(n_30453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g626812 (
+	.A(brqrv_top_brqrv_dec_tlu_interrupt_valid_r_d1),
+	.B(brqrv_top_brqrv_dec_tlu_halt_taken_f),
+	.C(n_5804),
+	.Y(n_5817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g626813 (
+	.A1(brqrv_top_brqrv_dec_tlu_mip[3]),
+	.A2(brqrv_top_brqrv_dec_tlu_int_timer1_int_hold_f),
+	.B1(brqrv_top_brqrv_dec_tlu_mie_ns[3]),
+	.C1(n_5807),
+	.Y(n_5816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g626814 (
+	.A1(n_5802),
+	.A2(n_5806),
+	.B1(brqrv_top_brqrv_n_578),
+	.Y(n_30452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g626815 (
+	.A_N(n_32697),
+	.B(n_5359),
+	.C(n_33355),
+	.D(n_5814),
+	.Y(n_31726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g626816 (
+	.A1(brqrv_top_brqrv_dec_i0_predict_p_d[43]),
+	.A2(n_5693),
+	.B1(brqrv_top_brqrv_dec_i0_predict_p_d[44]),
+	.B2(n_5695),
+	.C1(n_5808),
+	.X(n_5815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 g626818 (
+	.A1(n_5410),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_miss_pending),
+	.A3(n_30448),
+	.A4(brqrv_top_brqrv_dec_tlu_debug_halt_req),
+	.B1(n_30451),
+	.Y(brqrv_top_brqrv_dec_tlu_core_empty), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g626819 (
+	.A(n_5786),
+	.B(n_5805),
+	.C(n_33356),
+	.Y(n_32684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g626820 (
+	.A1(n_5803),
+	.A2(n_32729),
+	.B1(n_38506),
+	.B2(brqrv_top_brqrv_dbg_cmd_addr[3]),
+	.X(brqrv_top_brqrv_dec_dec_i0_instr_d[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g626821 (
+	.A(n_5814),
+	.Y(brqrv_top_brqrv_dec_decode_n_1216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g626822 (
+	.A(n_5796),
+	.B(n_32929),
+	.C(n_32930),
+	.X(n_5813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g626823 (
+	.A_N(brqrv_top_brqrv_dec_tlu_wb_coalescing_disable),
+	.B(n_5795),
+	.C(brqrv_top_brqrv_lsu_lsu_pkt_r[6]),
+	.Y(n_5812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 g626824 (
+	.A1(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[31]),
+	.A2(n_5770),
+	.A3(n_5769),
+	.A4(n_5793),
+	.B1(brqrv_top_brqrv_dec_tlu_mfdht[0]),
+	.Y(n_30451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g626825 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[9]),
+	.B(n_32618),
+	.C(n_32615),
+	.Y(n_5814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g626826 (
+	.A1(n_5694),
+	.A2(brqrv_top_brqrv_dec_i0_predict_p_d[42]),
+	.B1(n_5692),
+	.B2(brqrv_top_brqrv_dec_i0_predict_p_d[45]),
+	.Y(n_5811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g626827 (
+	.A1_N(n_5692),
+	.A2_N(brqrv_top_brqrv_dec_i0_predict_p_d[45]),
+	.B1(n_5693),
+	.B2(brqrv_top_brqrv_dec_i0_predict_p_d[43]),
+	.Y(n_5810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g626828 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[2]),
+	.A2(n_31861),
+	.B1(n_5675),
+	.C1(n_5688),
+	.D1(n_5797),
+	.Y(n_5809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g626829 (
+	.A1_N(n_5694),
+	.A2_N(brqrv_top_brqrv_dec_i0_predict_p_d[42]),
+	.B1(n_5695),
+	.B2(brqrv_top_brqrv_dec_i0_predict_p_d[44]),
+	.Y(n_5808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g626830 (
+	.A(n_5800),
+	.B_N(brqrv_top_brqrv_mhwakeup),
+	.Y(n_5806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g626831 (
+	.A(brqrv_top_brqrv_dbg_cmd_addr[1]),
+	.B(brqrv_top_brqrv_dbg_cmd_addr[0]),
+	.C(n_5794),
+	.X(n_31833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g626832 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timer0_int_hold_f),
+	.B_N(n_30449),
+	.Y(n_5807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 g626834 (
+	.A(brqrv_top_brqrv_dec_dec_pause_state),
+	.B(n_5802),
+	.C_N(brqrv_top_brqrv_dec_tlu_dec_pause_state_f),
+	.X(n_5804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111o_1 g626835 (
+	.A1(n_5462),
+	.A2(brqrv_top_brqrv_ifu_aln_q0eff[23]),
+	.B1(n_5725),
+	.C1(n_5370),
+	.D1(n_5784),
+	.X(n_5803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g626836 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[8]),
+	.B(n_32615),
+	.C_N(brqrv_top_brqrv_dec_dec_i0_instr_d[7]),
+	.Y(n_5805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g626837 (
+	.A1(n_5789),
+	.A2(n_32729),
+	.B1(n_38506),
+	.B2(brqrv_top_brqrv_dbg_cmd_addr[2]),
+	.X(brqrv_top_brqrv_dec_dec_i0_instr_d[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g626838 (
+	.A_N(n_31732),
+	.B(n_31745),
+	.Y(n_5801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g626839 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timer1_int_hold_f),
+	.B(brqrv_top_brqrv_dec_tlu_int_timer0_int_hold_f),
+	.C(brqrv_top_brqrv_dec_tlu_nmi_int_detected),
+	.X(n_5802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g626840 (
+	.A_N(n_31732),
+	.B(brqrv_top_brqrv_i0_brp[37]),
+	.Y(n_32585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g626841 (
+	.A_N(brqrv_top_brqrv_dec_dec_i0_instr_d[7]),
+	.B(n_5774),
+	.Y(n_32618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g626842 (
+	.A_N(n_30450),
+	.B(brqrv_top_brqrv_dec_tlu_mip[4]),
+	.Y(n_30449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g626843 (
+	.A1(n_5310),
+	.A2(n_32763),
+	.B1(n_5309),
+	.B2(brqrv_top_brqrv_dbg_command_reg[6]),
+	.C1(n_5788),
+	.X(brqrv_top_brqrv_dec_i0_predict_p_d[43]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g626844 (
+	.A(n_5800),
+	.Y(n_5799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g626845 (
+	.A1(n_31885),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[30]),
+	.B1(n_5440),
+	.C1(n_5792),
+	.Y(n_5797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g626846 (
+	.A(n_5773),
+	.B(n_32925),
+	.C(n_32931),
+	.D(n_32926),
+	.X(n_5796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g626847 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_is_sideeffects_r),
+	.B(n_5712),
+	.C(n_5714),
+	.D(n_5772),
+	.Y(n_5795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g626848 (
+	.A1(n_5296),
+	.A2(n_32729),
+	.B1(n_38506),
+	.B2(brqrv_top_brqrv_dbg_cmd_addr[4]),
+	.X(brqrv_top_brqrv_dec_dec_i0_instr_d[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g626849 (
+	.A(brqrv_top_brqrv_dec_tlu_mie_ns[2]),
+	.B(n_5780),
+	.C(brqrv_top_brqrv_dec_tlu_mip[2]),
+	.Y(n_5800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g626850 (
+	.A(brqrv_top_brqrv_dec_tlu_mie_ns[5]),
+	.B(n_5780),
+	.C(brqrv_top_brqrv_dec_tlu_mip[5]),
+	.Y(n_5798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g626851 (
+	.A1(n_5310),
+	.A2(n_32724),
+	.B1(n_5309),
+	.B2(brqrv_top_brqrv_dbg_command_reg[5]),
+	.C1(n_5787),
+	.X(brqrv_top_brqrv_dec_i0_predict_p_d[42]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g626852 (
+	.A_N(brqrv_top_brqrv_dbg_cmd_addr[3]),
+	.B(n_5777),
+	.C(brqrv_top_brqrv_dbg_cmd_addr[2]),
+	.Y(n_5794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626853 (
+	.A(n_5782),
+	.B(n_5590),
+	.Y(n_5793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g626854 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[31]),
+	.A2(n_31883),
+	.B1(n_5660),
+	.C1(n_5743),
+	.D1(n_5771),
+	.Y(n_5792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g626855 (
+	.A_N(brqrv_top_brqrv_dec_tlu_mstatus_mie_ns),
+	.B(brqrv_top_brqrv_dec_tlu_mie_ns[4]),
+	.Y(n_30450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626856 (
+	.A1(brqrv_top_brqrv_dec_dec_i0_instr_d[2]),
+	.A2(n_5762),
+	.B1(n_31881),
+	.B2(n_5751),
+	.C1(n_31759),
+	.Y(n_33355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g626857 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[11]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[10]),
+	.X(n_32615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g626859 (
+	.A(brqrv_top_brqrv_dec_tlu_nmi_int_detected),
+	.Y(n_5791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g626860 (
+	.A(n_5670),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[47]),
+	.Y(n_5790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g626861 (
+	.A1(n_5462),
+	.A2(brqrv_top_brqrv_ifu_aln_q0eff[22]),
+	.B1(n_5370),
+	.C1(n_5767),
+	.X(n_5789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g626862 (
+	.A1(brqrv_top_brqrv_dec_dec_debug_valid_d),
+	.A2(n_5778),
+	.B1(n_5582),
+	.B2(n_32718),
+	.Y(n_5788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g626863 (
+	.A1(brqrv_top_brqrv_dec_dec_debug_valid_d),
+	.A2(n_5765),
+	.B1(n_5581),
+	.B2(n_32718),
+	.Y(n_5787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g626864 (
+	.A(n_30444),
+	.B(n_30447),
+	.C(n_30445),
+	.Y(brqrv_top_brqrv_dec_tlu_nmi_int_detected), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g626865 (
+	.A(brqrv_top_brqrv_dec_decode_leak1_mode),
+	.B(brqrv_top_brqrv_dec_decode_i0_icaf_d),
+	.C(n_5775),
+	.X(n_31732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g626866 (
+	.A1(n_5779),
+	.A2(n_32729),
+	.B1(n_38506),
+	.B2(brqrv_top_brqrv_dbg_cmd_addr[1]),
+	.X(brqrv_top_brqrv_dec_dec_i0_instr_d[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626867 (
+	.A(brqrv_top_brqrv_dec_i0_predict_p_d[46]),
+	.B(n_5689),
+	.Y(n_5785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g626869 (
+	.A1(n_5322),
+	.A2(n_5754),
+	.B1(n_32766),
+	.B2(n_5294),
+	.Y(n_5784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 g626870 (
+	.A1(brqrv_top_brqrv_dec_dec_i0_instr_d[17]),
+	.A2(brqrv_top_brqrv_dec_dec_i0_instr_d[31]),
+	.A3(n_31824),
+	.A4(n_5416),
+	.B1(n_5776),
+	.Y(n_5786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4b_1 g626871 (
+	.A(brqrv_top_brqrv_dec_tlu_internal_dbg_halt_mode_f2),
+	.B(brqrv_top_brqrv_dec_tlu_ext_int_freeze_d1),
+	.C(n_30446),
+	.D_N(brqrv_top_brqrv_dec_dec_csr_wrdata_r[0]),
+	.X(n_30455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g626872 (
+	.A1(n_5378),
+	.A2(n_32743),
+	.B1(n_5336),
+	.C1(n_32700),
+	.D1(n_5761),
+	.Y(brqrv_top_brqrv_dec_dec_i0_instr_d[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g626873 (
+	.A1_N(n_5691),
+	.A2_N(brqrv_top_brqrv_dec_dec_i0_instr_d[31]),
+	.B1(n_5691),
+	.B2(brqrv_top_brqrv_dec_dec_i0_instr_d[31]),
+	.Y(n_5783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g626874 (
+	.A(n_5683),
+	.B(n_5733),
+	.C(n_5768),
+	.Y(n_5782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g626875 (
+	.A(brqrv_top_brqrv_ifu_aln_first2B),
+	.B(n_5757),
+	.X(n_5781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g626876 (
+	.A1(n_5750),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[30]),
+	.B1(n_30442),
+	.B2(brqrv_top_brqrv_dec_tlu_mie[5]),
+	.X(brqrv_top_brqrv_dec_tlu_mie_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g626877 (
+	.A1(n_5750),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[11]),
+	.B1(n_30442),
+	.B2(brqrv_top_brqrv_dec_tlu_mie[2]),
+	.X(brqrv_top_brqrv_dec_tlu_mie_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g626878 (
+	.A1(n_5750),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[28]),
+	.B1(n_30442),
+	.B2(brqrv_top_brqrv_dec_tlu_mie[3]),
+	.X(brqrv_top_brqrv_dec_tlu_mie_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g626879 (
+	.A1(n_5750),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[29]),
+	.B1(n_30442),
+	.B2(brqrv_top_brqrv_dec_tlu_mie[4]),
+	.X(brqrv_top_brqrv_dec_tlu_mie_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111o_1 g626880 (
+	.A1(n_5462),
+	.A2(brqrv_top_brqrv_ifu_aln_q0eff[21]),
+	.B1(n_5730),
+	.C1(n_5370),
+	.D1(n_5726),
+	.X(n_5779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g626881 (
+	.A1(n_5737),
+	.A2(brqrv_top_brqrv_ifu_aln_uncompress0[1]),
+	.B1(n_5370),
+	.Y(n_5778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g626882 (
+	.A(brqrv_top_brqrv_dbg_cmd_addr[4]),
+	.B(n_5729),
+	.C(n_32686),
+	.D_N(brqrv_top_brqrv_dbg_cmd_addr[8]),
+	.Y(n_5777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g626883 (
+	.A_N(n_5647),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[13]),
+	.C(brqrv_top_brqrv_dec_dec_i0_instr_d[18]),
+	.D(brqrv_top_brqrv_dec_dec_i0_instr_d[31]),
+	.Y(n_5776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g626884 (
+	.A_N(brqrv_top_brqrv_dec_tlu_mdseac_locked_f),
+	.B(brqrv_top_brqrv_lsu_pmu_bus_error),
+	.C(n_30447),
+	.Y(n_30444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626885 (
+	.A(n_5763),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[6]),
+	.Y(n_31745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626886 (
+	.A(n_32709),
+	.B(n_32713),
+	.Y(n_33356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4b_2 g626887 (
+	.A(n_5706),
+	.B(n_5704),
+	.C(n_5540),
+	.D_N(n_32609),
+	.X(brqrv_top_brqrv_dec_i0_predict_p_d[47]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626888 (
+	.A(n_5752),
+	.B(n_5705),
+	.Y(brqrv_top_brqrv_dec_i0_predict_p_d[46]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g626889 (
+	.A1(n_5310),
+	.A2(n_32737),
+	.B1(n_5309),
+	.B2(brqrv_top_brqrv_dbg_command_reg[7]),
+	.C1(n_5756),
+	.X(brqrv_top_brqrv_dec_i0_predict_p_d[44]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g626890 (
+	.A(brqrv_top_brqrv_dec_tlu_mstatus_mie_ns),
+	.B_N(n_30439),
+	.Y(n_5780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g626891 (
+	.A(n_5644),
+	.B(n_32685),
+	.C(n_5740),
+	.X(brqrv_top_brqrv_dec_dec_i0_instr_d[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g626892 (
+	.A(n_5774),
+	.Y(brqrv_top_brqrv_dec_dec_i0_instr_d[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g626893 (
+	.A1(n_5342),
+	.A2(n_31868),
+	.B1(n_5755),
+	.Y(n_5773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g626894 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[31]),
+	.A2(n_31884),
+	.B1(n_5673),
+	.C1(n_5703),
+	.D1(n_5735),
+	.Y(n_5772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g626895 (
+	.A1(n_31883),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[31]),
+	.B1(n_5760),
+	.Y(n_5771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g626896 (
+	.A1(n_5344),
+	.A2(n_5544),
+	.B1(n_5753),
+	.Y(n_5770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g626897 (
+	.A1(n_5682),
+	.A2(n_5349),
+	.B1(n_5427),
+	.B2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[28]),
+	.C1(n_5744),
+	.X(n_5769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g626898 (
+	.A1(brqrv_top_brqrv_dec_tlu_mfdht[5]),
+	.A2(n_5541),
+	.B1(n_5749),
+	.C1(n_5721),
+	.Y(n_5768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g626899 (
+	.A1(n_32767),
+	.A2(n_5535),
+	.B1(n_5759),
+	.Y(n_5767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g626900 (
+	.A1(n_5716),
+	.A2(brqrv_top_brqrv_ifu_aln_uncompress0[1]),
+	.B1(n_5462),
+	.B2(brqrv_top_brqrv_ifu_aln_q0eff[24]),
+	.C1(n_5746),
+	.Y(n_5766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g626901 (
+	.A1(brqrv_top_brqrv_ifu_aln_uncompress0[1]),
+	.A2(n_5720),
+	.B1(n_5463),
+	.B2(n_33357),
+	.C1(n_5643),
+	.Y(n_5765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g626902 (
+	.A(n_5292),
+	.B(n_5606),
+	.C(n_5734),
+	.D(n_5731),
+	.Y(n_5775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g626903 (
+	.A1(brqrv_top_brqrv_ifu_aln_first2B),
+	.A2(n_5745),
+	.B1(n_5617),
+	.B2(n_31749),
+	.Y(brqrv_top_brqrv_i0_brp[37]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g626904 (
+	.A1(n_5320),
+	.A2(n_33364),
+	.B1(n_5331),
+	.B2(brqrv_top_brqrv_dbg_cmd_addr[4]),
+	.C1(n_5748),
+	.X(brqrv_top_brqrv_dec_dec_i0_instr_d[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g626905 (
+	.A1(n_33361),
+	.A2(n_5320),
+	.B1(n_5307),
+	.B2(n_5707),
+	.C1(n_5331),
+	.C2(brqrv_top_brqrv_dbg_cmd_addr[1]),
+	.Y(n_5774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g626906 (
+	.A(n_5763),
+	.Y(n_31723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g626907 (
+	.A_N(n_31767),
+	.B(n_31747),
+	.Y(n_5762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g626908 (
+	.A1(n_5331),
+	.A2(brqrv_top_brqrv_dbg_cmd_addr[3]),
+	.B1(n_5739),
+	.Y(n_5761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g626909 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[13]),
+	.A2(n_31918),
+	.B1(n_5498),
+	.C1(n_5687),
+	.D1(n_5686),
+	.Y(n_5760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g626910 (
+	.A(n_5728),
+	.B(n_5747),
+	.Y(n_5759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g626911 (
+	.A1(n_5388),
+	.A2(n_5708),
+	.B1(n_5307),
+	.Y(n_5758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g626912 (
+	.A(brqrv_top_brqrv_dec_decode_r_d[19]),
+	.B(brqrv_top_brqrv_dec_dec_csr_wraddr_r[7]),
+	.C(brqrv_top_brqrv_dec_dec_csr_wraddr_r[8]),
+	.D(n_5701),
+	.X(n_30439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626913 (
+	.A1(n_5599),
+	.A2(n_31749),
+	.B1(n_5523),
+	.B2(n_5537),
+	.C1(n_5732),
+	.Y(n_5764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g626914 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[4]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[2]),
+	.Y(n_5763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g626915 (
+	.A1(brqrv_top_brqrv_dec_tlu_inst_acc_r),
+	.A2(n_32233),
+	.B1_N(brqrv_top_brqrv_dec_tlu_dbg_halted),
+	.Y(n_30458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626916 (
+	.A1(n_5520),
+	.A2(n_5537),
+	.B1(n_5596),
+	.B2(n_31749),
+	.C1(n_5727),
+	.Y(n_5757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626917 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[2]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[4]),
+	.Y(n_32709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g626918 (
+	.A1(brqrv_top_brqrv_ifu_aln_first2B),
+	.A2(n_5723),
+	.B1(n_32638),
+	.Y(brqrv_top_brqrv_dec_decode_i0_icaf_d), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626919 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[6]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[2]),
+	.Y(n_32697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g626920 (
+	.A1(brqrv_top_brqrv_dec_dec_debug_valid_d),
+	.A2(n_5713),
+	.B1(n_5583),
+	.B2(n_32718),
+	.Y(n_5756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g626921 (
+	.A(n_5681),
+	.B(n_32933),
+	.C(n_32934),
+	.D(n_32932),
+	.Y(n_5755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g626922 (
+	.A(n_5450),
+	.B(n_5431),
+	.C(n_5711),
+	.Y(n_5754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g626923 (
+	.A1(n_5427),
+	.A2(n_5345),
+	.A3(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[4]),
+	.B1(n_38504),
+	.Y(n_5753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g626924 (
+	.A1(n_5310),
+	.A2(n_32748),
+	.B1(n_5309),
+	.B2(brqrv_top_brqrv_dbg_command_reg[9]),
+	.C1(n_5742),
+	.Y(n_5752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g626925 (
+	.A1(n_33469),
+	.A2(n_315),
+	.B1(n_30413),
+	.B2(brqrv_top_brqrv_dec_decode_write_csr_data[29]),
+	.X(brqrv_top_brqrv_dec_dec_csr_wrdata_r[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g626926 (
+	.A1(n_33468),
+	.A2(n_315),
+	.B1(n_30413),
+	.B2(brqrv_top_brqrv_dec_decode_write_csr_data[28]),
+	.X(brqrv_top_brqrv_dec_dec_csr_wrdata_r[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g626927 (
+	.A1(n_33470),
+	.A2(n_315),
+	.B1(n_30413),
+	.B2(brqrv_top_brqrv_dec_decode_write_csr_data[30]),
+	.X(brqrv_top_brqrv_dec_dec_csr_wrdata_r[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g626928 (
+	.A1(n_33440),
+	.A2(n_315),
+	.B1(n_30413),
+	.B2(brqrv_top_brqrv_dec_decode_write_csr_data[0]),
+	.X(brqrv_top_brqrv_dec_dec_csr_wrdata_r[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g626929 (
+	.A1(n_33451),
+	.A2(n_315),
+	.B1(n_30413),
+	.B2(brqrv_top_brqrv_dec_decode_write_csr_data[11]),
+	.X(brqrv_top_brqrv_dec_dec_csr_wrdata_r[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g626930 (
+	.A1(n_5307),
+	.A2(n_5571),
+	.B1(n_5320),
+	.B2(n_33362),
+	.C1(n_5738),
+	.X(brqrv_top_brqrv_dec_dec_i0_instr_d[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g626931 (
+	.A1(n_5309),
+	.A2(brqrv_top_brqrv_dbg_cmd_addr[8]),
+	.B1(n_5310),
+	.B2(n_32745),
+	.C1(n_5741),
+	.X(brqrv_top_brqrv_dec_i0_predict_p_d[45]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g626932 (
+	.A_N(n_32685),
+	.B(n_5705),
+	.C(n_5709),
+	.Y(brqrv_top_brqrv_dec_dec_i0_instr_d[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g626933 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[2]),
+	.Y(n_5751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g626934 (
+	.A(n_5750),
+	.Y(n_30442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 g626935 (
+	.A1(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[16]),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[19]),
+	.A3(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[17]),
+	.A4(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[18]),
+	.B1(n_5724),
+	.Y(n_5749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g626936 (
+	.A1(n_5293),
+	.A2(n_5372),
+	.B1(n_32664),
+	.Y(n_5748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g626937 (
+	.A1(n_5612),
+	.A2(n_5699),
+	.B1(n_32734),
+	.Y(n_5747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g626938 (
+	.A1(n_32719),
+	.A2(n_5680),
+	.B1(n_32769),
+	.B2(n_5600),
+	.Y(n_5746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g626939 (
+	.A_N(n_31827),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[4]),
+	.Y(n_31757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g626940 (
+	.A_N(brqrv_top_brqrv_lsu_nonblock_load_data_error),
+	.B(n_30262),
+	.Y(brqrv_top_brqrv_lsu_pmu_bus_error), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g626941 (
+	.A_N(brqrv_top_brqrv_dec_dec_i0_instr_d[5]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[4]),
+	.Y(n_31767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g626942 (
+	.A(n_31760),
+	.B(n_31824),
+	.X(n_31759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626943 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[3]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[5]),
+	.Y(n_32713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626944 (
+	.A(n_5718),
+	.B(n_32665),
+	.Y(brqrv_top_brqrv_dec_dec_i0_instr_d[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g626945 (
+	.A(brqrv_top_brqrv_dec_tlu_n_397),
+	.B(brqrv_top_brqrv_dec_tlu_n_398),
+	.C(brqrv_top_brqrv_dec_tlu_n_444),
+	.D(n_30300),
+	.Y(n_5750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g626946 (
+	.A1(n_5459),
+	.A2(brqrv_top_brqrv_ifu_aln_brdata2[13]),
+	.B1(n_5458),
+	.B2(brqrv_top_brqrv_ifu_aln_brdata1[13]),
+	.C1(n_5715),
+	.Y(n_5745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g626947 (
+	.A1(n_5426),
+	.A2(n_5668),
+	.B1(n_5717),
+	.Y(n_5744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g626948 (
+	.A1(n_31938),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[3]),
+	.B1(n_31936),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[4]),
+	.C1(n_5710),
+	.Y(n_5743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626949 (
+	.A1(n_5393),
+	.A2(n_32666),
+	.B1(n_5616),
+	.B2(n_32718),
+	.C1(n_32609),
+	.Y(n_5742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g626950 (
+	.A1(n_5307),
+	.A2(n_5653),
+	.B1(n_5316),
+	.B2(n_5392),
+	.C1(n_5651),
+	.X(n_5741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g626951 (
+	.A1(n_5438),
+	.A2(n_32743),
+	.B1(n_5722),
+	.Y(n_5740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g626952 (
+	.A1(n_5293),
+	.A2(n_32708),
+	.B1(n_32666),
+	.Y(n_5739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g626953 (
+	.A1_N(brqrv_top_brqrv_dbg_cmd_addr[2]),
+	.A2_N(n_5331),
+	.B1(n_5298),
+	.B2(n_32699),
+	.Y(n_5738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g626954 (
+	.A(n_5510),
+	.B(n_5504),
+	.C(n_5529),
+	.D(n_5623),
+	.X(n_5737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g626956 (
+	.A1(n_31884),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[31]),
+	.B1(n_5719),
+	.Y(n_5735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g626957 (
+	.A_N(n_30441),
+	.B(n_30440),
+	.C(n_30443),
+	.Y(n_30448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g626958 (
+	.A(n_5734),
+	.Y(n_31740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g626959 (
+	.A1(n_5663),
+	.A2(n_5588),
+	.A3(n_5517),
+	.B1(brqrv_top_brqrv_dec_tlu_mfdht[5]),
+	.Y(n_5733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31o_1 g626960 (
+	.A1(n_5549),
+	.A2(n_5396),
+	.A3(n_5548),
+	.B1(brqrv_top_brqrv_ifu_aln_first2B),
+	.X(n_5732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g626961 (
+	.A1(n_5404),
+	.A2(n_5555),
+	.A3(n_5554),
+	.B1(brqrv_top_brqrv_ifu_aln_first2B),
+	.Y(n_5731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g626962 (
+	.A1(n_5418),
+	.A2(n_5567),
+	.A3(n_5565),
+	.B1(n_32719),
+	.Y(n_5730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g626963 (
+	.A_N(brqrv_top_brqrv_dbg_cmd_addr[5]),
+	.B(n_5646),
+	.C(brqrv_top_brqrv_dbg_cmd_addr[10]),
+	.Y(n_5729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g626964 (
+	.A1(n_5569),
+	.A2(n_5570),
+	.A3(n_5398),
+	.B1(n_32719),
+	.Y(n_5728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31o_1 g626965 (
+	.A1(n_5552),
+	.A2(n_5397),
+	.A3(n_5550),
+	.B1(brqrv_top_brqrv_ifu_aln_first2B),
+	.X(n_5727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g626966 (
+	.A1(n_5612),
+	.A2(n_5655),
+	.B1(n_32762),
+	.Y(n_5726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g626967 (
+	.A1(n_5408),
+	.A2(n_5574),
+	.A3(n_5572),
+	.B1(n_32719),
+	.Y(n_5725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g626968 (
+	.A1(brqrv_top_brqrv_dec_tlu_mfdht[4]),
+	.A2(n_5589),
+	.B1(n_5348),
+	.B2(n_5419),
+	.C1(brqrv_top_brqrv_dec_tlu_mfdht[5]),
+	.Y(n_5724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g626970 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_valid),
+	.B(n_29917),
+	.C(n_29918),
+	.D(n_5593),
+	.X(n_30441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g626971 (
+	.A_N(brqrv_top_brqrv_dec_tlu_n_13200),
+	.B(n_30438),
+	.Y(n_32233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626972 (
+	.A(n_5685),
+	.B(brqrv_top_brqrv_lsu_bus_intf_lsu_bus_clk_en_q),
+	.Y(n_30262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626973 (
+	.A(n_5676),
+	.B(n_32234),
+	.Y(n_33469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626974 (
+	.A(n_5677),
+	.B(n_32234),
+	.Y(n_33468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626975 (
+	.A(n_5679),
+	.B(n_32234),
+	.Y(n_33470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g626976 (
+	.A1(n_5613),
+	.A2(n_5483),
+	.B1(n_32814),
+	.Y(n_32638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626977 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[13]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[6]),
+	.Y(n_31827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g626978 (
+	.A(n_30517),
+	.B(n_32719),
+	.Y(n_5734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g626979 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[6]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[4]),
+	.Y(n_31760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g626981 (
+	.A1(n_5310),
+	.A2(n_32735),
+	.B1(n_5309),
+	.B2(brqrv_top_brqrv_dbg_cmd_addr[0]),
+	.C1(n_5700),
+	.Y(n_5722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g626982 (
+	.A1(n_5345),
+	.A2(n_5649),
+	.B1(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[8]),
+	.B2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[10]),
+	.Y(n_5721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g626983 (
+	.A1(n_32744),
+	.A2(n_5620),
+	.B1(n_5313),
+	.B2(n_32688),
+	.Y(n_5720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g626984 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[18]),
+	.A2(n_31909),
+	.B1(n_5448),
+	.C1(n_5622),
+	.D1(n_5674),
+	.Y(n_5719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g626985 (
+	.A1(n_5307),
+	.A2(n_5542),
+	.B1(n_5320),
+	.B2(n_33357),
+	.C1(n_5533),
+	.Y(n_5718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g626986 (
+	.A1(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[28]),
+	.A2(n_5669),
+	.B1(n_5349),
+	.Y(n_5717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g626987 (
+	.A1(n_5666),
+	.A2(n_32688),
+	.B1(n_32767),
+	.Y(n_5716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g626988 (
+	.A1(n_30516),
+	.A2(n_5619),
+	.B1(n_5536),
+	.B2(n_5343),
+	.Y(n_5715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g626989 (
+	.A(n_5672),
+	.B(n_5602),
+	.C(n_5481),
+	.D(n_5482),
+	.Y(n_5714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g626990 (
+	.A1(brqrv_top_brqrv_ifu_aln_uncompress0[1]),
+	.A2(n_5585),
+	.B1(n_5463),
+	.B2(n_5314),
+	.C1(n_5643),
+	.Y(n_5713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g626991 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[30]),
+	.A2(n_31886),
+	.B1(n_5702),
+	.Y(n_5712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g626992 (
+	.A1(n_5666),
+	.A2(n_32707),
+	.B1(n_32766),
+	.Y(n_5711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g626993 (
+	.A1(n_31861),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[2]),
+	.B1(n_5491),
+	.C1(n_5621),
+	.X(n_5710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g626994 (
+	.A1(brqrv_top_brqrv_dbg_command_reg[11]),
+	.A2(n_5309),
+	.B1(n_5320),
+	.B2(n_5594),
+	.C1(n_5310),
+	.C2(n_32765),
+	.Y(n_5709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g626995 (
+	.A1(n_32725),
+	.A2(n_5667),
+	.B1(n_32747),
+	.B2(n_32740),
+	.Y(n_5708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g626996 (
+	.A1(n_32726),
+	.A2(n_5667),
+	.B1(n_32762),
+	.B2(n_5466),
+	.Y(n_5707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g626997 (
+	.A1(n_5309),
+	.A2(brqrv_top_brqrv_dbg_command_reg[10]),
+	.B1(n_5310),
+	.B2(n_32727),
+	.C1(n_5652),
+	.X(n_5706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g626998 (
+	.A1(n_5460),
+	.A2(brqrv_top_brqrv_ifu_aln_brdata0[14]),
+	.B1(n_5459),
+	.B2(brqrv_top_brqrv_ifu_aln_brdata2[14]),
+	.C1(n_5678),
+	.Y(n_5723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g626999 (
+	.A1_N(brqrv_top_brqrv_dec_i0_result_r[11]),
+	.A2_N(n_29931),
+	.B1(n_29931),
+	.B2(n_5625),
+	.Y(n_33451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g627000 (
+	.A1_N(brqrv_top_brqrv_dec_i0_result_r[0]),
+	.A2_N(n_29931),
+	.B1(n_29931),
+	.B2(n_5650),
+	.Y(n_33440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g627001 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_44),
+	.B(brqrv_top_brqrv_dec_tlu_n_373),
+	.C(n_39314),
+	.D(n_30300),
+	.X(n_30446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g627002 (
+	.A1(brqrv_top_brqrv_ifu_aln_uncompress0[1]),
+	.A2(n_5598),
+	.B1(n_5329),
+	.B2(n_33358),
+	.C1(brqrv_top_brqrv_dec_dec_debug_valid_d),
+	.X(brqrv_top_brqrv_dec_dec_i0_instr_d[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g627003 (
+	.A(n_5705),
+	.Y(n_5704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g627004 (
+	.A1(n_31929),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[8]),
+	.B1(n_5575),
+	.C1(n_5604),
+	.Y(n_5703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g627006 (
+	.A1(n_31890),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[28]),
+	.B1(n_5601),
+	.C1(n_5576),
+	.Y(n_5702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g627007 (
+	.A(brqrv_top_brqrv_dec_decode_r_d[9]),
+	.B(brqrv_top_brqrv_dec_decode_r_d[12]),
+	.C(brqrv_top_brqrv_dec_decode_r_d[10]),
+	.D(n_5507),
+	.X(n_5701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g627008 (
+	.A1(n_5586),
+	.A2(n_32718),
+	.B1(n_5603),
+	.B2(n_32668),
+	.Y(n_5700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g627009 (
+	.A_N(n_5666),
+	.B(brqrv_top_brqrv_ifu_aln_uncompress0[1]),
+	.Y(n_5699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627010 (
+	.A(n_5638),
+	.B(n_5561),
+	.Y(n_33568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627011 (
+	.A(n_5627),
+	.B(n_5545),
+	.Y(n_33556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627012 (
+	.A(n_5642),
+	.B(n_5566),
+	.Y(n_33571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627013 (
+	.A(n_5641),
+	.B(n_5564),
+	.Y(n_33570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627014 (
+	.A(n_5640),
+	.B(n_5563),
+	.Y(n_33569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627015 (
+	.A(n_5637),
+	.B(n_5560),
+	.Y(n_33567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627016 (
+	.A(n_5636),
+	.B(n_5562),
+	.Y(n_33566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627017 (
+	.A(n_5635),
+	.B(n_5568),
+	.Y(n_33565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627018 (
+	.A(n_5634),
+	.B(n_5558),
+	.Y(n_33564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627019 (
+	.A(n_5633),
+	.B(n_5557),
+	.Y(n_33563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627020 (
+	.A(n_5632),
+	.B(n_5556),
+	.Y(n_33562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627021 (
+	.A(n_5665),
+	.B(n_5553),
+	.Y(n_33561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627022 (
+	.A(n_5631),
+	.B(n_5551),
+	.Y(n_33560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627023 (
+	.A(n_5630),
+	.B(n_5573),
+	.Y(n_33559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627024 (
+	.A(n_5629),
+	.B(n_5547),
+	.Y(n_33558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627025 (
+	.A(n_5628),
+	.B(n_5546),
+	.Y(n_33557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627026 (
+	.A(n_5626),
+	.B(n_5543),
+	.Y(n_33555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627027 (
+	.A(n_5624),
+	.B(n_5559),
+	.Y(n_33554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627029 (
+	.A(n_5484),
+	.B(n_5614),
+	.Y(brqrv_top_brqrv_lsu_nonblock_load_data_error), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g627030 (
+	.A_N(brqrv_top_brqrv_dec_tlu_illegal_r),
+	.B(n_30261),
+	.Y(brqrv_top_brqrv_dec_tlu_n_13200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627031 (
+	.A(n_5618),
+	.B(n_32814),
+	.Y(n_30517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627032 (
+	.A(n_5307),
+	.B(n_5648),
+	.Y(n_5705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627033 (
+	.A(n_5639),
+	.B(n_32698),
+	.Y(brqrv_top_brqrv_dec_dec_i0_instr_d[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g627034 (
+	.A1(n_31901),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[22]),
+	.B1(n_5439),
+	.C1(n_5658),
+	.Y(n_5688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g627035 (
+	.A1(n_31887),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[29]),
+	.B1(n_5442),
+	.C1(n_5657),
+	.Y(n_5687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g627036 (
+	.A1(n_31926),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[9]),
+	.B1(n_5443),
+	.C1(n_5656),
+	.Y(n_5686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g627037 (
+	.A1(n_5365),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_7535),
+	.B1(n_29930),
+	.C1(n_29927),
+	.D1(n_29923),
+	.Y(n_5685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g627038 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mfdht[4]),
+	.A2_N(n_5592),
+	.B1(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[5]),
+	.B2(n_5469),
+	.Y(n_5684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g627039 (
+	.A1(n_5429),
+	.A2(n_5607),
+	.B1(n_5661),
+	.Y(n_5683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g627040 (
+	.A1(n_5426),
+	.A2(n_5591),
+	.B1(brqrv_top_brqrv_dec_tlu_mfdht[5]),
+	.B2(n_5335),
+	.C1(n_5334),
+	.Y(n_5682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g627041 (
+	.A(n_32935),
+	.B(n_32936),
+	.C(n_32937),
+	.D(n_32938),
+	.X(n_5681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g627042 (
+	.A1(n_5460),
+	.A2(brqrv_top_brqrv_ifu_aln_q0[24]),
+	.B1(n_5458),
+	.B2(brqrv_top_brqrv_ifu_aln_q1[24]),
+	.C1(n_5615),
+	.Y(n_5680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g627043 (
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[30]),
+	.A2(n_570),
+	.B1(n_567),
+	.B2(brqrv_top_brqrv_lsu_fir_addr[30]),
+	.C1(n_29931),
+	.C2(brqrv_top_brqrv_dec_i0_result_r[30]),
+	.Y(n_5679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g627044 (
+	.A1(n_5531),
+	.A2(n_5343),
+	.B1(n_5514),
+	.B2(n_32692),
+	.C1(n_5521),
+	.Y(n_5678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g627045 (
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[28]),
+	.A2(n_570),
+	.B1(n_567),
+	.B2(brqrv_top_brqrv_lsu_fir_addr[28]),
+	.C1(n_29931),
+	.C2(brqrv_top_brqrv_dec_i0_result_r[28]),
+	.Y(n_5677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g627046 (
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[29]),
+	.A2(n_570),
+	.B1(n_567),
+	.B2(brqrv_top_brqrv_lsu_fir_addr[29]),
+	.C1(n_29931),
+	.C2(brqrv_top_brqrv_dec_i0_result_r[29]),
+	.Y(n_5676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g627047 (
+	.A(n_5488),
+	.B(n_5490),
+	.C(n_5487),
+	.D(n_5489),
+	.Y(n_5675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g627048 (
+	.A1(n_31917),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[14]),
+	.B1(n_31913),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[16]),
+	.C1(n_5659),
+	.Y(n_5674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g627049 (
+	.A1(n_31900),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[23]),
+	.B1(n_31898),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[24]),
+	.C1(n_5654),
+	.Y(n_5673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g627050 (
+	.A1(n_31921),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[12]),
+	.B1(n_31886),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[30]),
+	.C1(n_5645),
+	.Y(n_5672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627051 (
+	.A(n_5664),
+	.B(n_30260),
+	.Y(n_30438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g627052 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc2[7]),
+	.A2(n_39356),
+	.B1(n_39355),
+	.B2(brqrv_top_brqrv_ifu_aln_misc1[7]),
+	.C1(n_39357),
+	.C2(brqrv_top_brqrv_ifu_aln_misc0[7]),
+	.Y(n_5698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g627053 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc2[9]),
+	.A2(n_39356),
+	.B1(n_39355),
+	.B2(brqrv_top_brqrv_ifu_aln_misc1[9]),
+	.C1(n_39357),
+	.C2(brqrv_top_brqrv_ifu_aln_misc0[9]),
+	.Y(n_5697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g627054 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc1[10]),
+	.A2(n_39355),
+	.B1(n_39357),
+	.B2(brqrv_top_brqrv_ifu_aln_misc0[10]),
+	.C1(n_39356),
+	.C2(brqrv_top_brqrv_ifu_aln_misc2[10]),
+	.Y(n_5696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g627055 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc1[17]),
+	.A2(n_39355),
+	.B1(n_39357),
+	.B2(brqrv_top_brqrv_ifu_aln_misc0[17]),
+	.C1(n_39356),
+	.C2(brqrv_top_brqrv_ifu_aln_misc2[17]),
+	.Y(n_5671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g627056 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc0[13]),
+	.A2(n_39357),
+	.B1(n_39356),
+	.B2(brqrv_top_brqrv_ifu_aln_misc2[13]),
+	.C1(n_39355),
+	.C2(brqrv_top_brqrv_ifu_aln_misc1[13]),
+	.Y(n_5695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g627057 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc2[11]),
+	.A2(n_39356),
+	.B1(n_39355),
+	.B2(brqrv_top_brqrv_ifu_aln_misc1[11]),
+	.C1(n_39357),
+	.C2(brqrv_top_brqrv_ifu_aln_misc0[11]),
+	.Y(n_5694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g627058 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc2[12]),
+	.A2(n_39356),
+	.B1(n_39357),
+	.B2(brqrv_top_brqrv_ifu_aln_misc0[12]),
+	.C1(n_39355),
+	.C2(brqrv_top_brqrv_ifu_aln_misc1[12]),
+	.Y(n_5693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g627059 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc1[14]),
+	.A2(n_39355),
+	.B1(n_39357),
+	.B2(brqrv_top_brqrv_ifu_aln_misc0[14]),
+	.C1(n_39356),
+	.C2(brqrv_top_brqrv_ifu_aln_misc2[14]),
+	.Y(n_5692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g627060 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc0[18]),
+	.A2(n_39357),
+	.B1(n_39356),
+	.B2(brqrv_top_brqrv_ifu_aln_misc2[18]),
+	.C1(n_39355),
+	.C2(brqrv_top_brqrv_ifu_aln_misc1[18]),
+	.Y(n_5691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g627061 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc2[8]),
+	.A2(n_39356),
+	.B1(n_39357),
+	.B2(brqrv_top_brqrv_ifu_aln_misc0[8]),
+	.C1(n_39355),
+	.C2(brqrv_top_brqrv_ifu_aln_misc1[8]),
+	.Y(n_5690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g627062 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc1[16]),
+	.A2(n_39355),
+	.B1(n_39356),
+	.B2(brqrv_top_brqrv_ifu_aln_misc2[16]),
+	.C1(n_39357),
+	.C2(brqrv_top_brqrv_ifu_aln_misc0[16]),
+	.Y(n_5670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g627063 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc1[15]),
+	.A2(n_39355),
+	.B1(n_39357),
+	.B2(brqrv_top_brqrv_ifu_aln_misc0[15]),
+	.C1(n_39356),
+	.C2(brqrv_top_brqrv_ifu_aln_misc2[15]),
+	.Y(n_5689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g627064 (
+	.A(n_5608),
+	.B(n_30260),
+	.C(brqrv_top_brqrv_dec_tlu_dcsr[15]),
+	.Y(brqrv_top_brqrv_dec_tlu_ebreak_to_debug_mode_r_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g627065 (
+	.A(n_5668),
+	.Y(n_5669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g627067 (
+	.A1(brqrv_top_brqrv_dma_ctrl_n_673),
+	.A2(n_463),
+	.B1(n_465),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[83]),
+	.C1(n_5424),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_addr[147]),
+	.Y(n_5665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g627068 (
+	.A(brqrv_top_brqrv_dec_tlu_dcsr[15]),
+	.B_N(n_5608),
+	.Y(n_5664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g627069 (
+	.A1(n_5502),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[14]),
+	.B1(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[15]),
+	.Y(n_5663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g627070 (
+	.A_N(brqrv_top_brqrv_dec_tlu_dec_tlu_wr_pause_r_d1),
+	.B(n_38505),
+	.C(n_32676),
+	.X(n_5662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g627071 (
+	.A1(n_5468),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[25]),
+	.B1(n_5526),
+	.B2(n_5349),
+	.C1(n_5516),
+	.Y(n_5661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g627072 (
+	.A1(n_31922),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[11]),
+	.B1(n_5494),
+	.C1(n_5495),
+	.Y(n_5660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g627073 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[14]),
+	.A2(n_31917),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[15]),
+	.B2(n_31915),
+	.C1(n_5580),
+	.Y(n_5659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g627074 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[22]),
+	.A2(n_31901),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[23]),
+	.B2(n_31899),
+	.C1(n_5579),
+	.Y(n_5658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g627075 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[27]),
+	.A2(n_31891),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[29]),
+	.B2(n_31887),
+	.C1(n_5578),
+	.Y(n_5657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g627076 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[7]),
+	.A2(n_31930),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[9]),
+	.B2(n_31926),
+	.C1(n_5577),
+	.Y(n_5656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627077 (
+	.A(brqrv_top_brqrv_ifu_aln_uncompress0[1]),
+	.B(n_5610),
+	.Y(n_5655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g627078 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[22]),
+	.A2(n_31902),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[24]),
+	.B2(n_31898),
+	.C1(n_5605),
+	.Y(n_5654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g627079 (
+	.A1(n_32734),
+	.A2(n_32688),
+	.B1(n_5609),
+	.C1(n_32720),
+	.Y(n_5653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g627080 (
+	.A1(n_5405),
+	.A2(n_5407),
+	.A3(n_5406),
+	.B1(n_32718),
+	.Y(n_5652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g627081 (
+	.A1(n_5399),
+	.A2(n_5401),
+	.A3(n_5400),
+	.B1(n_32718),
+	.Y(n_5651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g627082 (
+	.A1(brqrv_top_brqrv_lsu_lsu_pkt_r[11]),
+	.A2(n_39122),
+	.B1(n_5412),
+	.Y(n_5650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g627083 (
+	.A(brqrv_top_brqrv_dec_tlu_mfdht[5]),
+	.B(n_5429),
+	.C(n_5518),
+	.Y(n_5649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g627084 (
+	.A1(n_32744),
+	.A2(n_32688),
+	.B1(n_5609),
+	.Y(n_5648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g627085 (
+	.A(n_5415),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[12]),
+	.C(brqrv_top_brqrv_dec_dec_i0_instr_d[19]),
+	.D(brqrv_top_brqrv_dec_dec_i0_instr_d[17]),
+	.Y(n_5647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_1 g627086 (
+	.A_N(brqrv_top_brqrv_dbg_cmd_addr[11]),
+	.B(brqrv_top_brqrv_dbg_cmd_addr[9]),
+	.C(brqrv_top_brqrv_dbg_cmd_addr[7]),
+	.D(brqrv_top_brqrv_dbg_cmd_addr[6]),
+	.X(n_5646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g627087 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[12]),
+	.A2(n_31921),
+	.B1(n_5477),
+	.C1(n_5479),
+	.D1(n_5478),
+	.Y(n_5645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4bb_1 g627088 (
+	.A(n_32744),
+	.B(n_32662),
+	.C_N(n_32749),
+	.D_N(n_5436),
+	.Y(n_5644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g627089 (
+	.A1(n_5297),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[29]),
+	.B1(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[30]),
+	.Y(n_5668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g627090 (
+	.A(n_29926),
+	.B(n_32227),
+	.X(brqrv_top_brqrv_dec_tlu_n_444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g627091 (
+	.A_N(n_29929),
+	.B(n_5538),
+	.C(n_30260),
+	.Y(n_30261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g627093 (
+	.A(n_5611),
+	.B_N(n_32701),
+	.Y(n_5667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g627095 (
+	.A(n_5375),
+	.B(n_5610),
+	.Y(n_5666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627096 (
+	.A(n_33472),
+	.B(n_2683),
+	.Y(n_32234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g627097 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[95]),
+	.A2(n_465),
+	.B1(n_5424),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[159]),
+	.C1(n_464),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_addr[127]),
+	.Y(n_5642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g627098 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[158]),
+	.A2(n_5424),
+	.B1(n_5457),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[62]),
+	.C1(n_463),
+	.C2(brqrv_top_brqrv_dma_ctrl_n_718),
+	.Y(n_5641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g627099 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[123]),
+	.A2(n_464),
+	.B1(n_465),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[91]),
+	.C1(n_5424),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_addr[155]),
+	.Y(n_5640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g627100 (
+	.A1(n_33359),
+	.A2(n_5320),
+	.B1(n_5307),
+	.B2(n_5435),
+	.C1(n_5463),
+	.C2(n_32729),
+	.Y(n_5639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g627101 (
+	.A1(brqrv_top_brqrv_dma_ctrl_n_708),
+	.A2(n_463),
+	.B1(n_465),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[90]),
+	.C1(n_464),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_addr[122]),
+	.Y(n_5638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g627102 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[89]),
+	.A2(n_465),
+	.B1(n_464),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[121]),
+	.C1(n_5457),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_addr[57]),
+	.Y(n_5637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g627103 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[88]),
+	.A2(n_465),
+	.B1(n_5424),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[152]),
+	.C1(n_464),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_addr[120]),
+	.Y(n_5636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g627104 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[119]),
+	.A2(n_464),
+	.B1(n_465),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[87]),
+	.C1(n_5424),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_addr[151]),
+	.Y(n_5635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g627105 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[150]),
+	.A2(n_5424),
+	.B1(n_464),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[118]),
+	.C1(n_465),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_addr[86]),
+	.Y(n_5634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g627106 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[149]),
+	.A2(n_5424),
+	.B1(n_465),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[85]),
+	.C1(n_464),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_addr[117]),
+	.Y(n_5633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g627107 (
+	.A1(brqrv_top_brqrv_dma_ctrl_n_678),
+	.A2(n_463),
+	.B1(n_465),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[84]),
+	.C1(n_464),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_addr[116]),
+	.Y(n_5632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g627108 (
+	.A1(brqrv_top_brqrv_dma_ctrl_n_668),
+	.A2(n_463),
+	.B1(n_464),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[114]),
+	.C1(n_5424),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_addr[146]),
+	.Y(n_5631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g627109 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[145]),
+	.A2(n_5424),
+	.B1(n_464),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[113]),
+	.C1(n_465),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_addr[81]),
+	.Y(n_5630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g627110 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[80]),
+	.A2(n_465),
+	.B1(n_464),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[112]),
+	.C1(n_463),
+	.C2(brqrv_top_brqrv_dma_ctrl_n_658),
+	.Y(n_5629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g627111 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[143]),
+	.A2(n_5424),
+	.B1(n_463),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_653),
+	.C1(n_465),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_addr[79]),
+	.Y(n_5628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g627112 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[142]),
+	.A2(n_5424),
+	.B1(n_463),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_648),
+	.C1(n_465),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_addr[78]),
+	.Y(n_5627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g627113 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[109]),
+	.A2(n_464),
+	.B1(n_465),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[77]),
+	.C1(n_5424),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_addr[141]),
+	.Y(n_5626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g627114 (
+	.A1(n_39122),
+	.A2(n_5413),
+	.B1_N(n_39315),
+	.Y(n_5625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g627115 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[76]),
+	.A2(n_465),
+	.B1(n_5457),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[44]),
+	.C1(n_464),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_addr[108]),
+	.Y(n_5624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g627116 (
+	.A1(n_32725),
+	.A2(n_32707),
+	.B1(n_5584),
+	.Y(n_5623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g627117 (
+	.A(n_5473),
+	.B(n_5475),
+	.C(n_5474),
+	.Y(n_5622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g627118 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[15]),
+	.A2(n_31914),
+	.B1(n_5441),
+	.C1(n_5492),
+	.D1(n_5493),
+	.Y(n_5621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g627119 (
+	.A(n_5371),
+	.B(n_5375),
+	.C(n_5377),
+	.D(n_5464),
+	.Y(n_5620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g627120 (
+	.A1_N(n_5525),
+	.A2_N(brqrv_top_brqrv_ifu_aln_q1ptr),
+	.B1(brqrv_top_brqrv_ifu_aln_brdata0[13]),
+	.B2(n_5386),
+	.Y(n_5619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g627121 (
+	.A1(brqrv_top_brqrv_ifu_aln_q0ptr),
+	.A2(n_5522),
+	.B1(n_5325),
+	.B2(n_5532),
+	.Y(n_5618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g627122 (
+	.A1(brqrv_top_brqrv_ifu_aln_q0ptr),
+	.A2(n_5524),
+	.B1(n_5325),
+	.B2(n_5536),
+	.X(n_5617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g627123 (
+	.A1(n_5303),
+	.A2(brqrv_top_brqrv_ifu_aln_q2[13]),
+	.B1(n_39147),
+	.B2(brqrv_top_brqrv_ifu_aln_q0[29]),
+	.C1(n_5597),
+	.Y(n_5616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g627124 (
+	.A1_N(brqrv_top_brqrv_ifu_aln_q2[24]),
+	.A2_N(n_5459),
+	.B1(n_5513),
+	.B2(n_32692),
+	.Y(n_5615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g627125 (
+	.A1(n_5539),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_error[3]),
+	.B1(n_5357),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_error[0]),
+	.Y(n_5614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g627126 (
+	.A1_N(brqrv_top_brqrv_ifu_aln_brdata1[6]),
+	.A2_N(n_5346),
+	.B1(n_5325),
+	.B2(n_5531),
+	.Y(n_5613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g627127 (
+	.A1(brqrv_top_brqrv_ifu_aln_uncompress0[1]),
+	.A2(n_5529),
+	.B1(n_5370),
+	.X(n_5643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g627128 (
+	.A1(n_5461),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[24]),
+	.B1(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[26]),
+	.Y(n_5607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g627129 (
+	.A(n_5532),
+	.B(n_5471),
+	.Y(n_5606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g627130 (
+	.A1(n_31896),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[25]),
+	.B1(n_5476),
+	.C1(n_5447),
+	.Y(n_5605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g627131 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[7]),
+	.A2(n_31931),
+	.B1(n_5446),
+	.C1(n_5501),
+	.Y(n_5604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g627132 (
+	.A(n_5431),
+	.B_N(n_5530),
+	.Y(n_5603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g627133 (
+	.A1(n_31868),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[2]),
+	.B1(n_31935),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[5]),
+	.C1(n_5480),
+	.Y(n_5602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g627134 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[27]),
+	.A2(n_31892),
+	.B1(n_5445),
+	.C1(n_5500),
+	.Y(n_5601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g627135 (
+	.A(n_5294),
+	.B(n_5535),
+	.X(n_5600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g627136 (
+	.A1(brqrv_top_brqrv_ifu_aln_brdata2[0]),
+	.A2(n_5351),
+	.B1(n_5346),
+	.B2(brqrv_top_brqrv_ifu_aln_brdata1[0]),
+	.C1(n_5350),
+	.C2(brqrv_top_brqrv_ifu_aln_brdata0[0]),
+	.Y(n_5599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g627137 (
+	.A1(n_32764),
+	.A2(n_5366),
+	.B1(n_32746),
+	.C1(n_32722),
+	.Y(n_5598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627138 (
+	.A(n_5515),
+	.B(n_5362),
+	.Y(n_5597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g627139 (
+	.A1(brqrv_top_brqrv_ifu_aln_brdata0[3]),
+	.A2(n_5350),
+	.B1(n_5346),
+	.B2(brqrv_top_brqrv_ifu_aln_brdata1[3]),
+	.C1(n_5351),
+	.C2(brqrv_top_brqrv_ifu_aln_brdata2[3]),
+	.Y(n_5596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g627141 (
+	.A(n_5423),
+	.B(n_5403),
+	.C(n_5402),
+	.Y(n_5594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g627142 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[7]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[6]),
+	.C(n_29910),
+	.D(n_5421),
+	.X(n_5593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g627143 (
+	.A1(n_5425),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[6]),
+	.B1(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[7]),
+	.Y(n_5592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g627144 (
+	.A1(n_5427),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[20]),
+	.B1(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[22]),
+	.Y(n_5591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g627145 (
+	.A1(n_5323),
+	.A2(n_5469),
+	.B1(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[21]),
+	.Y(n_5590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g627146 (
+	.A1(n_5430),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[18]),
+	.B1(n_5461),
+	.Y(n_5589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g627147 (
+	.A1(n_5345),
+	.A2(n_5427),
+	.B1(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[12]),
+	.Y(n_5588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627148 (
+	.A(brqrv_top_brqrv_dec_tlu_dcsr_single_step_running),
+	.B(n_32761),
+	.Y(n_5587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g627149 (
+	.A1(n_5304),
+	.A2(brqrv_top_brqrv_ifu_aln_q1[4]),
+	.B1(n_5305),
+	.B2(brqrv_top_brqrv_ifu_aln_q0[4]),
+	.C1(n_5512),
+	.Y(n_5586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g627150 (
+	.A1(n_32726),
+	.A2(n_5465),
+	.B1(n_32762),
+	.B2(n_5291),
+	.Y(n_5585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g627151 (
+	.A1(n_5375),
+	.A2(n_33357),
+	.B1(n_5505),
+	.Y(n_5584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g627152 (
+	.A1(n_39148),
+	.A2(brqrv_top_brqrv_ifu_aln_q2[27]),
+	.B1(n_5304),
+	.B2(brqrv_top_brqrv_ifu_aln_q1[11]),
+	.C1(n_5508),
+	.Y(n_5583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g627153 (
+	.A1(n_39148),
+	.A2(brqrv_top_brqrv_ifu_aln_q2[26]),
+	.B1(n_5304),
+	.B2(brqrv_top_brqrv_ifu_aln_q1[10]),
+	.C1(n_5509),
+	.Y(n_5582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g627154 (
+	.A1(n_39148),
+	.A2(brqrv_top_brqrv_ifu_aln_q2[25]),
+	.B1(n_5304),
+	.B2(brqrv_top_brqrv_ifu_aln_q1[9]),
+	.C1(n_5511),
+	.Y(n_5581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g627155 (
+	.A_N(brqrv_top_brqrv_dec_dec_csr_wraddr_r[7]),
+	.B(n_32245),
+	.C(n_29924),
+	.Y(brqrv_top_brqrv_dec_tlu_n_397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g627157 (
+	.A_N(brqrv_top_brqrv_dec_decode_r_d[19]),
+	.B(n_29916),
+	.C(n_5368),
+	.Y(brqrv_top_brqrv_dec_tlu_n_398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g627158 (
+	.A1(brqrv_top_brqrv_ifu_aln_uncompress0[1]),
+	.A2(n_5431),
+	.B1_N(n_5294),
+	.Y(n_5612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g627159 (
+	.A_N(n_29924),
+	.B(n_32245),
+	.C(n_29915),
+	.Y(brqrv_top_brqrv_dec_tlu_n_373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g627160 (
+	.A1(n_29913),
+	.A2(n_5433),
+	.B1(n_39315),
+	.Y(n_33472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41ai_1 g627161 (
+	.A1(brqrv_top_brqrv_dec_tlu_interrupt_valid_r_d1),
+	.A2(n_5315),
+	.A3(brqrv_top_brqrv_dec_tlu_dec_tlu_pmu_fw_halted),
+	.A4(brqrv_top_brqrv_dec_tlu_dbg_halted),
+	.B1(n_5451),
+	.Y(brqrv_top_brqrv_dec_tlu_halt_taken), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g627162 (
+	.A1(n_32768),
+	.A2(n_5420),
+	.B1_N(n_5422),
+	.Y(n_5611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g627163 (
+	.A_N(n_32653),
+	.B(n_29925),
+	.Y(n_32227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g627164 (
+	.A_N(n_5356),
+	.B(n_5530),
+	.Y(n_5610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g627165 (
+	.A(n_5388),
+	.B(n_5529),
+	.Y(n_5609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g627166 (
+	.A_N(n_31532),
+	.B(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.Y(n_31506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g627167 (
+	.A(n_5538),
+	.B(n_29929),
+	.X(n_5608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g627168 (
+	.A1(n_31911),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[17]),
+	.B1(n_5449),
+	.C1(n_5472),
+	.Y(n_5580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g627169 (
+	.A1(n_31899),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[23]),
+	.B1(n_31897),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[24]),
+	.C1(n_5486),
+	.Y(n_5579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g627170 (
+	.A1(n_31891),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[27]),
+	.B1(n_31889),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[28]),
+	.C1(n_5496),
+	.Y(n_5578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g627171 (
+	.A1(n_31930),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[7]),
+	.B1(n_31928),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[8]),
+	.C1(n_5499),
+	.Y(n_5577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g627172 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[28]),
+	.A2(n_31890),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[26]),
+	.B2(n_31894),
+	.C1(n_5444),
+	.Y(n_5576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g627173 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[8]),
+	.A2(n_31929),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[6]),
+	.B2(n_31933),
+	.C1(n_5453),
+	.Y(n_5575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g627174 (
+	.A1(n_5459),
+	.A2(brqrv_top_brqrv_ifu_aln_q2[23]),
+	.B1(n_5303),
+	.B2(brqrv_top_brqrv_ifu_aln_q2[7]),
+	.Y(n_5574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g627175 (
+	.A1(n_463),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_663),
+	.B1(n_5457),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[49]),
+	.Y(n_5573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g627176 (
+	.A1(n_5460),
+	.A2(brqrv_top_brqrv_ifu_aln_q0[23]),
+	.B1(n_5458),
+	.B2(brqrv_top_brqrv_ifu_aln_q1[23]),
+	.Y(n_5572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g627177 (
+	.A1(n_32734),
+	.A2(n_5466),
+	.B1(n_32767),
+	.B2(n_5372),
+	.Y(n_5571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g627178 (
+	.A1(n_5459),
+	.A2(brqrv_top_brqrv_ifu_aln_q2[22]),
+	.B1(n_5303),
+	.B2(brqrv_top_brqrv_ifu_aln_q2[6]),
+	.Y(n_5570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g627179 (
+	.A1(n_5460),
+	.A2(brqrv_top_brqrv_ifu_aln_q0[22]),
+	.B1(n_5458),
+	.B2(brqrv_top_brqrv_ifu_aln_q1[22]),
+	.Y(n_5569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g627180 (
+	.A1(n_463),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_693),
+	.B1(n_5457),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[55]),
+	.Y(n_5568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g627181 (
+	.A1(n_5459),
+	.A2(brqrv_top_brqrv_ifu_aln_q2[21]),
+	.B1(n_5303),
+	.B2(brqrv_top_brqrv_ifu_aln_q2[5]),
+	.Y(n_5567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g627182 (
+	.A1(n_463),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_723),
+	.B1(n_5457),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[63]),
+	.Y(n_5566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g627183 (
+	.A1(n_5460),
+	.A2(brqrv_top_brqrv_ifu_aln_q0[21]),
+	.B1(n_5458),
+	.B2(brqrv_top_brqrv_ifu_aln_q1[21]),
+	.Y(n_5565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g627184 (
+	.A1(n_465),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[94]),
+	.B1(n_464),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[126]),
+	.Y(n_5564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g627185 (
+	.A1(n_463),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_713),
+	.B1(n_5457),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[59]),
+	.Y(n_5563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g627186 (
+	.A1(n_463),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_698),
+	.B1(n_5457),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[56]),
+	.Y(n_5562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g627187 (
+	.A1(n_5424),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[154]),
+	.B1(n_5457),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[58]),
+	.Y(n_5561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g627188 (
+	.A1(n_463),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_703),
+	.B1(n_5424),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[153]),
+	.Y(n_5560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g627189 (
+	.A1(n_463),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_638),
+	.B1(n_5424),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[140]),
+	.Y(n_5559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g627190 (
+	.A1(n_463),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_688),
+	.B1(n_5457),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[54]),
+	.Y(n_5558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g627191 (
+	.A1(n_463),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_683),
+	.B1(n_5457),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[53]),
+	.Y(n_5557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g627192 (
+	.A1(n_5424),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[148]),
+	.B1(n_5457),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[52]),
+	.Y(n_5556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g627193 (
+	.A1(n_5459),
+	.A2(brqrv_top_brqrv_ifu_aln_brdata2[9]),
+	.B1(n_5303),
+	.B2(brqrv_top_brqrv_ifu_aln_brdata2[1]),
+	.Y(n_5555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g627194 (
+	.A1(n_5460),
+	.A2(brqrv_top_brqrv_ifu_aln_brdata0[9]),
+	.B1(n_5458),
+	.B2(brqrv_top_brqrv_ifu_aln_brdata1[9]),
+	.Y(n_5554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g627195 (
+	.A1(n_5457),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[51]),
+	.B1(n_464),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[115]),
+	.Y(n_5553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g627196 (
+	.A1(n_5459),
+	.A2(brqrv_top_brqrv_ifu_aln_brdata2[11]),
+	.B1(n_5303),
+	.B2(brqrv_top_brqrv_ifu_aln_brdata2[3]),
+	.Y(n_5552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g627197 (
+	.A1(n_465),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[82]),
+	.B1(n_5457),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[50]),
+	.Y(n_5551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g627198 (
+	.A1(n_5460),
+	.A2(brqrv_top_brqrv_ifu_aln_brdata0[11]),
+	.B1(n_5458),
+	.B2(brqrv_top_brqrv_ifu_aln_brdata1[11]),
+	.Y(n_5550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g627199 (
+	.A1(n_5459),
+	.A2(brqrv_top_brqrv_ifu_aln_brdata2[8]),
+	.B1(n_5303),
+	.B2(brqrv_top_brqrv_ifu_aln_brdata2[0]),
+	.Y(n_5549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g627200 (
+	.A1(n_5460),
+	.A2(brqrv_top_brqrv_ifu_aln_brdata0[8]),
+	.B1(n_5458),
+	.B2(brqrv_top_brqrv_ifu_aln_brdata1[8]),
+	.Y(n_5548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g627201 (
+	.A1(n_5424),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[144]),
+	.B1(n_5457),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[48]),
+	.Y(n_5547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g627202 (
+	.A1(n_5457),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[47]),
+	.B1(n_464),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[111]),
+	.Y(n_5546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g627203 (
+	.A1(n_5457),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[46]),
+	.B1(n_464),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[110]),
+	.Y(n_5545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g627204 (
+	.A1(n_5461),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[0]),
+	.B1(n_5430),
+	.B2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[2]),
+	.Y(n_5544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g627205 (
+	.A1(n_463),
+	.A2(brqrv_top_brqrv_dma_ctrl_n_643),
+	.B1(n_5457),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[45]),
+	.Y(n_5543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g627206 (
+	.A1_N(n_32744),
+	.A2_N(n_5435),
+	.B1(n_5312),
+	.B2(n_32722),
+	.Y(n_5542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g627207 (
+	.A1(n_5468),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[9]),
+	.B1(n_5354),
+	.B2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[11]),
+	.Y(n_5541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g627208 (
+	.A1(n_32736),
+	.A2(n_5361),
+	.A3(n_32700),
+	.B1(n_32726),
+	.B2(n_32665),
+	.Y(n_5540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g627209 (
+	.A(n_5539),
+	.Y(n_29922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627213 (
+	.A(n_5432),
+	.B(n_5339),
+	.Y(n_5526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g627214 (
+	.A1(brqrv_top_brqrv_ifu_aln_brdata0[5]),
+	.A2(n_5302),
+	.B1(n_5301),
+	.B2(brqrv_top_brqrv_ifu_aln_brdata2[5]),
+	.C1(n_6034),
+	.C2(brqrv_top_brqrv_ifu_aln_brdata1[5]),
+	.Y(n_5525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g627215 (
+	.A1(brqrv_top_brqrv_ifu_aln_brdata2[5]),
+	.A2(n_5302),
+	.B1(n_5301),
+	.B2(brqrv_top_brqrv_ifu_aln_brdata1[5]),
+	.C1(n_6034),
+	.C2(brqrv_top_brqrv_ifu_aln_brdata0[5]),
+	.Y(n_5524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g627216 (
+	.A1(brqrv_top_brqrv_ifu_aln_brdata2[8]),
+	.A2(n_5302),
+	.B1(n_5301),
+	.B2(brqrv_top_brqrv_ifu_aln_brdata1[8]),
+	.C1(n_6034),
+	.C2(brqrv_top_brqrv_ifu_aln_brdata0[8]),
+	.Y(n_5523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g627217 (
+	.A1(brqrv_top_brqrv_ifu_aln_brdata2[1]),
+	.A2(n_5302),
+	.B1(n_5301),
+	.B2(brqrv_top_brqrv_ifu_aln_brdata1[1]),
+	.C1(n_6034),
+	.C2(brqrv_top_brqrv_ifu_aln_brdata0[1]),
+	.Y(n_5522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627218 (
+	.A(n_5458),
+	.B(brqrv_top_brqrv_ifu_aln_brdata1[14]),
+	.Y(n_5521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g627219 (
+	.A1(brqrv_top_brqrv_ifu_aln_brdata0[11]),
+	.A2(n_6034),
+	.B1(n_5302),
+	.B2(brqrv_top_brqrv_ifu_aln_brdata2[11]),
+	.C1(n_5301),
+	.C2(brqrv_top_brqrv_ifu_aln_brdata1[11]),
+	.Y(n_5520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g627220 (
+	.A(n_32761),
+	.B(n_5389),
+	.C(brqrv_top_brqrv_dec_tlu_dcsr[11]),
+	.Y(n_5519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g627221 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[10]),
+	.B(n_5461),
+	.Y(n_5518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627222 (
+	.A(n_5467),
+	.B(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[13]),
+	.Y(n_5517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g627223 (
+	.A(brqrv_top_brqrv_dec_tlu_mfdht[3]),
+	.B(n_5432),
+	.Y(n_5516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g627224 (
+	.A1(brqrv_top_brqrv_ifu_aln_q1[29]),
+	.A2(n_39149),
+	.B1(n_5304),
+	.B2(brqrv_top_brqrv_ifu_aln_q1[13]),
+	.C1(n_39148),
+	.C2(brqrv_top_brqrv_ifu_aln_q2[29]),
+	.Y(n_5515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g627225 (
+	.A1(brqrv_top_brqrv_ifu_aln_brdata0[6]),
+	.A2(n_5302),
+	.B1(n_5301),
+	.B2(brqrv_top_brqrv_ifu_aln_brdata2[6]),
+	.C1(n_6034),
+	.C2(brqrv_top_brqrv_ifu_aln_brdata1[6]),
+	.Y(n_5514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g627226 (
+	.A1(brqrv_top_brqrv_ifu_aln_q0[8]),
+	.A2(n_5302),
+	.B1(n_5301),
+	.B2(brqrv_top_brqrv_ifu_aln_q2[8]),
+	.C1(n_6034),
+	.C2(brqrv_top_brqrv_ifu_aln_q1[8]),
+	.Y(n_5513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g627227 (
+	.A1(n_5303),
+	.A2(brqrv_top_brqrv_ifu_aln_q2[4]),
+	.B1(n_39147),
+	.B2(brqrv_top_brqrv_ifu_aln_q0[20]),
+	.C1(n_5417),
+	.X(n_5512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g627228 (
+	.A1(n_5303),
+	.A2(brqrv_top_brqrv_ifu_aln_q2[9]),
+	.B1(n_5305),
+	.B2(brqrv_top_brqrv_ifu_aln_q0[9]),
+	.C1(n_5414),
+	.X(n_5511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g627229 (
+	.A1(n_32703),
+	.A2(n_5372),
+	.B1(n_32766),
+	.Y(n_5510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g627230 (
+	.A1(n_5303),
+	.A2(brqrv_top_brqrv_ifu_aln_q2[10]),
+	.B1(n_5305),
+	.B2(brqrv_top_brqrv_ifu_aln_q0[10]),
+	.C1(n_5411),
+	.X(n_5509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g627231 (
+	.A1(n_5303),
+	.A2(brqrv_top_brqrv_ifu_aln_q2[11]),
+	.B1(n_5305),
+	.B2(brqrv_top_brqrv_ifu_aln_q0[11]),
+	.C1(n_5395),
+	.X(n_5508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4b_1 g627232 (
+	.A(brqrv_top_brqrv_dec_dec_tlu_i0_kill_writeb_wb),
+	.B(brqrv_top_brqrv_dec_decode_r_d[20]),
+	.C(brqrv_top_brqrv_dec_decode_r_d[15]),
+	.D_N(n_5382),
+	.X(n_5507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g627233 (
+	.A1(brqrv_top_brqrv_dec_tlu_dcsr[2]),
+	.A2(n_32730),
+	.B1(brqrv_top_brqrv_dec_tlu_debug_mode),
+	.Y(n_5506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g627234 (
+	.A1(n_32688),
+	.A2(n_5376),
+	.B1(n_32766),
+	.Y(n_5505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g627235 (
+	.A1(n_5373),
+	.A2(n_32746),
+	.B1(n_32725),
+	.Y(n_5504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g627236 (
+	.A1(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[3]),
+	.A2(n_5364),
+	.B1(n_5347),
+	.Y(n_5503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627237 (
+	.A(n_5426),
+	.B(brqrv_top_brqrv_dec_tlu_mfdht[4]),
+	.Y(n_5502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g627239 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[3]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_7547),
+	.Y(n_5539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g627240 (
+	.A1_N(n_31917),
+	.A2_N(n_31916),
+	.B1(n_31917),
+	.B2(n_31916),
+	.Y(n_32942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627241 (
+	.A(n_5295),
+	.B(n_5390),
+	.Y(brqrv_top_brqrv_dec_tlu_dcsr_single_step_running), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g627242 (
+	.A(brqrv_top_brqrv_dec_decode_r_t[1]),
+	.B(n_29912),
+	.C(n_32761),
+	.D_N(n_5379),
+	.Y(n_5538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g627243 (
+	.A1(n_5325),
+	.A2(n_31749),
+	.B1(n_5471),
+	.X(n_5537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627244 (
+	.A(n_29914),
+	.B(n_29915),
+	.Y(n_32653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g627245 (
+	.A1(brqrv_top_brqrv_ifu_aln_brdata0[13]),
+	.A2(n_6034),
+	.B1(n_5302),
+	.B2(brqrv_top_brqrv_ifu_aln_brdata2[13]),
+	.C1(n_5301),
+	.C2(brqrv_top_brqrv_ifu_aln_brdata1[13]),
+	.Y(n_5536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g627246 (
+	.A1(n_5332),
+	.A2(n_5377),
+	.B1(brqrv_top_brqrv_ifu_aln_uncompress0[1]),
+	.Y(n_5535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g627247 (
+	.A_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_7547),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[3]),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_error[3]),
+	.Y(n_29930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g627248 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_r[4]),
+	.B(n_5337),
+	.C(n_30798),
+	.X(n_31532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g627250 (
+	.A(n_32741),
+	.B_N(n_5436),
+	.Y(n_5533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g627251 (
+	.A1(brqrv_top_brqrv_ifu_aln_brdata0[9]),
+	.A2(n_6034),
+	.B1(n_5301),
+	.B2(brqrv_top_brqrv_ifu_aln_brdata1[9]),
+	.C1(n_5302),
+	.C2(brqrv_top_brqrv_ifu_aln_brdata2[9]),
+	.Y(n_5532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g627252 (
+	.A1(brqrv_top_brqrv_ifu_aln_brdata0[14]),
+	.A2(n_6034),
+	.B1(n_5302),
+	.B2(brqrv_top_brqrv_ifu_aln_brdata2[14]),
+	.C1(n_5301),
+	.C2(brqrv_top_brqrv_ifu_aln_brdata1[14]),
+	.Y(n_5531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g627253 (
+	.A(n_5434),
+	.B(brqrv_top_brqrv_ifu_aln_compress0_uimm5_0),
+	.Y(n_5530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g627254 (
+	.A(brqrv_top_brqrv_dec_tlu_nmi_int_detected_f),
+	.B(n_5470),
+	.X(n_30447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g627255 (
+	.A(brqrv_top_brqrv_dec_tlu_dcsr_single_step_done_f),
+	.B(brqrv_top_brqrv_dec_tlu_debug_brkpt_valid),
+	.C(brqrv_top_brqrv_dec_tlu_debug_halt_req),
+	.X(brqrv_top_brqrv_dec_tlu_enter_debug_halt_req), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g627257 (
+	.A(n_32744),
+	.B_N(n_5434),
+	.Y(n_5529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g627258 (
+	.A(n_5308),
+	.B(n_32228),
+	.Y(n_570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g627259 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addr_external_r),
+	.B(n_32228),
+	.Y(n_567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627260 (
+	.A(n_33403),
+	.B(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.Y(n_32669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627261 (
+	.A(n_33382),
+	.B(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.Y(n_31845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627262 (
+	.A(n_33380),
+	.B(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.Y(n_31846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627263 (
+	.A(n_33379),
+	.B(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.Y(n_31847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627264 (
+	.A(n_33401),
+	.B(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.Y(n_31853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627265 (
+	.A(n_33402),
+	.B(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.Y(n_31852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627266 (
+	.A(n_33400),
+	.B(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.Y(n_32671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g627270 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[9]),
+	.B(n_31927),
+	.X(n_5501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g627271 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[29]),
+	.B(n_31888),
+	.X(n_5500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g627272 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[6]),
+	.A2_N(n_31932),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[8]),
+	.B2(n_31928),
+	.Y(n_5499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g627273 (
+	.A1(n_31920),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[12]),
+	.B1(n_31918),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[13]),
+	.Y(n_5498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g627274 (
+	.A1_N(n_5378),
+	.A2_N(n_32668),
+	.B1(n_33360),
+	.B2(n_5320),
+	.Y(n_5497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g627275 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[26]),
+	.A2_N(n_31893),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[28]),
+	.B2(n_31889),
+	.Y(n_5496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g627276 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[11]),
+	.A2(n_31922),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[12]),
+	.B2(n_31920),
+	.Y(n_5495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g627277 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[10]),
+	.A2_N(n_31924),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[10]),
+	.B2(n_31924),
+	.Y(n_5494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g627278 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[17]),
+	.A2_N(n_31910),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[17]),
+	.B2(n_31910),
+	.Y(n_5493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g627279 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[16]),
+	.B(n_31912),
+	.X(n_5492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g627280 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[14]),
+	.A2_N(n_31916),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[14]),
+	.B2(n_31916),
+	.Y(n_5491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g627281 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[19]),
+	.A2_N(n_31906),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[20]),
+	.B2(n_31904),
+	.Y(n_5490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g627282 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[21]),
+	.A2_N(n_31903),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[21]),
+	.B2(n_31903),
+	.Y(n_5489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g627283 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[20]),
+	.A2_N(n_31904),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[18]),
+	.B2(n_31908),
+	.Y(n_5488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g627284 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[18]),
+	.A2_N(n_31908),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[19]),
+	.B2(n_31906),
+	.Y(n_5487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g627285 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[25]),
+	.A2_N(n_31895),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[25]),
+	.B2(n_31895),
+	.Y(n_5486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g627286 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[3]),
+	.A2(n_31938),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[4]),
+	.B2(n_31936),
+	.Y(n_5485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g627287 (
+	.A1(n_5384),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_error[1]),
+	.B1(n_5394),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_error[2]),
+	.Y(n_5484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g627288 (
+	.A1(n_5350),
+	.A2(brqrv_top_brqrv_ifu_aln_brdata0[6]),
+	.B1(n_5351),
+	.B2(brqrv_top_brqrv_ifu_aln_brdata2[6]),
+	.X(n_5483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g627289 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[3]),
+	.A2_N(n_31940),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[3]),
+	.B2(n_31940),
+	.Y(n_5482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g627290 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[4]),
+	.A2_N(n_31937),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[4]),
+	.B2(n_31937),
+	.Y(n_5481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g627291 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[2]),
+	.A2(n_31868),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[5]),
+	.B2(n_31935),
+	.Y(n_5480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g627292 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[10]),
+	.A2_N(n_31925),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[11]),
+	.B2(n_31923),
+	.Y(n_5479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g627293 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[13]),
+	.A2_N(n_31919),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[13]),
+	.B2(n_31919),
+	.Y(n_5478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g627294 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[11]),
+	.A2_N(n_31923),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[10]),
+	.B2(n_31925),
+	.Y(n_5477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g627295 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[22]),
+	.A2_N(n_31902),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[23]),
+	.B2(n_31900),
+	.Y(n_5476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g627296 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[19]),
+	.A2_N(n_31907),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[20]),
+	.B2(n_31905),
+	.Y(n_5475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g627297 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[21]),
+	.A2_N(n_32682),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[21]),
+	.B2(n_32682),
+	.Y(n_5474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g627298 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[18]),
+	.A2_N(n_31909),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[19]),
+	.B2(n_31907),
+	.Y(n_5473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g627299 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[15]),
+	.A2_N(n_31915),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[16]),
+	.B2(n_31913),
+	.Y(n_5472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g627300 (
+	.A1_N(n_31888),
+	.A2_N(n_31887),
+	.B1(n_31888),
+	.B2(n_31887),
+	.Y(n_32927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g627301 (
+	.A1_N(n_31907),
+	.A2_N(n_31906),
+	.B1(n_31907),
+	.B2(n_31906),
+	.Y(n_32937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g627302 (
+	.A1_N(n_32682),
+	.A2_N(n_31903),
+	.B1(n_32682),
+	.B2(n_31903),
+	.Y(n_32935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g627303 (
+	.A1_N(n_31902),
+	.A2_N(n_31901),
+	.B1(n_31902),
+	.B2(n_31901),
+	.Y(n_32934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g627304 (
+	.A1_N(n_31898),
+	.A2_N(n_31897),
+	.B1(n_31898),
+	.B2(n_31897),
+	.Y(n_32932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g627305 (
+	.A1_N(n_31905),
+	.A2_N(n_31904),
+	.B1(n_31905),
+	.B2(n_31904),
+	.Y(n_32936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g627306 (
+	.A1_N(n_31900),
+	.A2_N(n_31899),
+	.B1(n_31900),
+	.B2(n_31899),
+	.Y(n_32933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g627307 (
+	.A1_N(n_31886),
+	.A2_N(n_31885),
+	.B1(n_31886),
+	.B2(n_31885),
+	.Y(n_32926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g627308 (
+	.A1_N(n_31896),
+	.A2_N(n_31895),
+	.B1(n_31896),
+	.B2(n_31895),
+	.Y(n_32931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g627309 (
+	.A1_N(n_31884),
+	.A2_N(n_31883),
+	.B1(n_31884),
+	.B2(n_31883),
+	.Y(n_32925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g627310 (
+	.A1_N(n_31894),
+	.A2_N(n_31893),
+	.B1(n_31894),
+	.B2(n_31893),
+	.Y(n_32930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g627311 (
+	.A1_N(n_31892),
+	.A2_N(n_31891),
+	.B1(n_31892),
+	.B2(n_31891),
+	.Y(n_32929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g627312 (
+	.A1_N(n_31890),
+	.A2_N(n_31889),
+	.B1(n_31890),
+	.B2(n_31889),
+	.Y(n_32928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g627313 (
+	.A1_N(n_31929),
+	.A2_N(n_31928),
+	.B1(n_31929),
+	.B2(n_31928),
+	.Y(n_32948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g627314 (
+	.A1_N(n_31935),
+	.A2_N(n_31934),
+	.B1(n_31935),
+	.B2(n_31934),
+	.Y(n_32951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g627315 (
+	.A1_N(n_31911),
+	.A2_N(n_31910),
+	.B1(n_31911),
+	.B2(n_31910),
+	.Y(n_32939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g627316 (
+	.A1_N(n_31937),
+	.A2_N(n_31936),
+	.B1(n_31937),
+	.B2(n_31936),
+	.Y(n_32952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g627317 (
+	.A1_N(n_31940),
+	.A2_N(n_31938),
+	.B1(n_31940),
+	.B2(n_31938),
+	.Y(n_32953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g627318 (
+	.A1_N(n_31913),
+	.A2_N(n_31912),
+	.B1(n_31913),
+	.B2(n_31912),
+	.Y(n_32940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g627319 (
+	.A1_N(n_31915),
+	.A2_N(n_31914),
+	.B1(n_31915),
+	.B2(n_31914),
+	.Y(n_32941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g627320 (
+	.A1_N(n_31923),
+	.A2_N(n_31922),
+	.B1(n_31923),
+	.B2(n_31922),
+	.Y(n_32945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g627321 (
+	.A1_N(n_31927),
+	.A2_N(n_31926),
+	.B1(n_31927),
+	.B2(n_31926),
+	.Y(n_32947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g627322 (
+	.A1_N(n_31931),
+	.A2_N(n_31930),
+	.B1(n_31931),
+	.B2(n_31930),
+	.Y(n_32949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g627323 (
+	.A1_N(n_31921),
+	.A2_N(n_31920),
+	.B1(n_31921),
+	.B2(n_31920),
+	.Y(n_32944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g627324 (
+	.A1_N(n_31919),
+	.A2_N(n_31918),
+	.B1(n_31919),
+	.B2(n_31918),
+	.Y(n_32943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g627325 (
+	.A1_N(n_31925),
+	.A2_N(n_31924),
+	.B1(n_31925),
+	.B2(n_31924),
+	.Y(n_32946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g627326 (
+	.A1_N(n_31933),
+	.A2_N(n_31932),
+	.B1(n_31933),
+	.B2(n_31932),
+	.Y(n_32950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g627327 (
+	.A1_N(n_31909),
+	.A2_N(n_31908),
+	.B1(n_31909),
+	.B2(n_31908),
+	.Y(n_32938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g627329 (
+	.A(n_5464),
+	.Y(n_5465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g627330 (
+	.A(n_5457),
+	.Y(n_32675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627334 (
+	.A(n_31931),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[7]),
+	.Y(n_5453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627336 (
+	.A(n_5338),
+	.B(brqrv_top_brqrv_dec_tlu_dec_tlu_flush_noredir_r_d1),
+	.Y(n_5451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g627337 (
+	.A(n_32736),
+	.B(n_5376),
+	.Y(n_5450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g627339 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[17]),
+	.B(n_31911),
+	.Y(n_5449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627340 (
+	.A(n_31905),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[20]),
+	.Y(n_5448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g627341 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[25]),
+	.B(n_31896),
+	.Y(n_5447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627342 (
+	.A(n_31933),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[6]),
+	.Y(n_5446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627343 (
+	.A(n_31894),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[26]),
+	.Y(n_5445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627344 (
+	.A(n_31892),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[27]),
+	.Y(n_5444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g627345 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[6]),
+	.B(n_31932),
+	.Y(n_5443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g627346 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[26]),
+	.B(n_31893),
+	.Y(n_5442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627347 (
+	.A(n_31914),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[15]),
+	.Y(n_5441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g627349 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[30]),
+	.B(n_31885),
+	.Y(n_5440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g627350 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[24]),
+	.B(n_31897),
+	.Y(n_5439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627351 (
+	.A(n_5356),
+	.B(n_33357),
+	.Y(n_5438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g627352 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[5]),
+	.B(n_31934),
+	.Y(n_5437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g627353 (
+	.A(n_5343),
+	.B(brqrv_top_brqrv_ifu_aln_first2B),
+	.X(n_5471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g627354 (
+	.A_N(n_5352),
+	.B(brqrv_top_brqrv_dec_tlu_take_ext_int_start_d3),
+	.Y(n_5470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g627355 (
+	.A1(brqrv_top_brqrv_dec_tlu_mfdht[4]),
+	.A2(brqrv_top_brqrv_dec_tlu_mfdht[2]),
+	.B1(n_5348),
+	.Y(n_5469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g627356 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_miss_state[0]),
+	.B(n_30478),
+	.X(brqrv_top_brqrv_ifu_mem_ctl_miss_pending), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g627357 (
+	.A_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_7543),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[2]),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_error[2]),
+	.Y(n_29923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g627358 (
+	.A_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_7539),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[1]),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_error[1]),
+	.Y(n_29927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627359 (
+	.A(n_5352),
+	.B(brqrv_top_brqrv_dec_tlu_take_ext_int_start_d3),
+	.Y(n_30462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g627363 (
+	.A_N(n_5355),
+	.B(brqrv_top_brqrv_dec_tlu_mfdht[4]),
+	.Y(n_5468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g627364 (
+	.A_N(n_5354),
+	.B(brqrv_top_brqrv_dec_tlu_mfdht[2]),
+	.Y(n_5467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g627365 (
+	.A(n_32703),
+	.B(n_5378),
+	.X(n_5466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627366 (
+	.A(n_5373),
+	.B(n_32707),
+	.Y(n_5464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627370 (
+	.A(n_5368),
+	.B(brqrv_top_brqrv_dec_decode_r_d[10]),
+	.Y(n_29925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g627371 (
+	.A(n_5382),
+	.B(brqrv_top_brqrv_dec_dec_csr_wraddr_r[8]),
+	.X(n_32245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627372 (
+	.A(n_5368),
+	.B(brqrv_top_brqrv_dec_decode_r_d[20]),
+	.Y(n_29916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627373 (
+	.A(n_5368),
+	.B(brqrv_top_brqrv_dec_decode_r_d[15]),
+	.Y(n_29924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627374 (
+	.A(n_5368),
+	.B(brqrv_top_brqrv_dec_decode_r_d[11]),
+	.Y(n_29926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g627375 (
+	.A1(brqrv_top_brqrv_dec_tlu_dcsr[11]),
+	.A2(n_5333),
+	.B1(brqrv_top_brqrv_dec_tlu_mstatus[0]),
+	.Y(brqrv_top_brqrv_dec_tlu_mstatus_mie_ns), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627376 (
+	.A(n_2683),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_r[9]),
+	.Y(n_32228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627377 (
+	.A(n_5368),
+	.B(brqrv_top_brqrv_dec_decode_r_d[12]),
+	.Y(n_29915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g627378 (
+	.A1(n_32703),
+	.A2(n_32746),
+	.B1(n_5322),
+	.Y(n_5463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g627379 (
+	.A_N(brqrv_top_brqrv_dec_tlu_i0_kill_writeb_r),
+	.B(n_5368),
+	.Y(n_30300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627380 (
+	.A(n_5368),
+	.B(brqrv_top_brqrv_dec_decode_r_d[9]),
+	.Y(n_29914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g627381 (
+	.A_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[9]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[11]),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[10]),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_7547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g627382 (
+	.A(n_5343),
+	.B(n_32719),
+	.Y(n_5462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g627383 (
+	.A(brqrv_top_brqrv_dec_tlu_mfdht[1]),
+	.B_N(n_5355),
+	.Y(n_5461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g627384 (
+	.A(n_30516),
+	.B(n_5385),
+	.Y(n_5460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g627385 (
+	.A(brqrv_top_brqrv_ifu_aln_n_2319),
+	.B(n_5387),
+	.Y(n_5459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g627386 (
+	.A(brqrv_top_brqrv_ifu_aln_n_2310),
+	.B(n_5387),
+	.Y(n_5458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g627387 (
+	.A(brqrv_top_brqrv_dma_mem_tag[1]),
+	.B(n_5380),
+	.Y(n_5457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_4 g627388 (
+	.A_N(brqrv_top_brqrv_dma_mem_tag[1]),
+	.B(n_5391),
+	.X(n_463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627389 (
+	.A(n_5381),
+	.B(brqrv_top_brqrv_dma_mem_tag[1]),
+	.Y(n_31876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627390 (
+	.A(n_5391),
+	.B(brqrv_top_brqrv_dma_mem_tag[1]),
+	.Y(n_32674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g627391 (
+	.A(n_5433),
+	.Y(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U1_Plus1_0.lsu_ld_datafn_corr_r [15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g627392 (
+	.A(n_5430),
+	.Y(n_5429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g627393 (
+	.A(n_5425),
+	.Y(n_5426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g627394 (
+	.A(n_5424),
+	.Y(n_31875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g627395 (
+	.A1(n_5304),
+	.A2(brqrv_top_brqrv_ifu_aln_q1[15]),
+	.B1(n_5305),
+	.B2(brqrv_top_brqrv_ifu_aln_q0[15]),
+	.Y(n_5423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g627396 (
+	.A1(n_32721),
+	.A2(n_32716),
+	.B1(n_32717),
+	.Y(n_5422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g627397 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_valid),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[8]),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[0]),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[3]),
+	.X(n_5421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g627398 (
+	.A1(n_32711),
+	.A2(n_32749),
+	.B1(n_32764),
+	.Y(n_5420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g627399 (
+	.A1(n_5328),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[17]),
+	.B1(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[19]),
+	.Y(n_5419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g627400 (
+	.A1(n_5304),
+	.A2(brqrv_top_brqrv_ifu_aln_q1[5]),
+	.B1(n_5305),
+	.B2(brqrv_top_brqrv_ifu_aln_q0[5]),
+	.Y(n_5418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g627401 (
+	.A1(n_39148),
+	.A2(brqrv_top_brqrv_ifu_aln_q2[20]),
+	.B1(n_39149),
+	.B2(brqrv_top_brqrv_ifu_aln_q1[20]),
+	.X(n_5417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g627402 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[19]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[18]),
+	.C(n_32680),
+	.X(n_5416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g627403 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[16]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[14]),
+	.C(brqrv_top_brqrv_dec_dec_i0_instr_d[15]),
+	.X(n_5415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g627404 (
+	.A1(n_39149),
+	.A2(brqrv_top_brqrv_ifu_aln_q1[25]),
+	.B1(n_39147),
+	.B2(brqrv_top_brqrv_ifu_aln_q0[25]),
+	.X(n_5414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g627405 (
+	.A1(n_5308),
+	.A2(brqrv_top_brqrv_lsu_fir_addr[11]),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addr_external_r),
+	.B2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[11]),
+	.X(n_5413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g627406 (
+	.A1(n_5308),
+	.A2(brqrv_top_brqrv_lsu_lsu_ld_data_corr_r[0]),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addr_external_r),
+	.B2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[0]),
+	.X(n_5412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g627407 (
+	.A1(n_39149),
+	.A2(brqrv_top_brqrv_ifu_aln_q1[26]),
+	.B1(n_39147),
+	.B2(brqrv_top_brqrv_ifu_aln_q0[26]),
+	.X(n_5411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g627408 (
+	.A(n_5358),
+	.B(brqrv_top_brqrv_dec_tlu_lsu_idle_any_f),
+	.C(brqrv_top_brqrv_dec_tlu_ifu_miss_state_idle_f),
+	.Y(n_5410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g627409 (
+	.A1(brqrv_top_brqrv_lsu_lsu_pkt_m[6]),
+	.A2(brqrv_top_brqrv_lsu_lsu_pkt_m[7]),
+	.B1_N(brqrv_top_brqrv_lsu_lsu_pkt_m[13]),
+	.Y(n_5409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g627410 (
+	.A1(n_5304),
+	.A2(brqrv_top_brqrv_ifu_aln_q1[7]),
+	.B1(n_5305),
+	.B2(brqrv_top_brqrv_ifu_aln_q0[7]),
+	.Y(n_5408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g627411 (
+	.A1(n_39148),
+	.A2(brqrv_top_brqrv_ifu_aln_q2[30]),
+	.B1(n_5304),
+	.B2(brqrv_top_brqrv_ifu_aln_q1[14]),
+	.Y(n_5407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g627412 (
+	.A1(n_39149),
+	.A2(brqrv_top_brqrv_ifu_aln_q1[30]),
+	.B1(n_39147),
+	.B2(brqrv_top_brqrv_ifu_aln_q0[30]),
+	.Y(n_5406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g627413 (
+	.A1(n_5303),
+	.A2(brqrv_top_brqrv_ifu_aln_q2[14]),
+	.B1(n_5305),
+	.B2(brqrv_top_brqrv_ifu_aln_q0[14]),
+	.Y(n_5405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g627414 (
+	.A1(n_5304),
+	.A2(brqrv_top_brqrv_ifu_aln_brdata1[1]),
+	.B1(n_5305),
+	.B2(brqrv_top_brqrv_ifu_aln_brdata0[1]),
+	.Y(n_5404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g627415 (
+	.A1(n_5303),
+	.A2(brqrv_top_brqrv_ifu_aln_q2[15]),
+	.B1(n_39147),
+	.B2(brqrv_top_brqrv_ifu_aln_q0[31]),
+	.Y(n_5403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g627416 (
+	.A1(n_39148),
+	.A2(brqrv_top_brqrv_ifu_aln_q2[31]),
+	.B1(n_39149),
+	.B2(brqrv_top_brqrv_ifu_aln_q1[31]),
+	.Y(n_5402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g627417 (
+	.A1(n_39148),
+	.A2(brqrv_top_brqrv_ifu_aln_q2[28]),
+	.B1(n_5304),
+	.B2(brqrv_top_brqrv_ifu_aln_q1[12]),
+	.Y(n_5401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g627418 (
+	.A1(n_39149),
+	.A2(brqrv_top_brqrv_ifu_aln_q1[28]),
+	.B1(n_39147),
+	.B2(brqrv_top_brqrv_ifu_aln_q0[28]),
+	.Y(n_5400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g627419 (
+	.A1(n_5303),
+	.A2(brqrv_top_brqrv_ifu_aln_q2[12]),
+	.B1(n_5305),
+	.B2(brqrv_top_brqrv_ifu_aln_q0[12]),
+	.Y(n_5399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g627420 (
+	.A1(n_5304),
+	.A2(brqrv_top_brqrv_ifu_aln_q1[6]),
+	.B1(n_5305),
+	.B2(brqrv_top_brqrv_ifu_aln_q0[6]),
+	.Y(n_5398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g627421 (
+	.A1(n_5304),
+	.A2(brqrv_top_brqrv_ifu_aln_brdata1[3]),
+	.B1(n_5305),
+	.B2(brqrv_top_brqrv_ifu_aln_brdata0[3]),
+	.Y(n_5397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g627422 (
+	.A1(n_5304),
+	.A2(brqrv_top_brqrv_ifu_aln_brdata1[0]),
+	.B1(n_5305),
+	.B2(brqrv_top_brqrv_ifu_aln_brdata0[0]),
+	.Y(n_5396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g627423 (
+	.A1(n_39149),
+	.A2(brqrv_top_brqrv_ifu_aln_q1[27]),
+	.B1(n_39147),
+	.B2(brqrv_top_brqrv_ifu_aln_q0[27]),
+	.X(n_5395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g627424 (
+	.A1(brqrv_top_brqrv_lsu_ldst_dual_r),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[28]),
+	.B1(n_32816),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[28]),
+	.X(n_33402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g627425 (
+	.A1(brqrv_top_brqrv_lsu_ldst_dual_r),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[27]),
+	.B1(n_32816),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[27]),
+	.X(n_33401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g627426 (
+	.A1(brqrv_top_brqrv_lsu_ldst_dual_r),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[26]),
+	.B1(n_32816),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[26]),
+	.X(n_33400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g627427 (
+	.A1(brqrv_top_brqrv_lsu_ldst_dual_r),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[8]),
+	.B1(n_32816),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[8]),
+	.X(n_33382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g627428 (
+	.A1(brqrv_top_brqrv_lsu_ldst_dual_r),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[6]),
+	.B1(n_32816),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[6]),
+	.X(n_33380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g627429 (
+	.A1(brqrv_top_brqrv_lsu_ldst_dual_r),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[5]),
+	.B1(n_32816),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[5]),
+	.X(n_33379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g627430 (
+	.A(n_32768),
+	.B(n_32711),
+	.C(n_32743),
+	.Y(n_5436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g627431 (
+	.A(brqrv_top_brqrv_dec_decode_r_t[5]),
+	.B(n_32761),
+	.C(n_32705),
+	.Y(brqrv_top_brqrv_dec_tlu_illegal_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g627432 (
+	.A(n_32764),
+	.B(n_32712),
+	.C(n_32711),
+	.Y(n_5435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g627433 (
+	.A1(n_32717),
+	.A2(n_32716),
+	.B1(n_32673),
+	.B2(n_32710),
+	.Y(n_5434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g627434 (
+	.A1(n_5308),
+	.A2(brqrv_top_brqrv_lsu_fir_addr[7]),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addr_external_r),
+	.B2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[7]),
+	.X(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U1_Plus1_0.lsu_ld_datafn_corr_r [7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g627435 (
+	.A(brqrv_top_brqrv_dec_tlu_reset_detected),
+	.B(brqrv_top_brqrv_dec_tlu_reset_detect),
+	.X(brqrv_top_brqrv_dec_tlu_reset_delayed), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g627436 (
+	.A1(n_5308),
+	.A2(brqrv_top_brqrv_lsu_fir_addr[15]),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addr_external_r),
+	.B2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[15]),
+	.Y(n_5433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g627437 (
+	.A1(n_5323),
+	.A2(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[25]),
+	.B1(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[27]),
+	.Y(n_5432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g627438 (
+	.A(n_5368),
+	.B(brqrv_top_brqrv_dec_dec_csr_wraddr_r[7]),
+	.C(brqrv_top_brqrv_dec_decode_r_d[19]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_n_44), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g627439 (
+	.A1(n_5321),
+	.A2(brqrv_top_brqrv_dbg_data1_reg[11]),
+	.B1(n_33474),
+	.B2(brqrv_top_brqrv_dbg_command_reg[11]),
+	.X(brqrv_top_brqrv_dbg_cmd_addr[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g627440 (
+	.A1(n_5321),
+	.A2(brqrv_top_brqrv_dbg_data1_reg[10]),
+	.B1(n_33474),
+	.B2(brqrv_top_brqrv_dbg_command_reg[10]),
+	.X(brqrv_top_brqrv_dbg_cmd_addr[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g627441 (
+	.A1(n_5321),
+	.A2(brqrv_top_brqrv_dbg_data1_reg[9]),
+	.B1(n_33474),
+	.B2(brqrv_top_brqrv_dbg_command_reg[9]),
+	.X(brqrv_top_brqrv_dbg_cmd_addr[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g627442 (
+	.A1(n_5321),
+	.A2(brqrv_top_brqrv_dbg_data1_reg[6]),
+	.B1(n_33474),
+	.B2(brqrv_top_brqrv_dbg_command_reg[6]),
+	.X(brqrv_top_brqrv_dbg_cmd_addr[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g627443 (
+	.A1(n_5321),
+	.A2(brqrv_top_brqrv_dbg_data1_reg[5]),
+	.B1(n_33474),
+	.B2(brqrv_top_brqrv_dbg_command_reg[5]),
+	.X(brqrv_top_brqrv_dbg_cmd_addr[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g627444 (
+	.A1(n_5321),
+	.A2(brqrv_top_brqrv_dbg_data1_reg[7]),
+	.B1(n_33474),
+	.B2(brqrv_top_brqrv_dbg_command_reg[7]),
+	.X(brqrv_top_brqrv_dbg_cmd_addr[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g627445 (
+	.A1(brqrv_top_brqrv_lsu_ldst_dual_r),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[29]),
+	.B1(n_32816),
+	.B2(brqrv_top_brqrv_lsu_lsu_addr_r[29]),
+	.X(n_33403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g627446 (
+	.A1(n_5321),
+	.A2(brqrv_top_brqrv_dbg_data1_reg[8]),
+	.B1(n_33474),
+	.B2(brqrv_top_brqrv_dbg_command_reg[8]),
+	.X(brqrv_top_brqrv_dbg_cmd_addr[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g627447 (
+	.A1(n_32742),
+	.A2(n_32701),
+	.B1(n_5372),
+	.Y(n_5431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g627448 (
+	.A1(brqrv_top_brqrv_dec_tlu_mfdht[2]),
+	.A2(brqrv_top_brqrv_dec_tlu_mfdht[1]),
+	.B1(brqrv_top_brqrv_dec_tlu_mfdht[3]),
+	.Y(n_5430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g627450 (
+	.A1(brqrv_top_brqrv_dec_tlu_mfdht[2]),
+	.A2(brqrv_top_brqrv_dec_tlu_mfdht[1]),
+	.B1(brqrv_top_brqrv_dec_tlu_mfdht[3]),
+	.Y(n_5427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g627451 (
+	.A(brqrv_top_brqrv_dec_tlu_mfdht[3]),
+	.B(brqrv_top_brqrv_dec_tlu_mfdht[2]),
+	.C(brqrv_top_brqrv_dec_tlu_mfdht[1]),
+	.Y(n_5425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g627452 (
+	.A(brqrv_top_brqrv_dma_mem_tag[1]),
+	.B(n_5367),
+	.Y(n_5424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g627453 (
+	.A(n_5394),
+	.Y(n_29920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g627454 (
+	.A(n_5392),
+	.Y(n_5393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g627455 (
+	.A(n_5389),
+	.Y(n_5390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g627456 (
+	.A(n_5385),
+	.Y(n_5386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g627457 (
+	.A(n_5384),
+	.Y(n_29919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g627459 (
+	.A(n_5380),
+	.Y(n_5381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g627460 (
+	.A(n_5377),
+	.Y(n_5376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g627461 (
+	.A(n_5374),
+	.Y(n_5373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g627462 (
+	.A(n_5371),
+	.Y(n_5372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g627465 (
+	.A(n_29931),
+	.Y(n_2683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g627466 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wraddr_r[8]),
+	.Y(n_5368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g627467 (
+	.A_N(brqrv_top_brqrv_dma_mem_tag[0]),
+	.B(brqrv_top_brqrv_dma_mem_tag[2]),
+	.Y(n_5367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g627468 (
+	.A(n_32715),
+	.B_N(n_32742),
+	.Y(n_5366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627469 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[0]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_error[0]),
+	.Y(n_5365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g627470 (
+	.A(brqrv_top_brqrv_dec_tlu_mfdht[2]),
+	.B_N(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[1]),
+	.Y(n_5364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g627471 (
+	.A(brqrv_top_brqrv_dec_tlu_pmu_fw_halt_req_f),
+	.B(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.Y(n_5363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627472 (
+	.A(n_5305),
+	.B(brqrv_top_brqrv_ifu_aln_q0[13]),
+	.Y(n_5362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g627473 (
+	.A(n_32769),
+	.B_N(n_32714),
+	.Y(n_5361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627474 (
+	.A(n_5331),
+	.B(brqrv_top_brqrv_dbg_cmd_addr[0]),
+	.Y(n_5360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627475 (
+	.A(brqrv_top_brqrv_dec_arf_n_9953),
+	.B(brqrv_top_brqrv_dec_arf_n_10568),
+	.Y(n_5359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g627476 (
+	.A(n_32771),
+	.B_N(brqrv_top_brqrv_dbg_cmd_addr[2]),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g627477 (
+	.A(n_32771),
+	.B_N(brqrv_top_brqrv_dbg_cmd_addr[1]),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g627478 (
+	.A(brqrv_top_brqrv_dec_tlu_debug_halt_req_d1),
+	.B(brqrv_top_brqrv_dec_dec_div_active),
+	.Y(n_5358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g627479 (
+	.A(n_32610),
+	.B(n_32705),
+	.X(n_32676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627480 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_r[10]),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_r[5]),
+	.Y(n_29909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g627482 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_miss_state[1]),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_miss_state[2]),
+	.X(n_30478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g627483 (
+	.A_N(brqrv_top_brqrv_dec_tlu_take_nmi_r_d1),
+	.B(brqrv_top_brqrv_dec_tlu_nmi_int_detected_f),
+	.Y(n_30445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g627484 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[2]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_7543),
+	.Y(n_5394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627485 (
+	.A(n_32746),
+	.B(n_32707),
+	.Y(n_5392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g627486 (
+	.A_N(brqrv_top_brqrv_dec_tlu_i0_kill_writeb_r),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_r[0]),
+	.Y(n_30798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g627487 (
+	.A(brqrv_top_brqrv_dma_mem_tag[0]),
+	.B(brqrv_top_brqrv_dma_mem_tag[2]),
+	.Y(n_5391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g627488 (
+	.A(brqrv_top_brqrv_dec_tlu_dcsr_single_step_done_f),
+	.B(n_5333),
+	.Y(n_5389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g627489 (
+	.A(n_32744),
+	.B(n_32703),
+	.Y(n_5388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g627490 (
+	.A_N(n_30516),
+	.B(brqrv_top_brqrv_ifu_aln_q1ptr),
+	.Y(n_5387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g627491 (
+	.A_N(brqrv_top_brqrv_lsu_lsu_pkt_r[4]),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_r[0]),
+	.Y(n_30443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627492 (
+	.A(brqrv_top_brqrv_ifu_aln_q1ptr),
+	.B(n_5302),
+	.Y(n_5385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g627493 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[4]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[5]),
+	.X(n_29917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g627494 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[1]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_7539),
+	.Y(n_5384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g627495 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_WrPtr1_r[0]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_WrPtr1_r[1]),
+	.Y(n_5383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g627496 (
+	.A(n_32728),
+	.B(n_32705),
+	.Y(brqrv_top_brqrv_dec_tlu_inst_acc_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g627497 (
+	.A(brqrv_top_brqrv_dec_decode_r_d[13]),
+	.B(brqrv_top_brqrv_dec_decode_r_d[14]),
+	.Y(n_5382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g627498 (
+	.A_N(brqrv_top_brqrv_dma_mem_tag[2]),
+	.B(brqrv_top_brqrv_dma_mem_tag[0]),
+	.Y(n_5380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g627499 (
+	.A_N(brqrv_top_brqrv_lsu_lsu_pkt_r[5]),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_r[10]),
+	.Y(n_29913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g627500 (
+	.A_N(brqrv_top_brqrv_dec_tlu_flush_lower_wb),
+	.B(brqrv_top_brqrv_dec_decode_r_t[0]),
+	.Y(n_29929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g627501 (
+	.A(brqrv_top_brqrv_dec_tlu_i0_trigger_hit_raw_r),
+	.B_N(n_32706),
+	.Y(n_5379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g627502 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[12]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[13]),
+	.X(n_31824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g627503 (
+	.A_N(n_32708),
+	.B(n_32764),
+	.Y(n_5378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g627504 (
+	.A(n_32708),
+	.B(n_32721),
+	.Y(n_5377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g627505 (
+	.A(n_32768),
+	.B(n_32721),
+	.Y(n_5375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g627506 (
+	.A(n_32768),
+	.B(n_32663),
+	.Y(n_5374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627507 (
+	.A(n_5318),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[17]),
+	.Y(n_31910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627508 (
+	.A(n_5318),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[4]),
+	.Y(n_31936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g627509 (
+	.A(n_32764),
+	.B(n_32708),
+	.Y(n_5371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627510 (
+	.A(n_5318),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[18]),
+	.Y(n_31908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627511 (
+	.A(n_5318),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[13]),
+	.Y(n_31918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627512 (
+	.A(n_5318),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[11]),
+	.Y(n_31922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627513 (
+	.A(brqrv_top_brqrv_ifu_aln_first2B),
+	.B(n_32814),
+	.Y(n_31749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627514 (
+	.A(n_5318),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[7]),
+	.Y(n_31930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627515 (
+	.A(n_5318),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[5]),
+	.Y(n_31934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627516 (
+	.A(n_5318),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[15]),
+	.Y(n_31914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627517 (
+	.A(n_5318),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[19]),
+	.Y(n_31906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627518 (
+	.A(n_5318),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[12]),
+	.Y(n_31920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627519 (
+	.A(n_5318),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[27]),
+	.Y(n_31891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627520 (
+	.A(n_5318),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[30]),
+	.Y(n_31885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627521 (
+	.A(n_5318),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[26]),
+	.Y(n_31893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627522 (
+	.A(n_5318),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[28]),
+	.Y(n_31889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g627523 (
+	.A(n_32720),
+	.B(n_5322),
+	.Y(n_5370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627524 (
+	.A(n_32731),
+	.B(brqrv_top_brqrv_dec_tlu_debug_resume_req_f_raw),
+	.Y(n_32730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627525 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[17]),
+	.Y(n_31911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627526 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[24]),
+	.Y(n_31898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627527 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[2]),
+	.Y(n_31868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627528 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[22]),
+	.Y(n_31902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627529 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[8]),
+	.Y(n_31929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627530 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[30]),
+	.Y(n_31886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627531 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[7]),
+	.Y(n_31931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627532 (
+	.A(brqrv_top_brqrv_dec_decode_r_d[3]),
+	.B(brqrv_top_brqrv_dec_decode_r_d[1]),
+	.Y(n_29931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627533 (
+	.A(brqrv_top_brqrv_dec_decode_r_d[0]),
+	.B(brqrv_top_brqrv_dec_decode_r_d[18]),
+	.Y(brqrv_top_brqrv_dec_dec_csr_wraddr_r[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g627534 (
+	.A(n_5357),
+	.Y(n_29921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g627535 (
+	.A(n_32216),
+	.Y(n_5353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g627536 (
+	.A(n_5347),
+	.Y(n_5348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g627537 (
+	.A(n_5345),
+	.Y(n_5344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g627538 (
+	.A(n_31861),
+	.Y(n_5342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g627540 (
+	.A(n_5341),
+	.Y(n_31834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g627542 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[24]),
+	.B(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[26]),
+	.Y(n_5339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g627543 (
+	.A(brqrv_top_brqrv_dec_tlu_dec_tlu_flush_pause_r_d1),
+	.B(brqrv_top_brqrv_dec_tlu_take_ext_int_start_d1),
+	.Y(n_5338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g627544 (
+	.A(n_32771),
+	.B_N(brqrv_top_brqrv_dbg_cmd_addr[0]),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g627545 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_r[7]),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_r[6]),
+	.Y(n_5337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627546 (
+	.A(n_5320),
+	.B(n_33363),
+	.Y(n_5336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g627547 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[20]),
+	.B(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[22]),
+	.Y(n_5335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g627548 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[0]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_7535),
+	.Y(n_5357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g627549 (
+	.A(n_32768),
+	.B(n_32764),
+	.Y(n_5356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g627550 (
+	.A(brqrv_top_brqrv_dec_tlu_ebreak_to_debug_mode_r_d1),
+	.B(brqrv_top_brqrv_dec_tlu_trigger_hit_dmode_r_d1),
+	.X(brqrv_top_brqrv_dec_tlu_debug_brkpt_valid), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g627551 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_access_fault_m),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_misaligned_fault_m),
+	.X(brqrv_top_brqrv_lsu_lsu_exc_m), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g627552 (
+	.A(brqrv_top_brqrv_dec_tlu_mfdht[2]),
+	.B(brqrv_top_brqrv_dec_tlu_mfdht[3]),
+	.Y(n_5355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627553 (
+	.A(brqrv_top_brqrv_dec_tlu_mfdht[3]),
+	.B(brqrv_top_brqrv_dec_tlu_mfdht[4]),
+	.Y(n_5354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627554 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_timer[1]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_timer[2]),
+	.Y(n_32216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627555 (
+	.A(n_32816),
+	.B(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.Y(n_30626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g627556 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[1]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[2]),
+	.X(n_29910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g627557 (
+	.A(brqrv_top_brqrv_lsu_fir_error[0]),
+	.B(brqrv_top_brqrv_lsu_fir_error[1]),
+	.Y(n_5352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g627558 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[9]),
+	.B(n_29911),
+	.X(n_29918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g627559 (
+	.A_N(brqrv_top_brqrv_lsu_lsu_pkt_m[4]),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_m[0]),
+	.Y(n_30440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g627560 (
+	.A(brqrv_top_brqrv_ifu_aln_n_2316),
+	.B(brqrv_top_brqrv_ifu_aln_q0ptr),
+	.Y(n_5351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g627562 (
+	.A(brqrv_top_brqrv_ifu_aln_n_2310),
+	.B(brqrv_top_brqrv_ifu_aln_q0ptr),
+	.Y(n_5350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627563 (
+	.A(brqrv_top_brqrv_dec_tlu_mfdht[5]),
+	.B(brqrv_top_brqrv_dec_tlu_mfdht[4]),
+	.Y(n_5349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g627564 (
+	.A(brqrv_top_brqrv_dec_tlu_mfdht[4]),
+	.B(brqrv_top_brqrv_dec_tlu_mfdht[3]),
+	.Y(n_5347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g627565 (
+	.A(brqrv_top_brqrv_ifu_aln_n_2319),
+	.B(brqrv_top_brqrv_ifu_aln_q0ptr),
+	.Y(n_5346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g627566 (
+	.A(brqrv_top_brqrv_dec_tlu_mfdht[4]),
+	.B(brqrv_top_brqrv_dec_tlu_mfdht[5]),
+	.Y(n_5345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627567 (
+	.A(n_5325),
+	.B(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.Y(n_5343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627568 (
+	.A(n_5318),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[20]),
+	.Y(n_31904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627569 (
+	.A(n_5318),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[21]),
+	.Y(n_31903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627570 (
+	.A(n_5318),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[2]),
+	.Y(n_31861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627571 (
+	.A(n_5318),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[14]),
+	.Y(n_31916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627572 (
+	.A(n_5318),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[23]),
+	.Y(n_31899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627573 (
+	.A(n_5318),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[29]),
+	.Y(n_31887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627574 (
+	.A(n_5318),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[9]),
+	.Y(n_31926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627575 (
+	.A(n_5318),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[6]),
+	.Y(n_31932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627576 (
+	.A(n_5318),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[3]),
+	.Y(n_31938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627577 (
+	.A(n_5318),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[16]),
+	.Y(n_31912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g627578 (
+	.A_N(brqrv_top_brqrv_dec_tlu_flush_lower_wb),
+	.B(brqrv_top_brqrv_dec_decode_r_t[2]),
+	.Y(n_30260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627579 (
+	.A(n_5318),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[31]),
+	.Y(n_31883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627580 (
+	.A(n_5318),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[10]),
+	.Y(n_31924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627581 (
+	.A(n_5318),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[22]),
+	.Y(n_31901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627582 (
+	.A(n_5318),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[24]),
+	.Y(n_31897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627583 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[11]),
+	.Y(n_31923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627584 (
+	.A(n_5318),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[25]),
+	.Y(n_31895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627585 (
+	.A(n_5318),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_m[8]),
+	.Y(n_31928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g627586 (
+	.A(n_30516),
+	.B(brqrv_top_brqrv_ifu_aln_first2B),
+	.Y(n_5341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627587 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[23]),
+	.Y(n_31900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627588 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[26]),
+	.Y(n_31894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627589 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[25]),
+	.Y(n_31896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627590 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[27]),
+	.Y(n_31892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627591 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[28]),
+	.Y(n_31890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627592 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[20]),
+	.Y(n_31905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627593 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[9]),
+	.Y(n_31927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627594 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[18]),
+	.Y(n_31909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627595 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[21]),
+	.Y(n_32682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627596 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[19]),
+	.Y(n_31907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627597 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[29]),
+	.Y(n_31888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627598 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[16]),
+	.Y(n_31913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627599 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[14]),
+	.Y(n_31917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627600 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[10]),
+	.Y(n_31925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627601 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[5]),
+	.Y(n_31935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627602 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[13]),
+	.Y(n_31919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627603 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[4]),
+	.Y(n_31937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627604 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[15]),
+	.Y(n_31915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627605 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[6]),
+	.Y(n_31933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627606 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[3]),
+	.Y(n_31940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627607 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[12]),
+	.Y(n_31921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627608 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_lsu_lsu_addr_r[31]),
+	.Y(n_31884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g627609 (
+	.A(brqrv_top_brqrv_dec_decode_r_d[0]),
+	.B(brqrv_top_brqrv_dec_decode_r_d[21]),
+	.Y(n_30413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g627610 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[23]),
+	.Y(n_5334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g627611 (
+	.A(brqrv_top_brqrv_dec_tlu_dcsr_single_step_running_f),
+	.Y(n_5333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g627612 (
+	.A(n_32707),
+	.Y(n_5332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g627615 (
+	.A(n_32702),
+	.Y(n_5331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g627617 (
+	.A(n_32719),
+	.Y(n_5329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g627618 (
+	.A(brqrv_top_brqrv_dec_tlu_mfdht[2]),
+	.Y(n_5328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g627624 (
+	.A(brqrv_top_brqrv_dec_tlu_mfdht[5]),
+	.Y(n_5323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g627625 (
+	.A(brqrv_top_brqrv_ifu_aln_uncompress0[1]),
+	.Y(n_5322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g627629 (
+	.A(n_31939),
+	.Y(n_5318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g627630 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_write),
+	.Y(n_5317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g627631 (
+	.A(n_32699),
+	.Y(n_5316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g627632 (
+	.A(brqrv_top_brqrv_dec_tlu_halt_taken_f),
+	.Y(n_5315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g627633 (
+	.A(n_32767),
+	.Y(n_5314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g627634 (
+	.A(n_33357),
+	.Y(n_5313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g627638 (
+	.A(n_32696),
+	.Y(n_5310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g627639 (
+	.A(n_32698),
+	.Y(n_5309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g627640 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addr_external_r),
+	.Y(n_5308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g627641 (
+	.A(n_32743),
+	.Y(n_5307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g850742 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_WrPtr1_r[1]),
+	.B_N(n_5861),
+	.Y(n_5300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g627648 (
+	.A_N(n_33354),
+	.B(n_5818),
+	.Y(n_32792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g627649 (
+	.A_N(brqrv_top_brqrv_dec_tlu_sel_npc_resume),
+	.B(n_30458),
+	.C(n_5379),
+	.D(n_5662),
+	.Y(n_5299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g627650 (
+	.A_N(n_5805),
+	.B(n_5786),
+	.C(n_33356),
+	.Y(n_32683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g627651 (
+	.A(n_5374),
+	.B_N(n_5667),
+	.Y(n_5298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g627652 (
+	.A_N(n_5533),
+	.B(n_5497),
+	.C(n_5360),
+	.D(n_5758),
+	.Y(brqrv_top_brqrv_dec_dec_i0_instr_d[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g627653 (
+	.A_N(n_5467),
+	.B(brqrv_top_brqrv_dec_tlu_mfdht[5]),
+	.Y(n_5297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g627654 (
+	.A_N(n_5370),
+	.B(n_5766),
+	.Y(n_5296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g627655 (
+	.A_N(n_32730),
+	.B(brqrv_top_brqrv_dec_tlu_dcsr[2]),
+	.Y(n_5295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g627656 (
+	.A_N(n_30260),
+	.B(n_5608),
+	.Y(n_30460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g627657 (
+	.A_N(n_32746),
+	.B(brqrv_top_brqrv_ifu_aln_uncompress0[1]),
+	.Y(n_5294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g627658 (
+	.A(n_5611),
+	.B(n_5374),
+	.C_N(n_32703),
+	.Y(n_5293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g627659 (
+	.A(n_30517),
+	.B_N(brqrv_top_brqrv_ifu_aln_first2B),
+	.Y(n_5292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g627660 (
+	.A(n_5375),
+	.B_N(n_32688),
+	.Y(n_5291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g850743 (
+	.A_N(n_32761),
+	.B(n_32756),
+	.Y(n_32706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g850744 (
+	.A(brqrv_top_brqrv_dbg_dmcontrol_reg[1]),
+	.B_N(brqrv_top_core_rst),
+	.Y(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g850745 (
+	.A(brqrv_top_brqrv_exu_i0_br_start_error_r),
+	.B(brqrv_top_brqrv_exu_i0_br_error_r),
+	.X(n_32756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g850746 (
+	.A_N(brqrv_top_brqrv_dec_tlu_flush_lower_wb),
+	.B(brqrv_top_brqrv_dec_decode_r_d[0]),
+	.Y(n_32761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g662939 (
+	.A(n_5290),
+	.B(n_5285),
+	.C(n_5279),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_genblock.level_intpend_id [1360]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g662940 (
+	.A(n_5288),
+	.B(n_5273),
+	.C(n_5277),
+	.D(n_5275),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_genblock.level_intpend_id [1361]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g662941 (
+	.A1(n_5266),
+	.A2(n_5098),
+	.B1(n_5289),
+	.Y(n_5290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g662942 (
+	.A1(n_4626),
+	.A2(n_5277),
+	.B1(n_5282),
+	.C1(n_5284),
+	.D1(n_5287),
+	.Y(n_5289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g662943 (
+	.A(n_5283),
+	.B(n_5274),
+	.C(n_5278),
+	.X(n_5288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g662944 (
+	.A1(n_4642),
+	.A2(n_32466),
+	.B1(n_5272),
+	.B2(n_32467),
+	.C1(n_4143),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[927]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g662945 (
+	.A1(n_5272),
+	.A2(n_31350),
+	.B1(n_3447),
+	.B2(n_31348),
+	.C1(n_4790),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[415]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g662946 (
+	.A1(n_4642),
+	.A2(n_31358),
+	.B1(n_31359),
+	.B2(n_5272),
+	.C1(n_4192),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[319]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g662947 (
+	.A1(n_4642),
+	.A2(n_31355),
+	.B1(n_31356),
+	.B2(n_5272),
+	.C1(n_4189),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[351]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g662948 (
+	.A1(n_4642),
+	.A2(n_31328),
+	.B1(n_31329),
+	.B2(n_5272),
+	.C1(n_4186),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[799]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g662949 (
+	.A1(n_4642),
+	.A2(n_31346),
+	.B1(n_5272),
+	.B2(n_31347),
+	.C1(n_4153),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[447]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g662950 (
+	.A1(n_4642),
+	.A2(n_31361),
+	.B1(n_5272),
+	.B2(n_31362),
+	.C1(n_4151),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[479]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g662951 (
+	.A1(n_5267),
+	.A2(n_5114),
+	.A3(n_4584),
+	.B1(n_5286),
+	.Y(n_5287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g662952 (
+	.A1(n_5272),
+	.A2(n_31375),
+	.B1(n_3447),
+	.B2(n_31373),
+	.C1(n_4808),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[159]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g662953 (
+	.A1(n_4642),
+	.A2(n_32490),
+	.B1(n_32491),
+	.B2(n_5272),
+	.C1(n_4149),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[95]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g662954 (
+	.A1(n_4642),
+	.A2(n_32493),
+	.B1(n_31369),
+	.B2(n_5272),
+	.C1(n_4145),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[63]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g662955 (
+	.A1(n_4642),
+	.A2(n_31352),
+	.B1(n_31353),
+	.B2(n_5272),
+	.C1(n_4142),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[383]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g662956 (
+	.A1(n_4642),
+	.A2(n_32474),
+	.B1(n_32475),
+	.B2(n_5272),
+	.C1(n_4205),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[831]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g662957 (
+	.A1(n_4642),
+	.A2(n_32486),
+	.B1(n_32487),
+	.B2(n_5272),
+	.C1(n_4138),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[863]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g662958 (
+	.A1(n_4642),
+	.A2(n_31343),
+	.B1(n_5272),
+	.B2(n_31344),
+	.C1(n_4148),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[511]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g662959 (
+	.A1(n_31335),
+	.A2(n_5272),
+	.B1(n_3447),
+	.B2(n_31333),
+	.C1(n_4883),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[287]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g662960 (
+	.A1(n_4642),
+	.A2(n_32478),
+	.B1(n_5272),
+	.B2(n_32479),
+	.C1(n_4304),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[767]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g662961 (
+	.A1(n_4642),
+	.A2(n_31340),
+	.B1(n_31341),
+	.B2(n_5272),
+	.C1(n_4181),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[543]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g662962 (
+	.A1(n_4642),
+	.A2(n_32472),
+	.B1(n_5272),
+	.B2(n_32473),
+	.C1(n_4201),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[671]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g662963 (
+	.A1(n_4642),
+	.A2(n_31367),
+	.B1(n_5272),
+	.B2(n_32489),
+	.C1(n_4197),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[223]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g662964 (
+	.A1(n_4642),
+	.A2(n_31377),
+	.B1(n_5272),
+	.B2(n_31378),
+	.C1(n_4196),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[191]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g662965 (
+	.A1(n_4642),
+	.A2(n_31325),
+	.B1(n_32470),
+	.B2(n_5272),
+	.C1(n_4230),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[895]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g662966 (
+	.A1(n_4642),
+	.A2(n_32483),
+	.B1(n_5272),
+	.B2(n_32484),
+	.C1(n_4244),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[991]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g662967 (
+	.A1(n_4642),
+	.A2(n_31320),
+	.B1(n_5272),
+	.B2(n_31321),
+	.C1(n_4242),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[959]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g662968 (
+	.A1(n_4642),
+	.A2(n_31337),
+	.B1(n_31338),
+	.B2(n_5272),
+	.C1(n_4259),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[575]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g662969 (
+	.A1(n_4642),
+	.A2(n_31364),
+	.B1(n_31365),
+	.B2(n_5272),
+	.C1(n_4257),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[607]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g662970 (
+	.A1(n_4642),
+	.A2(n_31380),
+	.B1(n_5272),
+	.B2(n_31381),
+	.C1(n_4266),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[255]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g662971 (
+	.A1(n_4642),
+	.A2(n_32481),
+	.B1(n_5272),
+	.B2(n_31330),
+	.C1(n_4272),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[735]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g662972 (
+	.A1(n_4642),
+	.A2(n_31331),
+	.B1(n_5272),
+	.B2(n_31332),
+	.C1(n_4270),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[703]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g662973 (
+	.A1(n_4642),
+	.A2(n_31323),
+	.B1(n_5272),
+	.B2(n_31324),
+	.C1(n_4290),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[1023]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g662974 (
+	.A1(n_4642),
+	.A2(n_31317),
+	.B1(n_31318),
+	.B2(n_5272),
+	.C1(n_4300),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[639]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g662975 (
+	.A1(n_4642),
+	.A2(n_31371),
+	.B1(n_31372),
+	.B2(n_5272),
+	.C1(n_4157),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[127]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g662976 (
+	.A(n_5264),
+	.B(n_5263),
+	.C(n_5270),
+	.D(n_5267),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_genblock.level_intpend_id [1363]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g662977 (
+	.A(n_5269),
+	.B(n_5267),
+	.C(n_5263),
+	.D(n_5265),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_genblock.level_intpend_id [1362]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g662978 (
+	.A1(n_4620),
+	.A2(n_5080),
+	.A3(n_5268),
+	.B1(n_5078),
+	.B2(n_5276),
+	.Y(n_5286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g662979 (
+	.A1(n_4618),
+	.A2(n_5273),
+	.B1(n_4622),
+	.B2(n_5275),
+	.X(n_5285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g662980 (
+	.A1(n_5263),
+	.A2(n_5095),
+	.A3(n_4629),
+	.B1(n_5281),
+	.Y(n_5284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g662981 (
+	.A1(n_5086),
+	.A2(n_5270),
+	.B1(n_5266),
+	.B2(n_5076),
+	.C1(n_5264),
+	.C2(n_5084),
+	.Y(n_5283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g662982 (
+	.A1(n_5271),
+	.A2(n_5071),
+	.A3(n_4012),
+	.B1(n_5280),
+	.Y(n_5282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g662983 (
+	.A(n_4636),
+	.B(n_5274),
+	.Y(n_5281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g662984 (
+	.A(n_4628),
+	.B(n_5278),
+	.Y(n_5280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g662985 (
+	.A1(n_31335),
+	.A2(n_5258),
+	.B1(n_2680),
+	.B2(n_31333),
+	.C1(n_4884),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[286]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g662986 (
+	.A1(n_5258),
+	.A2(n_31350),
+	.B1(n_2680),
+	.B2(n_31348),
+	.C1(n_4789),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[414]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g662987 (
+	.A1(n_4612),
+	.A2(n_31358),
+	.B1(n_31359),
+	.B2(n_5258),
+	.C1(n_4220),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[318]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g662988 (
+	.A1(n_4612),
+	.A2(n_31355),
+	.B1(n_31356),
+	.B2(n_5258),
+	.C1(n_4217),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[350]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g662989 (
+	.A1(n_4612),
+	.A2(n_31328),
+	.B1(n_31329),
+	.B2(n_5258),
+	.C1(n_4314),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[798]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g662990 (
+	.A1(n_4612),
+	.A2(n_31346),
+	.B1(n_5258),
+	.B2(n_31347),
+	.C1(n_4222),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[446]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g662991 (
+	.A1(n_4612),
+	.A2(n_31361),
+	.B1(n_5258),
+	.B2(n_31362),
+	.C1(n_4218),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[478]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g662992 (
+	.A1(n_5258),
+	.A2(n_31375),
+	.B1(n_2680),
+	.B2(n_31373),
+	.C1(n_4807),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[158]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g662993 (
+	.A1(n_4612),
+	.A2(n_32490),
+	.B1(n_32491),
+	.B2(n_5258),
+	.C1(n_4228),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[94]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g662994 (
+	.A1(n_4612),
+	.A2(n_32493),
+	.B1(n_31369),
+	.B2(n_5258),
+	.C1(n_4318),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[62]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g662995 (
+	.A1(n_4612),
+	.A2(n_31352),
+	.B1(n_31353),
+	.B2(n_5258),
+	.C1(n_4224),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[382]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g662996 (
+	.A1(n_4612),
+	.A2(n_32474),
+	.B1(n_32475),
+	.B2(n_5258),
+	.C1(n_4280),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[830]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g662997 (
+	.A1(n_4612),
+	.A2(n_32486),
+	.B1(n_32487),
+	.B2(n_5258),
+	.C1(n_4316),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[862]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g662998 (
+	.A1(n_4612),
+	.A2(n_31343),
+	.B1(n_5258),
+	.B2(n_31344),
+	.C1(n_4226),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[510]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g662999 (
+	.A1(n_4612),
+	.A2(n_32466),
+	.B1(n_5258),
+	.B2(n_32467),
+	.C1(n_4215),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[926]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663000 (
+	.A1(n_4612),
+	.A2(n_31371),
+	.B1(n_31372),
+	.B2(n_5258),
+	.C1(n_4232),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[126]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663001 (
+	.A1(n_4612),
+	.A2(n_31340),
+	.B1(n_31341),
+	.B2(n_5258),
+	.C1(n_4240),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[542]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663002 (
+	.A1(n_4612),
+	.A2(n_32472),
+	.B1(n_5258),
+	.B2(n_32473),
+	.C1(n_4250),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[670]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663003 (
+	.A1(n_4612),
+	.A2(n_31367),
+	.B1(n_5258),
+	.B2(n_32489),
+	.C1(n_4248),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[222]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663004 (
+	.A1(n_4612),
+	.A2(n_31377),
+	.B1(n_5258),
+	.B2(n_31378),
+	.C1(n_4246),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[190]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663005 (
+	.A1(n_4612),
+	.A2(n_31325),
+	.B1(n_32470),
+	.B2(n_5258),
+	.C1(n_4278),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[894]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663006 (
+	.A1(n_4612),
+	.A2(n_32483),
+	.B1(n_5258),
+	.B2(n_32484),
+	.C1(n_4284),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[990]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663007 (
+	.A1(n_4612),
+	.A2(n_31320),
+	.B1(n_5258),
+	.B2(n_31321),
+	.C1(n_4282),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[958]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663008 (
+	.A1(n_4612),
+	.A2(n_31337),
+	.B1(n_31338),
+	.B2(n_5258),
+	.C1(n_4288),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[574]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663009 (
+	.A1(n_4612),
+	.A2(n_31364),
+	.B1(n_31365),
+	.B2(n_5258),
+	.C1(n_4286),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[606]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663010 (
+	.A1(n_4612),
+	.A2(n_31380),
+	.B1(n_5258),
+	.B2(n_31381),
+	.C1(n_4291),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[254]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663011 (
+	.A1(n_4612),
+	.A2(n_32481),
+	.B1(n_5258),
+	.B2(n_31330),
+	.C1(n_4298),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[734]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663012 (
+	.A1(n_4612),
+	.A2(n_31331),
+	.B1(n_5258),
+	.B2(n_31332),
+	.C1(n_4296),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[702]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663013 (
+	.A1(n_4612),
+	.A2(n_31323),
+	.B1(n_5258),
+	.B2(n_31324),
+	.C1(n_4308),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[1022]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663014 (
+	.A1(n_4612),
+	.A2(n_31317),
+	.B1(n_31318),
+	.B2(n_5258),
+	.C1(n_4310),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[638]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663015 (
+	.A1(n_4612),
+	.A2(n_32478),
+	.B1(n_5258),
+	.B2(n_32479),
+	.C1(n_4312),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[766]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g663016 (
+	.A1(n_5264),
+	.A2(n_5100),
+	.B1(n_5270),
+	.B2(n_5099),
+	.Y(n_5279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663017 (
+	.A(n_5265),
+	.B(n_4637),
+	.Y(n_5276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663018 (
+	.A(n_5263),
+	.B(n_5094),
+	.Y(n_5278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663019 (
+	.A(n_5267),
+	.B(n_5115),
+	.Y(n_5277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663020 (
+	.A(n_5271),
+	.B(n_5070),
+	.Y(n_5275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663021 (
+	.A(n_5265),
+	.B(n_5078),
+	.Y(n_5274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663022 (
+	.A(n_5269),
+	.B(n_5080),
+	.Y(n_5273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g663023 (
+	.A1(n_354405_BAR),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [31]),
+	.B1(n_5262),
+	.Y(n_5272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g663024 (
+	.A(n_5268),
+	.Y(n_5269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g663025 (
+	.A(n_5169),
+	.B(n_5259),
+	.Y(n_5271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g663026 (
+	.A(n_5190),
+	.B(n_5261),
+	.Y(n_5270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663027 (
+	.A(n_5260),
+	.B(n_5169),
+	.Y(n_5268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g663028 (
+	.A(n_5189),
+	.B(n_5261),
+	.Y(n_5267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g663029 (
+	.A1(n_4643),
+	.A2(n_31227),
+	.B1(n_354404_BAR),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [31]),
+	.X(n_5262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g663030 (
+	.A(n_5168),
+	.B(n_5224),
+	.C(n_31536),
+	.Y(n_5266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g663031 (
+	.A(n_5167),
+	.B(n_5224),
+	.C(n_31536),
+	.Y(n_5265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g663032 (
+	.A(n_5184),
+	.B(n_5223),
+	.C(n_31536),
+	.Y(n_5264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g663033 (
+	.A(n_5185),
+	.B(n_5223),
+	.C(n_31536),
+	.Y(n_5263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g663034 (
+	.A(n_5259),
+	.Y(n_5260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663035 (
+	.A1(n_4611),
+	.A2(n_32486),
+	.B1(n_32487),
+	.B2(n_5256),
+	.C1(n_4317),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[861]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663036 (
+	.A1(n_5256),
+	.A2(n_31350),
+	.B1(n_2682),
+	.B2(n_31348),
+	.C1(n_4788),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[413]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663037 (
+	.A1(n_4611),
+	.A2(n_31343),
+	.B1(n_5256),
+	.B2(n_31344),
+	.C1(n_4225),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[509]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663038 (
+	.A1(n_4611),
+	.A2(n_31358),
+	.B1(n_31359),
+	.B2(n_5256),
+	.C1(n_4219),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[317]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663039 (
+	.A1(n_4611),
+	.A2(n_31355),
+	.B1(n_31356),
+	.B2(n_5256),
+	.C1(n_4216),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[349]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663040 (
+	.A1(n_4611),
+	.A2(n_31328),
+	.B1(n_31329),
+	.B2(n_5256),
+	.C1(n_4315),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[797]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663041 (
+	.A1(n_4611),
+	.A2(n_31346),
+	.B1(n_5256),
+	.B2(n_31347),
+	.C1(n_4221),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[445]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663042 (
+	.A1(n_4611),
+	.A2(n_31361),
+	.B1(n_5256),
+	.B2(n_31362),
+	.C1(n_4279),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[477]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663043 (
+	.A1(n_5256),
+	.A2(n_31375),
+	.B1(n_2682),
+	.B2(n_31373),
+	.C1(n_4806),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[157]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663044 (
+	.A1(n_4611),
+	.A2(n_32490),
+	.B1(n_32491),
+	.B2(n_5256),
+	.C1(n_4227),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[93]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663045 (
+	.A1(n_4611),
+	.A2(n_32493),
+	.B1(n_31369),
+	.B2(n_5256),
+	.C1(n_4320),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[61]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663046 (
+	.A1(n_4611),
+	.A2(n_31352),
+	.B1(n_31353),
+	.B2(n_5256),
+	.C1(n_4223),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[381]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663047 (
+	.A1(n_4611),
+	.A2(n_32474),
+	.B1(n_32475),
+	.B2(n_5256),
+	.C1(n_4313),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[829]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663048 (
+	.A1(n_31335),
+	.A2(n_5256),
+	.B1(n_2682),
+	.B2(n_31333),
+	.C1(n_4885),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[285]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663049 (
+	.A(n_31536),
+	.B(n_5245),
+	.Y(n_5261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663050 (
+	.A(n_31536),
+	.B(n_5244),
+	.Y(n_5259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g663051 (
+	.A1(n_354405_BAR),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [30]),
+	.B1(n_5257),
+	.Y(n_5258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663052 (
+	.A1(n_4611),
+	.A2(n_32466),
+	.B1(n_5256),
+	.B2(n_32467),
+	.C1(n_4265),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[925]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663053 (
+	.A1(n_4611),
+	.A2(n_31371),
+	.B1(n_31372),
+	.B2(n_5256),
+	.C1(n_4231),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[125]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663054 (
+	.A1(n_4611),
+	.A2(n_31340),
+	.B1(n_31341),
+	.B2(n_5256),
+	.C1(n_4239),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[541]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663055 (
+	.A1(n_4611),
+	.A2(n_32472),
+	.B1(n_5256),
+	.B2(n_32473),
+	.C1(n_4249),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[669]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663056 (
+	.A1(n_4611),
+	.A2(n_31367),
+	.B1(n_5256),
+	.B2(n_32489),
+	.C1(n_4247),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[221]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663057 (
+	.A1(n_4611),
+	.A2(n_31377),
+	.B1(n_5256),
+	.B2(n_31378),
+	.C1(n_4245),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[189]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663058 (
+	.A1(n_4611),
+	.A2(n_31325),
+	.B1(n_32470),
+	.B2(n_5256),
+	.C1(n_4277),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[893]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663059 (
+	.A1(n_4611),
+	.A2(n_32483),
+	.B1(n_5256),
+	.B2(n_32484),
+	.C1(n_4283),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[989]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663060 (
+	.A1(n_4611),
+	.A2(n_31320),
+	.B1(n_5256),
+	.B2(n_31321),
+	.C1(n_4281),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[957]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663061 (
+	.A1(n_4611),
+	.A2(n_31380),
+	.B1(n_5256),
+	.B2(n_31381),
+	.C1(n_4292),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[253]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663062 (
+	.A1(n_4611),
+	.A2(n_31337),
+	.B1(n_31338),
+	.B2(n_5256),
+	.C1(n_4287),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[573]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663063 (
+	.A1(n_4611),
+	.A2(n_31364),
+	.B1(n_31365),
+	.B2(n_5256),
+	.C1(n_4285),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[605]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663064 (
+	.A1(n_4611),
+	.A2(n_32481),
+	.B1(n_5256),
+	.B2(n_31330),
+	.C1(n_4297),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[733]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663065 (
+	.A1(n_4611),
+	.A2(n_31331),
+	.B1(n_5256),
+	.B2(n_31332),
+	.C1(n_4295),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[701]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663066 (
+	.A1(n_4611),
+	.A2(n_31323),
+	.B1(n_5256),
+	.B2(n_31324),
+	.C1(n_4307),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[1021]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663067 (
+	.A1(n_4611),
+	.A2(n_32478),
+	.B1(n_5256),
+	.B2(n_32479),
+	.C1(n_4311),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[765]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663068 (
+	.A1(n_4611),
+	.A2(n_31317),
+	.B1(n_31318),
+	.B2(n_5256),
+	.C1(n_4309),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[637]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663069 (
+	.A1(n_31543),
+	.A2(n_5255),
+	.B1(n_30896),
+	.Y(n_31536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g663070 (
+	.A1(n_4643),
+	.A2(n_32366),
+	.B1(n_354404_BAR),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [30]),
+	.X(n_5257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g663071 (
+	.A(n_3876),
+	.B(n_5253),
+	.X(n_31227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g663072 (
+	.A1(n_5242),
+	.A2(n_5204),
+	.B1(n_5255),
+	.B2(n_31543),
+	.X(n_30896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g663073 (
+	.A1(n_354405_BAR),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [29]),
+	.B1(n_5254),
+	.Y(n_5256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g663074 (
+	.A1(n_5250),
+	.A2(n_3979),
+	.B1(n_5253),
+	.Y(n_32366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g663075 (
+	.A1(n_4643),
+	.A2(n_31245),
+	.B1(n_354404_BAR),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [29]),
+	.X(n_5254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g663076 (
+	.A1(n_31541),
+	.A2(n_5240),
+	.B1(n_5252),
+	.Y(n_5255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g663077 (
+	.A(n_3979),
+	.B(n_5250),
+	.Y(n_5253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g663078 (
+	.A1(n_31539),
+	.A2(n_5247),
+	.B1(n_5240),
+	.B2(n_31541),
+	.C1(n_5251),
+	.X(n_5252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g663079 (
+	.A1(n_3976),
+	.A2(n_5248),
+	.B1(n_5250),
+	.X(n_31245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663080 (
+	.A(n_5249),
+	.B(n_31538),
+	.Y(n_5251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663081 (
+	.A1(n_4581),
+	.A2(n_31343),
+	.B1(n_5246),
+	.B2(n_31344),
+	.C1(n_4174),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[503]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663082 (
+	.A(n_5247),
+	.B(n_31539),
+	.Y(n_5249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663083 (
+	.A1(n_31335),
+	.A2(n_5246),
+	.B1(n_3449),
+	.B2(n_31333),
+	.C1(n_4865),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[279]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663084 (
+	.A1(n_5246),
+	.A2(n_31350),
+	.B1(n_3449),
+	.B2(n_31348),
+	.C1(n_4809),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[407]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663085 (
+	.A1(n_4581),
+	.A2(n_31358),
+	.B1(n_31359),
+	.B2(n_5246),
+	.C1(n_4191),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[311]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663086 (
+	.A1(n_4581),
+	.A2(n_31355),
+	.B1(n_31356),
+	.B2(n_5246),
+	.C1(n_4188),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[343]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663087 (
+	.A1(n_4581),
+	.A2(n_31328),
+	.B1(n_31329),
+	.B2(n_5246),
+	.C1(n_4185),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[791]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663088 (
+	.A1(n_4581),
+	.A2(n_31346),
+	.B1(n_5246),
+	.B2(n_31347),
+	.C1(n_4170),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[439]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663089 (
+	.A1(n_4581),
+	.A2(n_31361),
+	.B1(n_5246),
+	.B2(n_31362),
+	.C1(n_4167),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[471]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663090 (
+	.A1(n_4581),
+	.A2(n_32490),
+	.B1(n_32491),
+	.B2(n_5246),
+	.C1(n_4176),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[87]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663091 (
+	.A1(n_4581),
+	.A2(n_32493),
+	.B1(n_31369),
+	.B2(n_5246),
+	.C1(n_4161),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[55]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663092 (
+	.A1(n_4581),
+	.A2(n_31374),
+	.B1(n_5246),
+	.B2(n_31375),
+	.C1(n_4159),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[151]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663093 (
+	.A1(n_4581),
+	.A2(n_31352),
+	.B1(n_31353),
+	.B2(n_5246),
+	.C1(n_4172),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[375]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663094 (
+	.A1(n_4581),
+	.A2(n_32474),
+	.B1(n_32475),
+	.B2(n_5246),
+	.C1(n_4165),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[823]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663095 (
+	.A1(n_4581),
+	.A2(n_32486),
+	.B1(n_32487),
+	.B2(n_5246),
+	.C1(n_4163),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[855]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663096 (
+	.A(n_5248),
+	.B(n_3976),
+	.Y(n_5250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663097 (
+	.A1(n_4581),
+	.A2(n_32466),
+	.B1(n_5246),
+	.B2(n_32467),
+	.C1(n_4166),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[919]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663098 (
+	.A1(n_4581),
+	.A2(n_31371),
+	.B1(n_31372),
+	.B2(n_5246),
+	.C1(n_4179),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[119]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663099 (
+	.A1(n_4581),
+	.A2(n_31340),
+	.B1(n_31341),
+	.B2(n_5246),
+	.C1(n_4195),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[535]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663100 (
+	.A1(n_4581),
+	.A2(n_32472),
+	.B1(n_5246),
+	.B2(n_32473),
+	.C1(n_4328),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[663]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663101 (
+	.A1(n_4581),
+	.A2(n_31367),
+	.B1(n_5246),
+	.B2(n_32489),
+	.C1(n_4140),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[215]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663102 (
+	.A1(n_4581),
+	.A2(n_31377),
+	.B1(n_5246),
+	.B2(n_31378),
+	.C1(n_4203),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[183]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663103 (
+	.A1(n_4581),
+	.A2(n_31325),
+	.B1(n_32470),
+	.B2(n_5246),
+	.C1(n_4234),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[887]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663104 (
+	.A1(n_4581),
+	.A2(n_32483),
+	.B1(n_5246),
+	.B2(n_32484),
+	.C1(n_4255),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[983]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663105 (
+	.A1(n_4581),
+	.A2(n_31320),
+	.B1(n_5246),
+	.B2(n_31321),
+	.C1(n_4253),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[951]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663106 (
+	.A1(n_4581),
+	.A2(n_31380),
+	.B1(n_5246),
+	.B2(n_31381),
+	.C1(n_4268),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[247]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663107 (
+	.A1(n_4581),
+	.A2(n_31337),
+	.B1(n_31338),
+	.B2(n_5246),
+	.C1(n_4264),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[567]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663108 (
+	.A1(n_4581),
+	.A2(n_31364),
+	.B1(n_31365),
+	.B2(n_5246),
+	.C1(n_4261),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[599]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663109 (
+	.A1(n_4581),
+	.A2(n_32481),
+	.B1(n_5246),
+	.B2(n_31330),
+	.C1(n_4276),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[727]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663110 (
+	.A1(n_4581),
+	.A2(n_31331),
+	.B1(n_5246),
+	.B2(n_31332),
+	.C1(n_4274),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[695]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663111 (
+	.A1(n_4581),
+	.A2(n_31323),
+	.B1(n_5246),
+	.B2(n_31324),
+	.C1(n_4294),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[1015]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663112 (
+	.A1(n_4581),
+	.A2(n_31317),
+	.B1(n_31318),
+	.B2(n_5246),
+	.C1(n_4301),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[631]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663113 (
+	.A1(n_4581),
+	.A2(n_32478),
+	.B1(n_5246),
+	.B2(n_32479),
+	.C1(n_4306),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[759]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g663114 (
+	.A(n_31246),
+	.B(n_31247),
+	.Y(n_5248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g663115 (
+	.A1(n_31098),
+	.A2(brqrv_top_brqrv_exu_i0_pc_x[30]),
+	.B1(n_34693),
+	.B2(n_564),
+	.C1(n_5241),
+	.X(brqrv_top_brqrv_pred_correct_npc_x[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g663116 (
+	.A_N(n_31537),
+	.B(n_31540),
+	.Y(n_5247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g663117 (
+	.A(n_31251),
+	.B(n_31248),
+	.X(n_31247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g663118 (
+	.A1(n_5245),
+	.A2(n_5202),
+	.B1(n_5244),
+	.B2(n_5182),
+	.X(n_31537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g663119 (
+	.A1(n_5245),
+	.A2(n_5200),
+	.B1(n_5244),
+	.B2(n_5179),
+	.X(n_31541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g663120 (
+	.A1(n_5245),
+	.A2(n_5201),
+	.B1(n_5244),
+	.B2(n_5177),
+	.X(n_31539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663121 (
+	.A1(n_4580),
+	.A2(n_32466),
+	.B1(n_5239),
+	.B2(n_32467),
+	.C1(n_4168),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[918]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663122 (
+	.A1(n_31335),
+	.A2(n_5239),
+	.B1(n_3451),
+	.B2(n_31333),
+	.C1(n_4866),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[278]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663123 (
+	.A1(n_5239),
+	.A2(n_31350),
+	.B1(n_3451),
+	.B2(n_31348),
+	.C1(n_4813),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[406]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663124 (
+	.A1(n_4580),
+	.A2(n_31358),
+	.B1(n_31359),
+	.B2(n_5239),
+	.C1(n_4190),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[310]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663125 (
+	.A1(n_4580),
+	.A2(n_31355),
+	.B1(n_31356),
+	.B2(n_5239),
+	.C1(n_4193),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[342]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663126 (
+	.A1(n_4580),
+	.A2(n_31328),
+	.B1(n_31329),
+	.B2(n_5239),
+	.C1(n_4184),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[790]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663127 (
+	.A1(n_4580),
+	.A2(n_31346),
+	.B1(n_5239),
+	.B2(n_31347),
+	.C1(n_4169),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[438]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663128 (
+	.A1(n_4580),
+	.A2(n_31361),
+	.B1(n_5239),
+	.B2(n_31362),
+	.C1(n_4177),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[470]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663129 (
+	.A1(n_4580),
+	.A2(n_32490),
+	.B1(n_32491),
+	.B2(n_5239),
+	.C1(n_4175),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[86]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663130 (
+	.A1(n_4580),
+	.A2(n_32493),
+	.B1(n_31369),
+	.B2(n_5239),
+	.C1(n_4160),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[54]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663131 (
+	.A1(n_4580),
+	.A2(n_31374),
+	.B1(n_5239),
+	.B2(n_31375),
+	.C1(n_4158),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[150]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663132 (
+	.A1(n_4580),
+	.A2(n_31352),
+	.B1(n_31353),
+	.B2(n_5239),
+	.C1(n_4171),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[374]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663133 (
+	.A1(n_4580),
+	.A2(n_32474),
+	.B1(n_32475),
+	.B2(n_5239),
+	.C1(n_4164),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[822]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663134 (
+	.A1(n_4580),
+	.A2(n_32486),
+	.B1(n_32487),
+	.B2(n_5239),
+	.C1(n_4162),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[854]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663135 (
+	.A1(n_4580),
+	.A2(n_31343),
+	.B1(n_5239),
+	.B2(n_31344),
+	.C1(n_4173),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[502]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g663136 (
+	.A(n_31249),
+	.B(n_31250),
+	.X(n_31248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663137 (
+	.A1(n_4580),
+	.A2(n_31371),
+	.B1(n_31372),
+	.B2(n_5239),
+	.C1(n_4178),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[118]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663138 (
+	.A1(n_4580),
+	.A2(n_31340),
+	.B1(n_31341),
+	.B2(n_5239),
+	.C1(n_4194),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[534]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663139 (
+	.A1(n_4580),
+	.A2(n_32472),
+	.B1(n_5239),
+	.B2(n_32473),
+	.C1(n_4329),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[662]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663140 (
+	.A1(n_4580),
+	.A2(n_31367),
+	.B1(n_5239),
+	.B2(n_32489),
+	.C1(n_4204),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[214]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663141 (
+	.A1(n_4580),
+	.A2(n_31377),
+	.B1(n_5239),
+	.B2(n_31378),
+	.C1(n_4202),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[182]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663142 (
+	.A1(n_4580),
+	.A2(n_31325),
+	.B1(n_32470),
+	.B2(n_5239),
+	.C1(n_4235),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[886]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663143 (
+	.A1(n_4580),
+	.A2(n_32483),
+	.B1(n_5239),
+	.B2(n_32484),
+	.C1(n_4254),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[982]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663144 (
+	.A1(n_4580),
+	.A2(n_31320),
+	.B1(n_5239),
+	.B2(n_31321),
+	.C1(n_4252),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[950]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663145 (
+	.A1(n_4580),
+	.A2(n_31337),
+	.B1(n_31338),
+	.B2(n_5239),
+	.C1(n_4263),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[566]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663146 (
+	.A1(n_4580),
+	.A2(n_31364),
+	.B1(n_31365),
+	.B2(n_5239),
+	.C1(n_4262),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[598]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663147 (
+	.A1(n_4580),
+	.A2(n_31380),
+	.B1(n_5239),
+	.B2(n_31381),
+	.C1(n_4267),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[246]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663148 (
+	.A1(n_4580),
+	.A2(n_32481),
+	.B1(n_5239),
+	.B2(n_31330),
+	.C1(n_4275),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[726]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663149 (
+	.A1(n_4580),
+	.A2(n_31331),
+	.B1(n_5239),
+	.B2(n_31332),
+	.C1(n_4273),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[694]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663150 (
+	.A1(n_4580),
+	.A2(n_31323),
+	.B1(n_5239),
+	.B2(n_31324),
+	.C1(n_4293),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[1014]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663151 (
+	.A1(n_4580),
+	.A2(n_31317),
+	.B1(n_31318),
+	.B2(n_5239),
+	.C1(n_4302),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[630]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663152 (
+	.A1(n_4580),
+	.A2(n_32478),
+	.B1(n_5239),
+	.B2(n_32479),
+	.C1(n_4305),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[758]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g663153 (
+	.A1(n_354404_BAR),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [23]),
+	.B1(n_5243),
+	.Y(n_5246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g663154 (
+	.A(n_5245),
+	.Y(n_5244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g663155 (
+	.A1(n_34691),
+	.A2(n_564),
+	.B1(n_31306),
+	.B2(brqrv_top_brqrv_exu_i0_pc_x[28]),
+	.C1(n_5238),
+	.X(brqrv_top_brqrv_pred_correct_npc_x[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g663156 (
+	.A1(n_34684),
+	.A2(n_564),
+	.B1(n_31306),
+	.B2(brqrv_top_brqrv_exu_i0_pc_x[21]),
+	.C1(n_5234),
+	.X(brqrv_top_brqrv_pred_correct_npc_x[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g663157 (
+	.A1(n_34687),
+	.A2(n_564),
+	.B1(n_31306),
+	.B2(brqrv_top_brqrv_exu_i0_pc_x[24]),
+	.C1(n_5235),
+	.X(brqrv_top_brqrv_pred_correct_npc_x[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g663158 (
+	.A1(n_34690),
+	.A2(n_564),
+	.B1(n_31306),
+	.B2(brqrv_top_brqrv_exu_i0_pc_x[27]),
+	.C1(n_5237),
+	.X(brqrv_top_brqrv_pred_correct_npc_x[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g663159 (
+	.A1(n_34689),
+	.A2(n_564),
+	.B1(n_31306),
+	.B2(brqrv_top_brqrv_exu_i0_pc_x[26]),
+	.C1(n_5236),
+	.X(brqrv_top_brqrv_pred_correct_npc_x[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g663160 (
+	.A(n_32429),
+	.B(n_32426),
+	.X(n_31250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g663161 (
+	.A1(n_5225),
+	.A2(brqrv_top_brqrv_exu_i0_pc_x[29]),
+	.B1(n_31061),
+	.X(n_31098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g663162 (
+	.A1(n_5220),
+	.A2(n_5204),
+	.B1_N(n_5242),
+	.Y(n_5245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g663163 (
+	.A1(n_34679),
+	.A2(n_564),
+	.B1(n_31306),
+	.B2(brqrv_top_brqrv_exu_i0_pc_x[16]),
+	.C1(n_5229),
+	.X(brqrv_top_brqrv_pred_correct_npc_x[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g663164 (
+	.A1(n_34681),
+	.A2(n_564),
+	.B1(n_31306),
+	.B2(brqrv_top_brqrv_exu_i0_pc_x[18]),
+	.C1(n_5231),
+	.X(brqrv_top_brqrv_pred_correct_npc_x[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g663165 (
+	.A1(n_34682),
+	.A2(n_564),
+	.B1(n_31306),
+	.B2(brqrv_top_brqrv_exu_i0_pc_x[19]),
+	.C1(n_5232),
+	.X(brqrv_top_brqrv_pred_correct_npc_x[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g663166 (
+	.A1(n_34680),
+	.A2(n_564),
+	.B1(n_31306),
+	.B2(brqrv_top_brqrv_exu_i0_pc_x[17]),
+	.C1(n_5230),
+	.X(brqrv_top_brqrv_pred_correct_npc_x[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g663167 (
+	.A1(n_34683),
+	.A2(n_564),
+	.B1(n_31306),
+	.B2(brqrv_top_brqrv_exu_i0_pc_x[20]),
+	.C1(n_5233),
+	.X(brqrv_top_brqrv_pred_correct_npc_x[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g663168 (
+	.A1(n_564),
+	.A2(n_34678),
+	.B1(n_31306),
+	.B2(brqrv_top_brqrv_exu_i0_pc_x[15]),
+	.C1(n_5228),
+	.X(brqrv_top_brqrv_pred_correct_npc_x[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g663169 (
+	.A1(n_564),
+	.A2(n_34677),
+	.B1(n_31306),
+	.B2(brqrv_top_brqrv_exu_i0_pc_x[14]),
+	.C1(n_5227),
+	.X(brqrv_top_brqrv_pred_correct_npc_x[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g663170 (
+	.A1(n_4643),
+	.A2(n_32425),
+	.B1(n_354405_BAR),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [23]),
+	.X(n_5243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g663171 (
+	.A(n_5241),
+	.Y(n_30918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g663172 (
+	.A1(n_5093),
+	.A2(n_5169),
+	.B1_N(n_5226),
+	.Y(n_5242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g663173 (
+	.A(n_32427),
+	.B(n_32428),
+	.X(n_32426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g663174 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[30]),
+	.B(n_32281),
+	.Y(n_5241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g663176 (
+	.A(n_5240),
+	.Y(n_31542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g663177 (
+	.A1_N(brqrv_top_brqrv_exu_i0_pc_x[13]),
+	.A2_N(n_31306),
+	.B1(brqrv_top_brqrv_exu_i0_pc_x[13]),
+	.B2(n_31306),
+	.Y(brqrv_top_brqrv_pred_correct_npc_x[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g663178 (
+	.A1(n_5224),
+	.A2(n_5197),
+	.B1(n_5223),
+	.B2(n_5181),
+	.X(n_31540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g663179 (
+	.A1(n_5224),
+	.A2(n_5196),
+	.B1(n_5223),
+	.B2(n_5188),
+	.X(n_31538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g663180 (
+	.A1(n_38507),
+	.A2(n_5223),
+	.B1(n_5187),
+	.B2(n_5224),
+	.Y(n_31543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g663181 (
+	.A1(n_5224),
+	.A2(n_5193),
+	.B1(n_5223),
+	.B2(n_5176),
+	.Y(n_5240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663182 (
+	.A1(n_4582),
+	.A2(n_32493),
+	.B1(n_31369),
+	.B2(n_5218),
+	.C1(n_4136),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[53]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g663183 (
+	.A(n_31305),
+	.B(n_5198),
+	.Y(n_5238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g663184 (
+	.A(n_31305),
+	.B(n_5173),
+	.Y(n_5237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g663185 (
+	.A(n_31305),
+	.B(n_5162),
+	.Y(n_5236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g663186 (
+	.A1(n_32304),
+	.A2(n_5134),
+	.B1(n_31305),
+	.Y(n_5235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g663187 (
+	.A1(n_31111),
+	.A2(n_2561),
+	.B1(n_31305),
+	.Y(n_5234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g663188 (
+	.A(n_31305),
+	.B(n_4926),
+	.Y(n_5233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g663189 (
+	.A(n_31305),
+	.B(n_4769),
+	.Y(n_5232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g663190 (
+	.A(n_31305),
+	.B(n_4608),
+	.Y(n_5231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g663191 (
+	.A(n_31305),
+	.B(n_4544),
+	.Y(n_5230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g663192 (
+	.A(n_31305),
+	.B(n_4121),
+	.Y(n_5229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g663193 (
+	.A1(n_3503),
+	.A2(n_3440),
+	.B1(n_31305),
+	.Y(n_5228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663194 (
+	.A1(n_31335),
+	.A2(n_5218),
+	.B1(n_3448),
+	.B2(n_31333),
+	.C1(n_4867),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[277]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663195 (
+	.A1(n_5218),
+	.A2(n_31350),
+	.B1(n_3448),
+	.B2(n_31348),
+	.C1(n_4812),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[405]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663196 (
+	.A1(n_4582),
+	.A2(n_31358),
+	.B1(n_31359),
+	.B2(n_5218),
+	.C1(n_4187),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[309]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663197 (
+	.A1(n_4582),
+	.A2(n_31355),
+	.B1(n_31356),
+	.B2(n_5218),
+	.C1(n_4182),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[341]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663198 (
+	.A1(n_4582),
+	.A2(n_31328),
+	.B1(n_31329),
+	.B2(n_5218),
+	.C1(n_4183),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[789]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663199 (
+	.A1(n_4582),
+	.A2(n_31346),
+	.B1(n_5218),
+	.B2(n_31347),
+	.C1(n_4152),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[437]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663200 (
+	.A1(n_4582),
+	.A2(n_31361),
+	.B1(n_5218),
+	.B2(n_31362),
+	.C1(n_4154),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[469]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g663201 (
+	.A(n_2963),
+	.B(n_31305),
+	.Y(n_5227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663202 (
+	.A1(n_4582),
+	.A2(n_32490),
+	.B1(n_32491),
+	.B2(n_5218),
+	.C1(n_4144),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[85]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g663203 (
+	.A_N(brqrv_top_brqrv_exu_i0_pc_x[29]),
+	.B(n_5192),
+	.C(n_5225),
+	.Y(n_32281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g663204 (
+	.A1(n_354405_BAR),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [22]),
+	.B1(n_5222),
+	.Y(n_5239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g663205 (
+	.A1(n_5123),
+	.A2(n_5170),
+	.B1(n_5204),
+	.B2(n_5220),
+	.Y(n_5226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663206 (
+	.A1(n_4582),
+	.A2(n_31352),
+	.B1(n_31353),
+	.B2(n_5218),
+	.C1(n_4146),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[373]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663207 (
+	.A1(n_4582),
+	.A2(n_32474),
+	.B1(n_32475),
+	.B2(n_5218),
+	.C1(n_4139),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[821]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663208 (
+	.A1(n_4582),
+	.A2(n_32486),
+	.B1(n_32487),
+	.B2(n_5218),
+	.C1(n_4137),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[853]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663209 (
+	.A1(n_4582),
+	.A2(n_31343),
+	.B1(n_5218),
+	.B2(n_31344),
+	.C1(n_4147),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[501]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663210 (
+	.A1(n_4582),
+	.A2(n_32466),
+	.B1(n_5218),
+	.B2(n_32467),
+	.C1(n_4141),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[917]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663211 (
+	.A1(n_4582),
+	.A2(n_31371),
+	.B1(n_31372),
+	.B2(n_5218),
+	.C1(n_4156),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[117]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663212 (
+	.A1(n_4582),
+	.A2(n_31340),
+	.B1(n_31341),
+	.B2(n_5218),
+	.C1(n_4180),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[533]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663213 (
+	.A1(n_4582),
+	.A2(n_32472),
+	.B1(n_5218),
+	.B2(n_32473),
+	.C1(n_4200),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[661]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663214 (
+	.A1(n_4582),
+	.A2(n_31367),
+	.B1(n_5218),
+	.B2(n_32489),
+	.C1(n_4199),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[213]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663215 (
+	.A1(n_4582),
+	.A2(n_31377),
+	.B1(n_5218),
+	.B2(n_31378),
+	.C1(n_4198),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[181]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663216 (
+	.A1(n_4582),
+	.A2(n_31325),
+	.B1(n_32470),
+	.B2(n_5218),
+	.C1(n_4229),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[885]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663217 (
+	.A1(n_4582),
+	.A2(n_32483),
+	.B1(n_5218),
+	.B2(n_32484),
+	.C1(n_4243),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[981]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663218 (
+	.A1(n_4582),
+	.A2(n_31320),
+	.B1(n_5218),
+	.B2(n_31321),
+	.C1(n_4241),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[949]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663219 (
+	.A1(n_4582),
+	.A2(n_31380),
+	.B1(n_5218),
+	.B2(n_31381),
+	.C1(n_4330),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[245]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663220 (
+	.A1(n_4582),
+	.A2(n_31364),
+	.B1(n_31365),
+	.B2(n_5218),
+	.C1(n_4260),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[597]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663221 (
+	.A1(n_4582),
+	.A2(n_31337),
+	.B1(n_31338),
+	.B2(n_5218),
+	.C1(n_4258),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[565]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663222 (
+	.A1(n_4582),
+	.A2(n_32481),
+	.B1(n_5218),
+	.B2(n_31330),
+	.C1(n_4271),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[725]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663223 (
+	.A1(n_4582),
+	.A2(n_31331),
+	.B1(n_5218),
+	.B2(n_31332),
+	.C1(n_4269),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[693]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663224 (
+	.A1(n_4582),
+	.A2(n_31323),
+	.B1(n_5218),
+	.B2(n_31324),
+	.C1(n_4289),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[1013]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663225 (
+	.A1(n_4582),
+	.A2(n_31317),
+	.B1(n_31318),
+	.B2(n_5218),
+	.C1(n_4299),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[629]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663226 (
+	.A1(n_4582),
+	.A2(n_32478),
+	.B1(n_5218),
+	.B2(n_32479),
+	.C1(n_4303),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[757]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663227 (
+	.A1(n_5218),
+	.A2(n_31375),
+	.B1(n_3448),
+	.B2(n_31373),
+	.C1(n_4805),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[149]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g663229 (
+	.A(n_564),
+	.Y(n_31304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g663230 (
+	.A(n_31305),
+	.Y(n_5225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g663231 (
+	.A1(n_3981),
+	.A2(n_5213),
+	.B1(n_32428),
+	.X(n_32425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g663232 (
+	.A(n_5224),
+	.Y(n_5223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g663233 (
+	.A1(n_4643),
+	.A2(n_31132),
+	.B1(n_354404_BAR),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [22]),
+	.X(n_5222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g663234 (
+	.A1(n_5208),
+	.A2(n_38507),
+	.B1(n_5221),
+	.Y(n_5224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g663235 (
+	.A1(n_5207),
+	.A2(n_5215),
+	.B1(n_5187),
+	.B2(n_5206),
+	.Y(n_5221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663236 (
+	.A(n_5213),
+	.B(n_3981),
+	.Y(n_32428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g663237 (
+	.A1(n_5210),
+	.A2(n_5216),
+	.B1(n_5180),
+	.B2(n_5200),
+	.Y(n_5220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g663238 (
+	.A1_N(brqrv_top_brqrv_dec_decode_last_br_immed_x[12]),
+	.A2_N(n_39364),
+	.B1(brqrv_top_brqrv_dec_decode_last_br_immed_x[12]),
+	.B2(n_39364),
+	.Y(n_31306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g663239 (
+	.A_N(n_39364),
+	.B(brqrv_top_brqrv_dec_decode_last_br_immed_x[12]),
+	.Y(n_31305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g663240 (
+	.A(brqrv_top_brqrv_dec_decode_last_br_immed_x[12]),
+	.B_N(n_39364),
+	.Y(n_564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g663241 (
+	.A1(n_354404_BAR),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [21]),
+	.B1(n_5214),
+	.Y(n_5218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g663242 (
+	.A(n_5182),
+	.B(n_5212),
+	.Y(n_5216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g663244 (
+	.A1(n_5205),
+	.A2(n_5188),
+	.B1(n_5211),
+	.Y(n_5215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g663245 (
+	.A1(n_4643),
+	.A2(n_31252),
+	.B1(n_354405_BAR),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [21]),
+	.X(n_5214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g663246 (
+	.A1(n_5199),
+	.A2(n_3980),
+	.B1(n_5213),
+	.Y(n_31132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663247 (
+	.A1(n_5178),
+	.A2(n_5201),
+	.B1(n_5202),
+	.Y(n_5212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g663248 (
+	.A(n_3980),
+	.B(n_5199),
+	.Y(n_5213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g663249 (
+	.A(n_5196),
+	.B(n_5209),
+	.Y(n_5211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g663250 (
+	.A1(n_5201),
+	.A2(n_5178),
+	.B1(n_5200),
+	.B2(n_5180),
+	.X(n_5210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g663251 (
+	.A(n_5188),
+	.B(n_5205),
+	.Y(n_5209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663252 (
+	.A(n_5206),
+	.B(n_5187),
+	.Y(n_5208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g663253 (
+	.A1(n_5176),
+	.A2(n_5194),
+	.B1(n_5186),
+	.B2(n_38507),
+	.Y(n_5207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663256 (
+	.A(n_5194),
+	.B(n_5176),
+	.Y(n_5206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g663257 (
+	.A_N(n_5181),
+	.B(n_5197),
+	.Y(n_5205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g663258 (
+	.A1(n_5189),
+	.A2(n_5124),
+	.B1(n_2562),
+	.Y(n_5204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g663259 (
+	.A1(n_3978),
+	.A2(n_5191),
+	.B1(n_5199),
+	.X(n_31252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g663260 (
+	.A1(n_5139),
+	.A2(n_5189),
+	.B1(n_5111),
+	.B2(n_5190),
+	.Y(n_5202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g663261 (
+	.A1(n_5138),
+	.A2(n_5189),
+	.B1(n_5108),
+	.B2(n_5190),
+	.Y(n_5201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g663262 (
+	.A1(n_5137),
+	.A2(n_5189),
+	.B1(n_5102),
+	.B2(n_5190),
+	.Y(n_5200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g663263 (
+	.A1(n_5172),
+	.A2(brqrv_top_brqrv_exu_i0_pc_x[28]),
+	.B1(n_5192),
+	.Y(n_5198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663265 (
+	.A(n_5191),
+	.B(n_3978),
+	.Y(n_5199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g663266 (
+	.A(n_5193),
+	.Y(n_5194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g663267 (
+	.A1(n_5130),
+	.A2(n_5185),
+	.B1(n_5110),
+	.B2(n_5184),
+	.Y(n_5197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g663268 (
+	.A1(n_5128),
+	.A2(n_5185),
+	.B1(n_5105),
+	.B2(n_5184),
+	.Y(n_5196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g663270 (
+	.A1(n_5129),
+	.A2(n_5185),
+	.B1(n_5107),
+	.B2(n_5184),
+	.Y(n_5193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g663271 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[28]),
+	.B(n_5172),
+	.Y(n_5192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g663272 (
+	.A(n_5190),
+	.Y(n_5189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g663273 (
+	.A(n_31253),
+	.B(n_31254),
+	.Y(n_5191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g663274 (
+	.A1(n_5171),
+	.A2(n_5124),
+	.B1(n_5183),
+	.Y(n_5190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g663275 (
+	.A(n_5187),
+	.Y(n_5186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g663276 (
+	.A(n_5185),
+	.Y(n_5184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g663277 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[9]),
+	.B(brqrv_top_brqrv_dec_decode_last_br_immed_x[9]),
+	.CIN(n_5166),
+	.COUT(n_31309),
+	.SUM(brqrv_top_brqrv_pred_correct_npc_x[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g663278 (
+	.A(n_2562),
+	.B(n_5174),
+	.Y(n_5183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g663279 (
+	.A(n_31266),
+	.B(n_31255),
+	.X(n_31254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g663280 (
+	.A1(n_5119),
+	.A2(n_5167),
+	.B1(n_5103),
+	.B2(n_5168),
+	.Y(n_5188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g663281 (
+	.A1(n_5168),
+	.A2(n_5120),
+	.B1(n_5167),
+	.B2(n_5121),
+	.Y(n_5187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g663282 (
+	.A1(n_5135),
+	.A2(n_5165),
+	.B1_N(n_5175),
+	.Y(n_5185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g663283 (
+	.A(n_5179),
+	.Y(n_5180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g663284 (
+	.A(n_5177),
+	.Y(n_5178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g663285 (
+	.A1(n_5126),
+	.A2(n_5170),
+	.B1(n_5092),
+	.B2(n_5169),
+	.Y(n_5182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g663286 (
+	.A1(n_5109),
+	.A2(n_5167),
+	.B1(n_5112),
+	.B2(n_5168),
+	.Y(n_5181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g663287 (
+	.A1(n_5118),
+	.A2(n_5170),
+	.B1(n_5090),
+	.B2(n_5169),
+	.Y(n_5179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g663288 (
+	.A1(n_5117),
+	.A2(n_5170),
+	.B1(n_5089),
+	.B2(n_5169),
+	.Y(n_5177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g663289 (
+	.A1(n_5116),
+	.A2(n_5167),
+	.B1(n_5101),
+	.B2(n_5168),
+	.Y(n_5176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g663290 (
+	.A(n_5124),
+	.B(n_5171),
+	.Y(n_5174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663291 (
+	.A1(n_4578),
+	.A2(n_32486),
+	.B1(n_32487),
+	.B2(n_5161),
+	.C1(n_4367),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[844]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663292 (
+	.A1(n_4578),
+	.A2(n_32474),
+	.B1(n_32475),
+	.B2(n_5161),
+	.C1(n_4369),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[812]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g663293 (
+	.A1(n_5158),
+	.A2(brqrv_top_brqrv_exu_i0_pc_x[27]),
+	.B1_N(n_5172),
+	.Y(n_5173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663294 (
+	.A1(n_31335),
+	.A2(n_5161),
+	.B1(n_4332),
+	.B2(n_31333),
+	.C1(n_4868),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[268]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663295 (
+	.A1(n_5161),
+	.A2(n_31350),
+	.B1(n_4332),
+	.B2(n_31348),
+	.C1(n_4811),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[396]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663296 (
+	.A1(n_4578),
+	.A2(n_31358),
+	.B1(n_31359),
+	.B2(n_5161),
+	.C1(n_4413),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[300]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663297 (
+	.A1(n_4578),
+	.A2(n_31355),
+	.B1(n_31356),
+	.B2(n_5161),
+	.C1(n_4412),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[332]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663298 (
+	.A1(n_4578),
+	.A2(n_31328),
+	.B1(n_31329),
+	.B2(n_5161),
+	.C1(n_4410),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[780]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663299 (
+	.A1(n_4578),
+	.A2(n_31346),
+	.B1(n_5161),
+	.B2(n_31347),
+	.C1(n_4406),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[428]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663300 (
+	.A1(n_4578),
+	.A2(n_31361),
+	.B1(n_5161),
+	.B2(n_31362),
+	.C1(n_4403),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[460]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663301 (
+	.A1(n_4578),
+	.A2(n_32490),
+	.B1(n_32491),
+	.B2(n_5161),
+	.C1(n_4456),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[76]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663302 (
+	.A1(n_4578),
+	.A2(n_32493),
+	.B1(n_31369),
+	.B2(n_5161),
+	.C1(n_4461),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[44]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663303 (
+	.A1(n_4578),
+	.A2(n_31374),
+	.B1(n_5161),
+	.B2(n_31375),
+	.C1(n_4390),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[140]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663304 (
+	.A1(n_4578),
+	.A2(n_31352),
+	.B1(n_31353),
+	.B2(n_5161),
+	.C1(n_4371),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[364]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g663305 (
+	.A1(n_5165),
+	.A2(n_5135),
+	.B1_N(n_5113),
+	.Y(n_5175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g663306 (
+	.A(n_31256),
+	.B(n_31257),
+	.X(n_31255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663307 (
+	.A1(n_4578),
+	.A2(n_31320),
+	.B1(n_5161),
+	.B2(n_31321),
+	.C1(n_4419),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[940]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663308 (
+	.A1(n_4578),
+	.A2(n_32466),
+	.B1(n_5161),
+	.B2(n_32467),
+	.C1(n_4370),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[908]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663309 (
+	.A1(n_4578),
+	.A2(n_31371),
+	.B1(n_31372),
+	.B2(n_5161),
+	.C1(n_4382),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[108]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663310 (
+	.A1(n_4578),
+	.A2(n_31340),
+	.B1(n_31341),
+	.B2(n_5161),
+	.C1(n_4385),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[524]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663311 (
+	.A1(n_4578),
+	.A2(n_32472),
+	.B1(n_5161),
+	.B2(n_32473),
+	.C1(n_4393),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[652]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663312 (
+	.A1(n_4578),
+	.A2(n_31367),
+	.B1(n_5161),
+	.B2(n_32489),
+	.C1(n_4392),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[204]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663313 (
+	.A1(n_4578),
+	.A2(n_31377),
+	.B1(n_5161),
+	.B2(n_31378),
+	.C1(n_4391),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[172]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663314 (
+	.A1(n_4578),
+	.A2(n_31325),
+	.B1(n_32470),
+	.B2(n_5161),
+	.C1(n_4416),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[876]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663315 (
+	.A1(n_4578),
+	.A2(n_32483),
+	.B1(n_5161),
+	.B2(n_32484),
+	.C1(n_4420),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[972]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663316 (
+	.A1(n_4578),
+	.A2(n_31343),
+	.B1(n_5161),
+	.B2(n_31344),
+	.C1(n_4368),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[492]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663317 (
+	.A1(n_4578),
+	.A2(n_31364),
+	.B1(n_31365),
+	.B2(n_5161),
+	.C1(n_4426),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[588]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663318 (
+	.A1(n_4578),
+	.A2(n_31337),
+	.B1(n_31338),
+	.B2(n_5161),
+	.C1(n_4425),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[556]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663319 (
+	.A1(n_4578),
+	.A2(n_31380),
+	.B1(n_5161),
+	.B2(n_31381),
+	.C1(n_4431),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[236]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663320 (
+	.A1(n_4578),
+	.A2(n_32481),
+	.B1(n_5161),
+	.B2(n_31330),
+	.C1(n_4435),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[716]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663321 (
+	.A1(n_4578),
+	.A2(n_31331),
+	.B1(n_5161),
+	.B2(n_31332),
+	.C1(n_4434),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[684]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663322 (
+	.A1(n_4578),
+	.A2(n_31323),
+	.B1(n_5161),
+	.B2(n_31324),
+	.C1(n_4440),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[1004]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663323 (
+	.A1(n_4578),
+	.A2(n_31317),
+	.B1(n_31318),
+	.B2(n_5161),
+	.C1(n_4444),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[620]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663324 (
+	.A1(n_4578),
+	.A2(n_32478),
+	.B1(n_5161),
+	.B2(n_32479),
+	.C1(n_4447),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[748]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g663325 (
+	.A(n_31265),
+	.B(n_31258),
+	.X(n_31257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g663326 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[27]),
+	.B(n_5158),
+	.X(n_5172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g663327 (
+	.A(n_5170),
+	.Y(n_5169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g663328 (
+	.A(n_5168),
+	.Y(n_5167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663329 (
+	.A(n_5164),
+	.B(n_5147),
+	.Y(n_5171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g663330 (
+	.A1_N(n_5093),
+	.A2_N(n_5163),
+	.B1(n_5123),
+	.B2(n_5156),
+	.Y(n_5170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g663331 (
+	.A(n_5122),
+	.B(n_5160),
+	.C(n_5120),
+	.X(n_5168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g663332 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[8]),
+	.B(brqrv_top_brqrv_dec_decode_last_br_immed_x[8]),
+	.CIN(n_5145),
+	.COUT(n_5166),
+	.SUM(brqrv_top_brqrv_pred_correct_npc_x[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g663333 (
+	.A(n_31259),
+	.B(n_31260),
+	.X(n_31258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g663334 (
+	.A1(n_5149),
+	.A2(n_5108),
+	.B1(n_5148),
+	.C1(n_5157),
+	.X(n_5164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g663335 (
+	.A(n_5144),
+	.B(n_5159),
+	.Y(n_5165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663336 (
+	.A(n_5156),
+	.B(n_5123),
+	.Y(n_5163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g663337 (
+	.A1(n_32303),
+	.A2(brqrv_top_brqrv_exu_i0_pc_x[26]),
+	.B1_N(n_5158),
+	.Y(n_5162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g663338 (
+	.A(n_31264),
+	.B(n_31261),
+	.X(n_31260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g663339 (
+	.A(n_5131),
+	.B(n_5155),
+	.Y(n_5160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g663340 (
+	.A(n_5143),
+	.B(n_5153),
+	.Y(n_5159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g663341 (
+	.A1(n_354404_BAR),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [12]),
+	.B1(n_5154),
+	.Y(n_5161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g663342 (
+	.A(n_5138),
+	.B(n_5152),
+	.Y(n_5157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g663343 (
+	.A(n_31262),
+	.B(n_31263),
+	.X(n_31261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g663344 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[26]),
+	.B(n_32303),
+	.X(n_5158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g663345 (
+	.A(n_5132),
+	.B(n_5151),
+	.Y(n_5155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g663346 (
+	.A1(n_4643),
+	.A2(n_31134),
+	.B1(n_354405_BAR),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [12]),
+	.X(n_5154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g663347 (
+	.A1(n_5106),
+	.A2(n_5128),
+	.B1(n_5130),
+	.B2(n_5146),
+	.Y(n_5153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g663348 (
+	.A1(n_5118),
+	.A2(n_5091),
+	.B1(n_5150),
+	.Y(n_5156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663349 (
+	.A1(n_4577),
+	.A2(n_31361),
+	.B1(n_5127),
+	.B2(n_31362),
+	.C1(n_4453),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[457]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663350 (
+	.A1(n_4577),
+	.A2(n_32486),
+	.B1(n_32487),
+	.B2(n_5127),
+	.C1(n_4374),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[841]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g663351 (
+	.A(n_5108),
+	.B(n_5149),
+	.Y(n_5152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663352 (
+	.A1(n_31335),
+	.A2(n_5127),
+	.B1(n_4333),
+	.B2(n_31333),
+	.C1(n_4869),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[265]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663353 (
+	.A1(n_5127),
+	.A2(n_31350),
+	.B1(n_4333),
+	.B2(n_31348),
+	.C1(n_4810),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[393]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663354 (
+	.A1(n_4577),
+	.A2(n_31358),
+	.B1(n_31359),
+	.B2(n_5127),
+	.C1(n_4415),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[297]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663355 (
+	.A1(n_4577),
+	.A2(n_31355),
+	.B1(n_31356),
+	.B2(n_5127),
+	.C1(n_4407),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[329]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663356 (
+	.A1(n_4577),
+	.A2(n_31328),
+	.B1(n_31329),
+	.B2(n_5127),
+	.C1(n_4409),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[777]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663357 (
+	.A1(n_4577),
+	.A2(n_31346),
+	.B1(n_5127),
+	.B2(n_31347),
+	.C1(n_4405),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[425]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663358 (
+	.A1(n_4577),
+	.A2(n_32474),
+	.B1(n_32475),
+	.B2(n_5127),
+	.C1(n_4375),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[809]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663359 (
+	.A1(n_4577),
+	.A2(n_32490),
+	.B1(n_32491),
+	.B2(n_5127),
+	.C1(n_4454),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[73]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663360 (
+	.A1(n_4577),
+	.A2(n_32493),
+	.B1(n_31369),
+	.B2(n_5127),
+	.C1(n_4401),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[41]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g663361 (
+	.A1(n_5109),
+	.A2(n_5141),
+	.B1(n_5104),
+	.B2(n_5119),
+	.Y(n_5151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g663362 (
+	.A1(n_5140),
+	.A2(n_5092),
+	.B1(n_5136),
+	.Y(n_5150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663363 (
+	.A1(n_4577),
+	.A2(n_31374),
+	.B1(n_5127),
+	.B2(n_31375),
+	.C1(n_4389),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[137]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663364 (
+	.A1(n_4577),
+	.A2(n_31352),
+	.B1(n_31353),
+	.B2(n_5127),
+	.C1(n_4380),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[361]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g663365 (
+	.A(n_32438),
+	.B(n_32433),
+	.X(n_31263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g663366 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[25]),
+	.B(n_32304),
+	.X(n_32303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663367 (
+	.A1(n_4577),
+	.A2(n_31320),
+	.B1(n_5127),
+	.B2(n_31321),
+	.C1(n_4422),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[937]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663368 (
+	.A1(n_4577),
+	.A2(n_32466),
+	.B1(n_5127),
+	.B2(n_32467),
+	.C1(n_4378),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[905]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663369 (
+	.A1(n_4577),
+	.A2(n_31371),
+	.B1(n_31372),
+	.B2(n_5127),
+	.C1(n_4384),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[105]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663370 (
+	.A1(n_4577),
+	.A2(n_31340),
+	.B1(n_31341),
+	.B2(n_5127),
+	.C1(n_4387),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[521]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663371 (
+	.A1(n_4577),
+	.A2(n_32472),
+	.B1(n_5127),
+	.B2(n_32473),
+	.C1(n_4397),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[649]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663372 (
+	.A1(n_4577),
+	.A2(n_31367),
+	.B1(n_5127),
+	.B2(n_32489),
+	.C1(n_4396),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[201]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663373 (
+	.A1(n_4577),
+	.A2(n_31377),
+	.B1(n_5127),
+	.B2(n_31378),
+	.C1(n_4395),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[169]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663374 (
+	.A1(n_4577),
+	.A2(n_31325),
+	.B1(n_32470),
+	.B2(n_5127),
+	.C1(n_4418),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[873]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663375 (
+	.A1(n_4577),
+	.A2(n_32483),
+	.B1(n_5127),
+	.B2(n_32484),
+	.C1(n_4424),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[969]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663376 (
+	.A1(n_4577),
+	.A2(n_31343),
+	.B1(n_5127),
+	.B2(n_31344),
+	.C1(n_4381),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[489]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663377 (
+	.A1(n_4577),
+	.A2(n_31380),
+	.B1(n_5127),
+	.B2(n_31381),
+	.C1(n_4433),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[233]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663378 (
+	.A1(n_4577),
+	.A2(n_31364),
+	.B1(n_31365),
+	.B2(n_5127),
+	.C1(n_4430),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[585]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663379 (
+	.A1(n_4577),
+	.A2(n_31337),
+	.B1(n_31338),
+	.B2(n_5127),
+	.C1(n_4428),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[553]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663380 (
+	.A1(n_4577),
+	.A2(n_32481),
+	.B1(n_5127),
+	.B2(n_31330),
+	.C1(n_4437),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[713]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663381 (
+	.A1(n_4577),
+	.A2(n_31331),
+	.B1(n_5127),
+	.B2(n_31332),
+	.C1(n_4438),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[681]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663382 (
+	.A1(n_4577),
+	.A2(n_31323),
+	.B1(n_5127),
+	.B2(n_31324),
+	.C1(n_4442),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[1001]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663383 (
+	.A1(n_4577),
+	.A2(n_31317),
+	.B1(n_31318),
+	.B2(n_5127),
+	.C1(n_4446),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[617]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663384 (
+	.A1(n_4577),
+	.A2(n_32478),
+	.B1(n_5127),
+	.B2(n_32479),
+	.C1(n_4449),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[745]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g663385 (
+	.A(n_5137),
+	.B_N(n_5102),
+	.Y(n_5148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g663386 (
+	.A(n_5139),
+	.B_N(n_5111),
+	.Y(n_5149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g663387 (
+	.A_N(n_5102),
+	.B(n_5137),
+	.Y(n_5147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663388 (
+	.A(n_5142),
+	.B(n_5110),
+	.Y(n_5146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g663389 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[7]),
+	.B(brqrv_top_brqrv_dec_decode_last_br_immed_x[7]),
+	.CIN(n_5074),
+	.COUT(n_5145),
+	.SUM(brqrv_top_brqrv_pred_correct_npc_x[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g663390 (
+	.A(n_5107),
+	.B_N(n_5129),
+	.Y(n_5144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g663391 (
+	.A(n_5129),
+	.B_N(n_5107),
+	.Y(n_5143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663392 (
+	.A(n_5128),
+	.B(n_5106),
+	.Y(n_5142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663393 (
+	.A(n_5133),
+	.B(n_5112),
+	.Y(n_5141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g663394 (
+	.A1(n_5117),
+	.A2(n_5088),
+	.B1(n_5126),
+	.Y(n_5140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g663395 (
+	.A1(n_5088),
+	.A2(n_5117),
+	.B1(n_5091),
+	.B2(n_5118),
+	.Y(n_5136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g663396 (
+	.A1(n_5114),
+	.A2(n_4668),
+	.B1(n_5115),
+	.B2(n_4720),
+	.Y(n_5139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g663397 (
+	.A1(n_3977),
+	.A2(n_5125),
+	.B1(n_32433),
+	.X(n_31134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g663398 (
+	.A1(n_4666),
+	.A2(n_5115),
+	.B1(n_4719),
+	.B2(n_5114),
+	.Y(n_5138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g663399 (
+	.A1(n_5114),
+	.A2(n_4667),
+	.B1(n_5115),
+	.B2(n_4704),
+	.Y(n_5137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663400 (
+	.A1(n_4576),
+	.A2(n_31343),
+	.B1(n_5083),
+	.B2(n_31344),
+	.C1(n_4376),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[488]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663401 (
+	.A(n_32305),
+	.B(brqrv_top_brqrv_exu_i0_pc_x[24]),
+	.Y(n_5134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663402 (
+	.A(n_5119),
+	.B(n_5104),
+	.Y(n_5133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663403 (
+	.A1(n_4576),
+	.A2(n_32486),
+	.B1(n_32487),
+	.B2(n_5083),
+	.C1(n_4373),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[840]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g663404 (
+	.A(n_5116),
+	.B_N(n_5101),
+	.Y(n_5132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g663405 (
+	.A(n_5101),
+	.B_N(n_5116),
+	.Y(n_5131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663406 (
+	.A1(n_4576),
+	.A2(n_32474),
+	.B1(n_32475),
+	.B2(n_5083),
+	.C1(n_4379),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[808]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663407 (
+	.A1(n_31335),
+	.A2(n_5083),
+	.B1(n_4334),
+	.B2(n_31333),
+	.C1(n_4870),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[264]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663408 (
+	.A1(n_5083),
+	.A2(n_31350),
+	.B1(n_4334),
+	.B2(n_31348),
+	.C1(n_4814),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[392]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663409 (
+	.A1(n_4576),
+	.A2(n_31358),
+	.B1(n_31359),
+	.B2(n_5083),
+	.C1(n_4414),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[296]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663410 (
+	.A1(n_4576),
+	.A2(n_31355),
+	.B1(n_31356),
+	.B2(n_5083),
+	.C1(n_4411),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[328]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663411 (
+	.A1(n_4576),
+	.A2(n_31328),
+	.B1(n_31329),
+	.B2(n_5083),
+	.C1(n_4408),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[776]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663412 (
+	.A1(n_4576),
+	.A2(n_31346),
+	.B1(n_5083),
+	.B2(n_31347),
+	.C1(n_4404),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[424]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663413 (
+	.A1(n_4576),
+	.A2(n_31361),
+	.B1(n_5083),
+	.B2(n_31362),
+	.C1(n_4443),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[456]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663414 (
+	.A1(n_4576),
+	.A2(n_32490),
+	.B1(n_32491),
+	.B2(n_5083),
+	.C1(n_4455),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[72]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663415 (
+	.A1(n_4576),
+	.A2(n_32493),
+	.B1(n_31369),
+	.B2(n_5083),
+	.C1(n_4400),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[40]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663416 (
+	.A1(n_4576),
+	.A2(n_31374),
+	.B1(n_5083),
+	.B2(n_31375),
+	.C1(n_4388),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[136]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663417 (
+	.A1(n_4576),
+	.A2(n_31352),
+	.B1(n_31353),
+	.B2(n_5083),
+	.C1(n_4372),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[360]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663418 (
+	.A1(n_4655),
+	.A2(n_5095),
+	.B1(n_5082),
+	.Y(n_5135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g663419 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[24]),
+	.B(n_32305),
+	.X(n_32304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663420 (
+	.A(n_5125),
+	.B(n_3977),
+	.Y(n_32433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663421 (
+	.A1(n_4576),
+	.A2(n_31371),
+	.B1(n_31372),
+	.B2(n_5083),
+	.C1(n_4383),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[104]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663422 (
+	.A1(n_4576),
+	.A2(n_31340),
+	.B1(n_31341),
+	.B2(n_5083),
+	.C1(n_4386),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[520]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663423 (
+	.A1(n_4576),
+	.A2(n_32472),
+	.B1(n_5083),
+	.B2(n_32473),
+	.C1(n_4399),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[648]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663424 (
+	.A1(n_4576),
+	.A2(n_31367),
+	.B1(n_5083),
+	.B2(n_32489),
+	.C1(n_4398),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[200]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663425 (
+	.A1(n_4576),
+	.A2(n_31377),
+	.B1(n_5083),
+	.B2(n_31378),
+	.C1(n_4394),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[168]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663426 (
+	.A1(n_4576),
+	.A2(n_31325),
+	.B1(n_32470),
+	.B2(n_5083),
+	.C1(n_4417),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[872]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663427 (
+	.A1(n_4576),
+	.A2(n_32483),
+	.B1(n_5083),
+	.B2(n_32484),
+	.C1(n_4423),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[968]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663428 (
+	.A1(n_4576),
+	.A2(n_31320),
+	.B1(n_5083),
+	.B2(n_31321),
+	.C1(n_4421),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[936]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663429 (
+	.A1(n_4576),
+	.A2(n_31364),
+	.B1(n_31365),
+	.B2(n_5083),
+	.C1(n_4429),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[584]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663430 (
+	.A1(n_4576),
+	.A2(n_31337),
+	.B1(n_31338),
+	.B2(n_5083),
+	.C1(n_4427),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[552]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663431 (
+	.A1(n_4576),
+	.A2(n_31380),
+	.B1(n_5083),
+	.B2(n_31381),
+	.C1(n_4432),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[232]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663432 (
+	.A1(n_4576),
+	.A2(n_32481),
+	.B1(n_5083),
+	.B2(n_31330),
+	.C1(n_4439),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[712]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663433 (
+	.A1(n_4576),
+	.A2(n_31331),
+	.B1(n_5083),
+	.B2(n_31332),
+	.C1(n_4436),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[680]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663434 (
+	.A1(n_4576),
+	.A2(n_31323),
+	.B1(n_5083),
+	.B2(n_31324),
+	.C1(n_4441),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[1000]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663435 (
+	.A1(n_4576),
+	.A2(n_31317),
+	.B1(n_31318),
+	.B2(n_5083),
+	.C1(n_4445),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[616]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663436 (
+	.A1(n_4576),
+	.A2(n_32478),
+	.B1(n_5083),
+	.B2(n_32479),
+	.C1(n_4448),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[744]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663437 (
+	.A1(n_4576),
+	.A2(n_32466),
+	.B1(n_5083),
+	.B2(n_32467),
+	.C1(n_4377),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[904]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g663438 (
+	.A1(n_5094),
+	.A2(n_4723),
+	.B1(n_5095),
+	.B2(n_4722),
+	.Y(n_5130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g663439 (
+	.A1(n_5094),
+	.A2(n_4709),
+	.B1(n_5095),
+	.B2(n_4711),
+	.Y(n_5129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g663440 (
+	.A1(n_4702),
+	.A2(n_5095),
+	.B1(n_4715),
+	.B2(n_5094),
+	.Y(n_5128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g663441 (
+	.A1(n_354405_BAR),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [9]),
+	.B1(n_5097),
+	.Y(n_5127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g663442 (
+	.A(n_5121),
+	.Y(n_5122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g663443 (
+	.A(n_5115),
+	.Y(n_5114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663444 (
+	.A1(n_4649),
+	.A2(n_5085),
+	.B1(n_5072),
+	.Y(n_5113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g663446 (
+	.A1(n_5080),
+	.A2(n_4713),
+	.B1(n_5081),
+	.B2(n_4728),
+	.Y(n_5126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g663447 (
+	.A(n_32437),
+	.B(n_32434),
+	.Y(n_5125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663448 (
+	.A1(n_4645),
+	.A2(n_5087),
+	.B1(n_5073),
+	.Y(n_5124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g663449 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[23]),
+	.B(n_32306),
+	.X(n_32305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g663450 (
+	.A1(n_4654),
+	.A2(n_5081),
+	.B1(n_4647),
+	.B2(n_5080),
+	.Y(n_5123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g663451 (
+	.A1(n_4652),
+	.A2(n_5076),
+	.B1(n_4653),
+	.B2(n_5077),
+	.Y(n_5121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g663452 (
+	.A1(n_4650),
+	.A2(n_5079),
+	.B1(n_4651),
+	.B2(n_5078),
+	.Y(n_5120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g663453 (
+	.A1(n_4703),
+	.A2(n_5079),
+	.B1(n_4705),
+	.B2(n_5078),
+	.Y(n_5119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g663454 (
+	.A1(n_5080),
+	.A2(n_4688),
+	.B1(n_5081),
+	.B2(n_4690),
+	.Y(n_5118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g663455 (
+	.A1(n_5080),
+	.A2(n_4714),
+	.B1(n_5081),
+	.B2(n_4687),
+	.Y(n_5117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g663456 (
+	.A1(n_5078),
+	.A2(n_4706),
+	.B1(n_5079),
+	.B2(n_4707),
+	.Y(n_5116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g663457 (
+	.A1(n_5012),
+	.A2(n_4646),
+	.B1(n_5096),
+	.Y(n_5115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g663458 (
+	.A(n_5105),
+	.Y(n_5106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g663459 (
+	.A(n_5103),
+	.Y(n_5104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g663460 (
+	.A1(n_4632),
+	.A2(n_5084),
+	.B1(n_4634),
+	.B2(n_5085),
+	.Y(n_5100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g663461 (
+	.A1(n_4624),
+	.A2(n_5087),
+	.B1(n_4614),
+	.B2(n_5086),
+	.Y(n_5099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g663462 (
+	.A1(n_4640),
+	.A2(n_5076),
+	.B1(n_4616),
+	.B2(n_5077),
+	.Y(n_5098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g663463 (
+	.A1(n_4643),
+	.A2(n_32439),
+	.B1(n_354404_BAR),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [9]),
+	.X(n_5097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g663464 (
+	.A1(n_5077),
+	.A2(n_4729),
+	.B1(n_5076),
+	.B2(n_4721),
+	.Y(n_5112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g663465 (
+	.A1(n_5086),
+	.A2(n_4718),
+	.B1(n_5087),
+	.B2(n_4717),
+	.Y(n_5111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g663466 (
+	.A1(n_5085),
+	.A2(n_4724),
+	.B1(n_5084),
+	.B2(n_4725),
+	.Y(n_5110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g663467 (
+	.A1(n_5078),
+	.A2(n_4727),
+	.B1(n_5079),
+	.B2(n_4726),
+	.Y(n_5109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g663468 (
+	.A1(n_5086),
+	.A2(n_4698),
+	.B1(n_5087),
+	.B2(n_4699),
+	.Y(n_5108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g663469 (
+	.A1(n_5085),
+	.A2(n_4692),
+	.B1(n_5084),
+	.B2(n_4696),
+	.Y(n_5107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g663470 (
+	.A1(n_5085),
+	.A2(n_4686),
+	.B1(n_5084),
+	.B2(n_4691),
+	.Y(n_5105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g663471 (
+	.A1(n_5077),
+	.A2(n_4697),
+	.B1(n_5076),
+	.B2(n_4712),
+	.Y(n_5103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g663472 (
+	.A1(n_5086),
+	.A2(n_4700),
+	.B1(n_5087),
+	.B2(n_4701),
+	.Y(n_5102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g663473 (
+	.A1(n_5077),
+	.A2(n_4685),
+	.B1(n_5076),
+	.B2(n_4708),
+	.Y(n_5101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g663475 (
+	.A(n_5095),
+	.Y(n_5094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g663476 (
+	.A(n_32435),
+	.B(n_32436),
+	.X(n_32434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g663477 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[22]),
+	.B(n_31111),
+	.X(n_32306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g663478 (
+	.A1(n_4583),
+	.A2(n_3373),
+	.B1(n_5075),
+	.Y(n_5096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663479 (
+	.A(n_5082),
+	.B(n_5069),
+	.Y(n_5095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g663480 (
+	.A(n_5091),
+	.Y(n_5090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g663481 (
+	.A(n_5088),
+	.Y(n_5089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g663482 (
+	.A1(n_4644),
+	.A2(n_5071),
+	.B1(n_3313),
+	.B2(n_5070),
+	.Y(n_5093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g663483 (
+	.A1(n_4716),
+	.A2(n_5071),
+	.B1(n_3504),
+	.B2(n_5070),
+	.Y(n_5092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g663484 (
+	.A1(n_4695),
+	.A2(n_5071),
+	.B1(n_3312),
+	.B2(n_5070),
+	.Y(n_5091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g663485 (
+	.A1(n_4694),
+	.A2(n_5071),
+	.B1(n_3314),
+	.B2(n_5070),
+	.Y(n_5088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g663486 (
+	.A(n_5087),
+	.Y(n_5086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g663487 (
+	.A(n_5085),
+	.Y(n_5084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663488 (
+	.A1(n_30611),
+	.A2(n_31358),
+	.B1(n_4938),
+	.B2(n_31359),
+	.C1(n_4238),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[295]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663489 (
+	.A1(n_30611),
+	.A2(n_31355),
+	.B1(n_4938),
+	.B2(n_31356),
+	.C1(n_4237),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[327]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663490 (
+	.A1(n_30611),
+	.A2(n_31352),
+	.B1(n_4938),
+	.B2(n_31353),
+	.C1(n_4135),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[359]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663491 (
+	.A1(n_30611),
+	.A2(n_32474),
+	.B1(n_4938),
+	.B2(n_32475),
+	.C1(n_4132),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[807]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663492 (
+	.A1(n_30611),
+	.A2(n_32486),
+	.B1(n_4938),
+	.B2(n_32487),
+	.C1(n_4131),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[839]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663493 (
+	.A1(n_4938),
+	.A2(n_31335),
+	.B1(n_3724),
+	.B2(n_31333),
+	.C1(n_4827),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[263]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663494 (
+	.A1(n_30611),
+	.A2(n_31328),
+	.B1(n_4938),
+	.B2(n_31329),
+	.C1(n_4236),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[775]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663495 (
+	.A1(n_30611),
+	.A2(n_31343),
+	.B1(n_4938),
+	.B2(n_31344),
+	.C1(n_4130),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[487]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663496 (
+	.A1(n_30611),
+	.A2(n_32490),
+	.B1(n_4938),
+	.B2(n_32491),
+	.C1(n_4327),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[71]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663497 (
+	.A1(n_30611),
+	.A2(n_32493),
+	.B1(n_4938),
+	.B2(n_31369),
+	.C1(n_4326),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[39]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663498 (
+	.A1(n_30611),
+	.A2(n_31371),
+	.B1(n_4938),
+	.B2(n_31372),
+	.C1(n_4126),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[103]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663499 (
+	.A1(n_30611),
+	.A2(n_32466),
+	.B1(n_4938),
+	.B2(n_32467),
+	.C1(n_4129),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[903]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663500 (
+	.A1(n_30611),
+	.A2(n_31340),
+	.B1(n_4938),
+	.B2(n_31341),
+	.C1(n_4125),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[519]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663501 (
+	.A1(n_4938),
+	.A2(n_31347),
+	.B1(n_3724),
+	.B2(n_31345),
+	.C1(n_4795),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[423]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663502 (
+	.A1(n_4938),
+	.A2(n_31362),
+	.B1(n_3724),
+	.B2(n_31360),
+	.C1(n_4792),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[455]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663503 (
+	.A1(n_30611),
+	.A2(n_32472),
+	.B1(n_4938),
+	.B2(n_32473),
+	.C1(n_4127),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[647]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663504 (
+	.A1(n_4938),
+	.A2(n_31350),
+	.B1(n_3724),
+	.B2(n_31348),
+	.C1(n_4775),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[391]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663505 (
+	.A(n_5073),
+	.B(n_5064),
+	.Y(n_5087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663506 (
+	.A(n_5072),
+	.B(n_5065),
+	.Y(n_5085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g663507 (
+	.A1(n_354404_BAR),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [8]),
+	.B1(n_5068),
+	.Y(n_5083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g663508 (
+	.A(n_5081),
+	.Y(n_5080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g663509 (
+	.A(n_5079),
+	.Y(n_5078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g663510 (
+	.A(n_5077),
+	.Y(n_5076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663511 (
+	.A1(n_4938),
+	.A2(n_31375),
+	.B1(n_3724),
+	.B2(n_31373),
+	.C1(n_4836),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[135]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663512 (
+	.A1(n_4938),
+	.A2(n_32489),
+	.B1(n_3724),
+	.B2(n_32488),
+	.C1(n_4802),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[199]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663513 (
+	.A1(n_4938),
+	.A2(n_31378),
+	.B1(n_3724),
+	.B2(n_31376),
+	.C1(n_4804),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[167]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663514 (
+	.A1(n_30611),
+	.A2(n_31325),
+	.B1(n_4938),
+	.B2(n_32470),
+	.C1(n_4128),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[871]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663515 (
+	.A1(n_30611),
+	.A2(n_32483),
+	.B1(n_4938),
+	.B2(n_32484),
+	.C1(n_4134),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[967]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663516 (
+	.A1(n_30611),
+	.A2(n_31320),
+	.B1(n_4938),
+	.B2(n_31321),
+	.C1(n_4133),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[935]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663517 (
+	.A1(n_4938),
+	.A2(n_31381),
+	.B1(n_3724),
+	.B2(n_31379),
+	.C1(n_4772),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[231]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663518 (
+	.A1(n_30611),
+	.A2(n_31364),
+	.B1(n_4938),
+	.B2(n_31365),
+	.C1(n_4155),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[583]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663519 (
+	.A1(n_30611),
+	.A2(n_31337),
+	.B1(n_4938),
+	.B2(n_31338),
+	.C1(n_4150),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[551]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663520 (
+	.A1(n_4938),
+	.A2(n_31330),
+	.B1(n_3724),
+	.B2(n_32480),
+	.C1(n_4782),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[711]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663521 (
+	.A1(n_4938),
+	.A2(n_31332),
+	.B1(n_3724),
+	.B2(n_32482),
+	.C1(n_4785),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[679]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663522 (
+	.A1(n_30611),
+	.A2(n_31323),
+	.B1(n_4938),
+	.B2(n_31324),
+	.C1(n_4233),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[999]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663523 (
+	.A1(n_30611),
+	.A2(n_31317),
+	.B1(n_4938),
+	.B2(n_31318),
+	.C1(n_4251),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[615]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663524 (
+	.A1(n_30611),
+	.A2(n_32478),
+	.B1(n_4938),
+	.B2(n_32479),
+	.C1(n_4256),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[743]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g663525 (
+	.A1(n_33178),
+	.A2(n_2695),
+	.B1(n_33146),
+	.B2(n_31484),
+	.X(brqrv_top_brqrv_dec_decode_i0_result_x[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g663526 (
+	.A1(n_4646),
+	.A2(n_5012),
+	.B1(n_3383),
+	.B2(n_4583),
+	.Y(n_5075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g663527 (
+	.A1(n_4655),
+	.A2(n_5011),
+	.B1(n_3359),
+	.B2(n_4591),
+	.Y(n_5082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g663528 (
+	.A(n_4648),
+	.B(n_4991),
+	.C(n_4654),
+	.X(n_5081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663529 (
+	.A1(n_4672),
+	.A2(n_5062),
+	.B1(n_4671),
+	.Y(n_5079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663530 (
+	.A1(n_4670),
+	.A2(n_5063),
+	.B1(n_4669),
+	.Y(n_5077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g663531 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[6]),
+	.B(brqrv_top_brqrv_dec_decode_last_br_immed_x[6]),
+	.CIN(n_4903),
+	.COUT(n_5074),
+	.SUM(brqrv_top_brqrv_pred_correct_npc_x[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663532 (
+	.A(n_5030),
+	.B(n_31012),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[15]_1615 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663533 (
+	.A(n_5060),
+	.B(n_31954),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[25]_1559 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663534 (
+	.A(n_5059),
+	.B(n_31953),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[24]_1558 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663535 (
+	.A(n_5058),
+	.B(n_32015),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[23]_1557 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663536 (
+	.A(n_4994),
+	.B(n_32014),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[22]_1556 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663537 (
+	.A(n_5057),
+	.B(n_32012),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[20]_1554 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663538 (
+	.A(n_5056),
+	.B(n_32010),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[18]_1552 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663539 (
+	.A(n_5055),
+	.B(n_32009),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[17]_1551 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663540 (
+	.A(n_5054),
+	.B(n_32008),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[16]_1550 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663541 (
+	.A(n_5053),
+	.B(n_31983),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[15]_1549 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663542 (
+	.A(n_5052),
+	.B(n_31980),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[12]_1546 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663543 (
+	.A(n_5051),
+	.B(n_31978),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[10]_1544 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663544 (
+	.A(n_5050),
+	.B(n_31977),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[9]_1543 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663545 (
+	.A(n_5049),
+	.B(n_31976),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[8]_1542 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663546 (
+	.A(n_5048),
+	.B(n_32007),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[7]_1541 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663547 (
+	.A(n_5047),
+	.B(n_32018),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663548 (
+	.A(n_5046),
+	.B(n_32017),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663549 (
+	.A(n_5045),
+	.B(n_32016),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663550 (
+	.A(n_5044),
+	.B(n_32059),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663551 (
+	.A(n_5043),
+	.B(n_32058),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663552 (
+	.A(n_5042),
+	.B(n_32056),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663553 (
+	.A(n_5041),
+	.B(n_32054),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663554 (
+	.A(n_5040),
+	.B(n_32053),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663555 (
+	.A(n_5039),
+	.B(n_32052),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663556 (
+	.A(n_5038),
+	.B(n_32035),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663557 (
+	.A(n_5037),
+	.B(n_32032),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663558 (
+	.A(n_5036),
+	.B(n_32030),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663559 (
+	.A(n_5035),
+	.B(n_32029),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663560 (
+	.A(n_5034),
+	.B(n_32028),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663561 (
+	.A(n_5033),
+	.B(n_32051),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663562 (
+	.A(n_5032),
+	.B(n_32149),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[17]_1617 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663563 (
+	.A(n_5031),
+	.B(n_31016),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[16]_1616 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663564 (
+	.A(n_5061),
+	.B(n_31955),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[26]_1560 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663565 (
+	.A(n_5029),
+	.B(n_32141),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[12]_1612 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663566 (
+	.A(n_5028),
+	.B(n_32139),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[10]_1610 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663567 (
+	.A(n_5027),
+	.B(n_31011),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[9]_1609 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663568 (
+	.A(n_5026),
+	.B(n_31010),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[8]_1608 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663569 (
+	.A(n_5025),
+	.B(n_31015),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[7]_1607 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663570 (
+	.A(n_4988),
+	.B(n_32147),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[5]_1605 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663571 (
+	.A(n_5024),
+	.B(n_32024),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[26]_1494 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663572 (
+	.A(n_5023),
+	.B(n_32023),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[25]_1493 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663573 (
+	.A(n_5022),
+	.B(n_32022),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[24]_1492 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663574 (
+	.A(n_5021),
+	.B(n_32074),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[23]_1491 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663575 (
+	.A(n_5020),
+	.B(n_32073),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[22]_1490 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663576 (
+	.A(n_5019),
+	.B(n_32071),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[20]_1488 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663577 (
+	.A(n_5018),
+	.B(n_32069),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[18]_1486 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663578 (
+	.A(n_5017),
+	.B(n_32068),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[17]_1485 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663579 (
+	.A(n_5016),
+	.B(n_32067),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[16]_1484 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663580 (
+	.A(n_5015),
+	.B(n_32043),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[15]_1483 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663581 (
+	.A(n_5014),
+	.B(n_32040),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[12]_1480 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663582 (
+	.A(n_5013),
+	.B(n_32038),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[10]_1478 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663583 (
+	.A(n_5066),
+	.B(n_32037),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[9]_1477 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663584 (
+	.A(n_5010),
+	.B(n_32036),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[8]_1476 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663585 (
+	.A(n_5067),
+	.B(n_32066),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[7]_1475 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663586 (
+	.A(n_4989),
+	.B(n_32064),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[5]_1473 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663587 (
+	.A(n_5009),
+	.B(n_31967),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663588 (
+	.A(n_5008),
+	.B(n_31960),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663589 (
+	.A(n_5007),
+	.B(n_31962),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663590 (
+	.A(n_5006),
+	.B(n_31999),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663591 (
+	.A(n_5005),
+	.B(n_31998),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663592 (
+	.A(n_5004),
+	.B(n_31996),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663593 (
+	.A(n_5003),
+	.B(n_31994),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663594 (
+	.A(n_5002),
+	.B(n_31993),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663595 (
+	.A(n_5001),
+	.B(n_31992),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g663596 (
+	.A(n_5071),
+	.Y(n_5070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663597 (
+	.A(n_4943),
+	.B(n_31984),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663598 (
+	.A(n_4998),
+	.B(n_31970),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663599 (
+	.A(n_4997),
+	.B(n_31969),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663600 (
+	.A(n_4996),
+	.B(n_31968),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663601 (
+	.A(n_4995),
+	.B(n_31991),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663602 (
+	.A(n_4987),
+	.B(n_31989),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663603 (
+	.A(n_4979),
+	.B(n_32005),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[5]_1539 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663604 (
+	.A(n_4953),
+	.B(n_32065),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[6]_1474 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663605 (
+	.A(n_4952),
+	.B(n_32062),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[3]_1471 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663606 (
+	.A(n_4965),
+	.B(n_32143),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[14]_1614 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663607 (
+	.A(n_4963),
+	.B(n_32140),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[11]_1611 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663608 (
+	.A(n_4956),
+	.B(n_32042),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[14]_1482 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663609 (
+	.A(n_4954),
+	.B(n_32039),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[11]_1479 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663610 (
+	.A(n_4962),
+	.B(n_32148),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[6]_1606 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663611 (
+	.A(n_4961),
+	.B(n_32145),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[3]_1603 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663612 (
+	.A(n_4957),
+	.B(n_32070),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[19]_1487 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663613 (
+	.A(n_4964),
+	.B(n_32142),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[13]_1613 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663614 (
+	.A(n_4955),
+	.B(n_32041),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[13]_1481 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663615 (
+	.A(n_4959),
+	.B(n_32025),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[27]_1495 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663616 (
+	.A(n_4969),
+	.B(n_32049),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663617 (
+	.A(n_4966),
+	.B(n_32151),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[19]_1619 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663618 (
+	.A(n_4960),
+	.B(n_31013),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[0]_1600 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663619 (
+	.A(n_4945),
+	.B(n_31990),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663620 (
+	.A(n_4944),
+	.B(n_31987),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663621 (
+	.A(n_4958),
+	.B(n_32072),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[21]_1489 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663622 (
+	.A(n_4948),
+	.B(n_31974),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663623 (
+	.A(n_4946),
+	.B(n_31971),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663624 (
+	.A(n_4983),
+	.B(n_31982),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[14]_1548 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663625 (
+	.A(n_4981),
+	.B(n_31979),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[11]_1545 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663626 (
+	.A(n_4949),
+	.B(n_31995),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663627 (
+	.A(n_4999),
+	.B(n_31972),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663628 (
+	.A(n_4947),
+	.B(n_31973),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663629 (
+	.A(n_4980),
+	.B(n_32006),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[6]_1540 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663630 (
+	.A(n_4978),
+	.B(n_32003),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[3]_1537 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663631 (
+	.A(n_4982),
+	.B(n_31981),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[13]_1547 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663632 (
+	.A(n_4951),
+	.B(n_31959),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663633 (
+	.A(n_4950),
+	.B(n_31997),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663634 (
+	.A(n_4984),
+	.B(n_32011),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[19]_1553 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663635 (
+	.A(n_4977),
+	.B(n_32000),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[0]_1534 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663636 (
+	.A(n_4986),
+	.B(n_31956),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[27]_1561 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663637 (
+	.A(n_4973),
+	.B(n_32034),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663638 (
+	.A(n_4971),
+	.B(n_32031),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663639 (
+	.A(n_4970),
+	.B(n_32050),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663640 (
+	.A(n_4968),
+	.B(n_32047),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663641 (
+	.A(n_4972),
+	.B(n_32033),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663642 (
+	.A(n_4985),
+	.B(n_32013),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[21]_1555 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663643 (
+	.A(n_4974),
+	.B(n_32055),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663644 (
+	.A(n_4967),
+	.B(n_32044),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663645 (
+	.A(n_4976),
+	.B(n_32019),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663646 (
+	.A(n_4975),
+	.B(n_32057),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663647 (
+	.A(n_4990),
+	.B(n_32021),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g663648 (
+	.A(n_3621),
+	.B(n_31958),
+	.C(n_3757),
+	.D(n_4929),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[31]_1565 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663649 (
+	.A(n_5011),
+	.B(n_4655),
+	.Y(n_5069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g663650 (
+	.A(n_3617),
+	.B(n_32027),
+	.C(n_3842),
+	.D(n_4930),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[31]_1499 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663651 (
+	.A(n_4992),
+	.B(n_32020),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g663652 (
+	.A(n_3618),
+	.B(n_4942),
+	.C(n_3826),
+	.D(n_4934),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[28]_1562 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g663653 (
+	.A1(n_32349),
+	.A2(n_563),
+	.B1(n_3835),
+	.C1(n_4932),
+	.D1(n_31966),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g663654 (
+	.A(n_3620),
+	.B(n_4941),
+	.C(n_3840),
+	.D(n_4931),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[28]_1496 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g663655 (
+	.A(n_3619),
+	.B(n_31965),
+	.C(n_3834),
+	.D(n_4933),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663656 (
+	.A(n_5000),
+	.B(n_31975),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g663657 (
+	.A1(n_4643),
+	.A2(n_31135),
+	.B1(n_354405_BAR),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [8]),
+	.X(n_5068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g663658 (
+	.A1(n_4645),
+	.A2(n_4939),
+	.B1(n_3325),
+	.B2(n_4590),
+	.Y(n_5073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g663659 (
+	.A1(n_3975),
+	.A2(n_4915),
+	.B1(n_32436),
+	.X(n_32439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g663660 (
+	.A1(n_4649),
+	.A2(n_4940),
+	.B1(n_3356),
+	.B2(n_4604),
+	.Y(n_5072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663661 (
+	.A(n_4993),
+	.B(n_4673),
+	.Y(n_5071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663662 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[7]),
+	.A2(n_476),
+	.B1(n_4912),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[71]),
+	.C1(n_33275),
+	.C2(n_3450),
+	.Y(n_5067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663664 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[9]),
+	.A2(n_476),
+	.B1(n_4912),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[73]),
+	.C1(n_33277),
+	.C2(n_3450),
+	.Y(n_5066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663665 (
+	.A(n_4940),
+	.B(n_4649),
+	.Y(n_5065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663666 (
+	.A(n_4939),
+	.B(n_4645),
+	.Y(n_5064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g663668 (
+	.A(n_3903),
+	.B(n_31957),
+	.C(n_4934),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[30]_1564 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g663669 (
+	.A1(n_32347),
+	.A2(n_563),
+	.B1(n_3856),
+	.C1(n_4929),
+	.D1(n_31952),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[29]_1563 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g663670 (
+	.A1(n_31127),
+	.A2(n_563),
+	.B1(n_3845),
+	.C1(n_4923),
+	.D1(n_31963),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g663671 (
+	.A(n_3962),
+	.B(n_31961),
+	.C(n_4932),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g663672 (
+	.A(n_3961),
+	.B(n_31964),
+	.C(n_4933),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g663673 (
+	.A(n_3966),
+	.B(n_32026),
+	.C(n_4931),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[30]_1498 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g663674 (
+	.A1(n_31125),
+	.A2(n_563),
+	.B1(n_3841),
+	.C1(n_4930),
+	.D1(n_31951),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[29]_1497 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g663675 (
+	.A(n_4861),
+	.B(n_4935),
+	.Y(n_5063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g663676 (
+	.A(n_4857),
+	.B(n_4936),
+	.Y(n_5062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663677 (
+	.A(n_4547),
+	.B(n_32001),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[33]_1567 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663678 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[26]),
+	.A2(n_3625),
+	.B1(n_4911),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[122]),
+	.C1(n_33301),
+	.C2(n_3445),
+	.Y(n_5061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663679 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[25]),
+	.A2(n_3625),
+	.B1(n_4911),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[121]),
+	.C1(n_33299),
+	.C2(n_3445),
+	.Y(n_5060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663680 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[24]),
+	.A2(n_3625),
+	.B1(n_4911),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[120]),
+	.C1(n_33295),
+	.C2(n_3445),
+	.Y(n_5059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663681 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[23]),
+	.A2(n_3625),
+	.B1(n_4911),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[119]),
+	.C1(n_33291),
+	.C2(n_3445),
+	.Y(n_5058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663682 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[20]),
+	.A2(n_3625),
+	.B1(n_4911),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[116]),
+	.C1(n_33288),
+	.C2(n_3445),
+	.Y(n_5057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663683 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[18]),
+	.A2(n_3625),
+	.B1(n_4911),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[114]),
+	.C1(n_33286),
+	.C2(n_3445),
+	.Y(n_5056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663684 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[17]),
+	.A2(n_3625),
+	.B1(n_4911),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[113]),
+	.C1(n_33285),
+	.C2(n_3445),
+	.Y(n_5055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663685 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[16]),
+	.A2(n_3625),
+	.B1(n_4911),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[112]),
+	.C1(n_33284),
+	.C2(n_3445),
+	.Y(n_5054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663686 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[15]),
+	.A2(n_3625),
+	.B1(n_4911),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[111]),
+	.C1(n_33283),
+	.C2(n_3445),
+	.Y(n_5053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663687 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[12]),
+	.A2(n_3625),
+	.B1(n_4911),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[108]),
+	.C1(n_33280),
+	.C2(n_3445),
+	.Y(n_5052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663688 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[10]),
+	.A2(n_3625),
+	.B1(n_4911),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[106]),
+	.C1(n_33278),
+	.C2(n_3445),
+	.Y(n_5051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663689 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[9]),
+	.A2(n_3625),
+	.B1(n_4911),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[105]),
+	.C1(n_33277),
+	.C2(n_3445),
+	.Y(n_5050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663690 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[8]),
+	.A2(n_3625),
+	.B1(n_4911),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[104]),
+	.C1(n_33276),
+	.C2(n_3445),
+	.Y(n_5049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663691 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[7]),
+	.A2(n_3625),
+	.B1(n_4911),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[103]),
+	.C1(n_33275),
+	.C2(n_3445),
+	.Y(n_5048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g663692 (
+	.A1(n_32314),
+	.A2(n_3444),
+	.B1(n_3626),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[60]),
+	.C1(n_2552),
+	.X(brqrv_top_brqrv_dma_ctrl_fifo_data_in[60]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663693 (
+	.A(n_4561),
+	.B(n_32045),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[33]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663694 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[26]),
+	.A2(n_3626),
+	.B1(n_4910),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[58]),
+	.C1(n_33301),
+	.C2(n_3444),
+	.Y(n_5047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663695 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[25]),
+	.A2(n_3626),
+	.B1(n_4910),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[57]),
+	.C1(n_33299),
+	.C2(n_3444),
+	.Y(n_5046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663696 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[24]),
+	.A2(n_3626),
+	.B1(n_4910),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[56]),
+	.C1(n_33295),
+	.C2(n_3444),
+	.Y(n_5045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663697 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[23]),
+	.A2(n_3626),
+	.B1(n_4910),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[55]),
+	.C1(n_33291),
+	.C2(n_3444),
+	.Y(n_5044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663698 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[22]),
+	.A2(n_3626),
+	.B1(n_4910),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[54]),
+	.C1(n_33290),
+	.C2(n_3444),
+	.Y(n_5043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663699 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[20]),
+	.A2(n_3626),
+	.B1(n_4910),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[52]),
+	.C1(n_33288),
+	.C2(n_3444),
+	.Y(n_5042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663700 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[18]),
+	.A2(n_3626),
+	.B1(n_4910),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[50]),
+	.C1(n_33286),
+	.C2(n_3444),
+	.Y(n_5041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663701 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[17]),
+	.A2(n_3626),
+	.B1(n_4910),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[49]),
+	.C1(n_33285),
+	.C2(n_3444),
+	.Y(n_5040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663702 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[16]),
+	.A2(n_3626),
+	.B1(n_4910),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[48]),
+	.C1(n_33284),
+	.C2(n_3444),
+	.Y(n_5039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663703 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[15]),
+	.A2(n_3626),
+	.B1(n_4910),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[47]),
+	.C1(n_33283),
+	.C2(n_3444),
+	.Y(n_5038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663704 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[12]),
+	.A2(n_3626),
+	.B1(n_4910),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[44]),
+	.C1(n_33280),
+	.C2(n_3444),
+	.Y(n_5037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663705 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[10]),
+	.A2(n_3626),
+	.B1(n_4910),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[42]),
+	.C1(n_33278),
+	.C2(n_3444),
+	.Y(n_5036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663706 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[9]),
+	.A2(n_3626),
+	.B1(n_4910),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[41]),
+	.C1(n_33277),
+	.C2(n_3444),
+	.Y(n_5035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663707 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[8]),
+	.A2(n_3626),
+	.B1(n_4910),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[40]),
+	.C1(n_33276),
+	.C2(n_3444),
+	.Y(n_5034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663708 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[7]),
+	.A2(n_3626),
+	.B1(n_4910),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[39]),
+	.C1(n_33275),
+	.C2(n_3444),
+	.Y(n_5033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663709 (
+	.A(n_4779),
+	.B(n_32076),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[60]_1660 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663710 (
+	.A(n_4558),
+	.B(n_31014),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[33]_1633 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663711 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[17]),
+	.A2(n_326),
+	.B1(n_4913),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[145]),
+	.C1(n_33285),
+	.C2(n_470),
+	.Y(n_5032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663712 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[16]),
+	.A2(n_326),
+	.B1(n_4913),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[144]),
+	.C1(n_33284),
+	.C2(n_470),
+	.Y(n_5031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663713 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[15]),
+	.A2(n_326),
+	.B1(n_4913),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[143]),
+	.C1(n_33283),
+	.C2(n_470),
+	.Y(n_5030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663714 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[12]),
+	.A2(n_326),
+	.B1(n_4913),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[140]),
+	.C1(n_33280),
+	.C2(n_470),
+	.Y(n_5029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663715 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[10]),
+	.A2(n_326),
+	.B1(n_4913),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[138]),
+	.C1(n_33278),
+	.C2(n_470),
+	.Y(n_5028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663716 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[9]),
+	.A2(n_326),
+	.B1(n_4913),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[137]),
+	.C1(n_33277),
+	.C2(n_470),
+	.Y(n_5027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663717 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[8]),
+	.A2(n_326),
+	.B1(n_4913),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[136]),
+	.C1(n_33276),
+	.C2(n_470),
+	.Y(n_5026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663718 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[7]),
+	.A2(n_326),
+	.B1(n_4913),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[135]),
+	.C1(n_33275),
+	.C2(n_470),
+	.Y(n_5025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663719 (
+	.A(n_4780),
+	.B(n_4941),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[60]_1528 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663720 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[26]),
+	.A2(n_476),
+	.B1(n_4912),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[90]),
+	.C1(n_33301),
+	.C2(n_3450),
+	.Y(n_5024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663721 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[25]),
+	.A2(n_476),
+	.B1(n_4912),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[89]),
+	.C1(n_33299),
+	.C2(n_3450),
+	.Y(n_5023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663722 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[24]),
+	.A2(n_476),
+	.B1(n_4912),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[88]),
+	.C1(n_33295),
+	.C2(n_3450),
+	.Y(n_5022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663723 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[23]),
+	.A2(n_476),
+	.B1(n_4912),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[87]),
+	.C1(n_33291),
+	.C2(n_3450),
+	.Y(n_5021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663724 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[22]),
+	.A2(n_476),
+	.B1(n_4912),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[86]),
+	.C1(n_33290),
+	.C2(n_3450),
+	.Y(n_5020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663725 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[20]),
+	.A2(n_476),
+	.B1(n_4912),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[84]),
+	.C1(n_33288),
+	.C2(n_3450),
+	.Y(n_5019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663726 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[18]),
+	.A2(n_476),
+	.B1(n_4912),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[82]),
+	.C1(n_33286),
+	.C2(n_3450),
+	.Y(n_5018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663727 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[17]),
+	.A2(n_476),
+	.B1(n_4912),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[81]),
+	.C1(n_33285),
+	.C2(n_3450),
+	.Y(n_5017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663728 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[16]),
+	.A2(n_476),
+	.B1(n_4912),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[80]),
+	.C1(n_33284),
+	.C2(n_3450),
+	.Y(n_5016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663729 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[15]),
+	.A2(n_476),
+	.B1(n_4912),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[79]),
+	.C1(n_33283),
+	.C2(n_3450),
+	.Y(n_5015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663730 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[12]),
+	.A2(n_476),
+	.B1(n_4912),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[76]),
+	.C1(n_33280),
+	.C2(n_3450),
+	.Y(n_5014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663731 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[10]),
+	.A2(n_476),
+	.B1(n_4912),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[74]),
+	.C1(n_33278),
+	.C2(n_3450),
+	.Y(n_5013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g663732 (
+	.A_N(brqrv_top_brqrv_exu_i0_pc_x[21]),
+	.B(n_4914),
+	.Y(n_31111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663733 (
+	.A(n_4915),
+	.B(n_3975),
+	.Y(n_32436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663734 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[8]),
+	.A2(n_476),
+	.B1(n_4912),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[72]),
+	.C1(n_33276),
+	.C2(n_3450),
+	.Y(n_5010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663735 (
+	.A(n_4556),
+	.B(n_31985),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663736 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[26]),
+	.A2(n_3627),
+	.B1(n_4909),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_708),
+	.C1(n_33301),
+	.C2(n_3446),
+	.Y(n_5009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663737 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[25]),
+	.A2(n_3627),
+	.B1(n_4909),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_703),
+	.C1(n_33299),
+	.C2(n_3446),
+	.Y(n_5008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663738 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[24]),
+	.A2(n_3627),
+	.B1(n_4909),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_698),
+	.C1(n_33295),
+	.C2(n_3446),
+	.Y(n_5007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663739 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[23]),
+	.A2(n_3627),
+	.B1(n_4909),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_693),
+	.C1(n_33291),
+	.C2(n_3446),
+	.Y(n_5006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663740 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[22]),
+	.A2(n_3627),
+	.B1(n_4909),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_688),
+	.C1(n_33290),
+	.C2(n_3446),
+	.Y(n_5005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663741 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[20]),
+	.A2(n_3627),
+	.B1(n_4909),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_678),
+	.C1(n_33288),
+	.C2(n_3446),
+	.Y(n_5004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663742 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[18]),
+	.A2(n_3627),
+	.B1(n_4909),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_668),
+	.C1(n_33286),
+	.C2(n_3446),
+	.Y(n_5003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663743 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[17]),
+	.A2(n_3627),
+	.B1(n_4909),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_663),
+	.C1(n_33285),
+	.C2(n_3446),
+	.Y(n_5002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663744 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[16]),
+	.A2(n_3627),
+	.B1(n_4909),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_658),
+	.C1(n_33284),
+	.C2(n_3446),
+	.Y(n_5001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663745 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[15]),
+	.A2(n_3627),
+	.B1(n_4909),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_653),
+	.C1(n_33283),
+	.C2(n_3446),
+	.Y(n_5000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663746 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[12]),
+	.A2(n_3627),
+	.B1(n_4909),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_638),
+	.C1(n_33280),
+	.C2(n_3446),
+	.Y(n_4999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663747 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[10]),
+	.A2(n_3627),
+	.B1(n_4909),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_628),
+	.C1(n_33278),
+	.C2(n_3446),
+	.Y(n_4998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663748 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[9]),
+	.A2(n_3627),
+	.B1(n_4909),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_623),
+	.C1(n_33277),
+	.C2(n_3446),
+	.Y(n_4997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663749 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[8]),
+	.A2(n_3627),
+	.B1(n_4909),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_618),
+	.C1(n_33276),
+	.C2(n_3446),
+	.Y(n_4996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663750 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[7]),
+	.A2(n_3627),
+	.B1(n_4909),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_613),
+	.C1(n_33275),
+	.C2(n_3446),
+	.Y(n_4995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663751 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[22]),
+	.A2(n_3625),
+	.B1(n_4911),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[118]),
+	.C1(n_33290),
+	.C2(n_3445),
+	.Y(n_4994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663752 (
+	.A(n_4781),
+	.B(n_4942),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[60]_1594 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663753 (
+	.A1(n_4844),
+	.A2(n_4925),
+	.B1(n_4674),
+	.Y(n_4993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663754 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[30]),
+	.A2(n_3626),
+	.B1(n_4910),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[62]),
+	.C1(n_33308),
+	.C2(n_3444),
+	.Y(n_4992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g663755 (
+	.A(n_4689),
+	.B(n_4918),
+	.C(n_4690),
+	.X(n_4991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663756 (
+	.A1(brqrv_top_brqrv_iccm_dma_rdata[31]),
+	.A2(n_3626),
+	.B1(n_4910),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[63]),
+	.C1(n_33316),
+	.C2(n_3444),
+	.Y(n_4990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663757 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[69]),
+	.A2(n_4912),
+	.B1(n_476),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[5]),
+	.C1(n_33273),
+	.C2(n_3450),
+	.Y(n_4989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663758 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[133]),
+	.A2(n_4913),
+	.B1(n_326),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[5]),
+	.C1(n_33273),
+	.C2(n_470),
+	.Y(n_4988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663759 (
+	.A1(brqrv_top_brqrv_dma_ctrl_n_603),
+	.A2(n_4909),
+	.B1(n_3627),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[5]),
+	.C1(n_33273),
+	.C2(n_3446),
+	.Y(n_4987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663760 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[123]),
+	.A2(n_4911),
+	.B1(n_3625),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[27]),
+	.C1(n_33302),
+	.C2(n_3445),
+	.Y(n_4986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663761 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[117]),
+	.A2(n_4911),
+	.B1(n_3625),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[21]),
+	.C1(n_33289),
+	.C2(n_3445),
+	.Y(n_4985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663762 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[115]),
+	.A2(n_4911),
+	.B1(n_3625),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[19]),
+	.C1(n_33287),
+	.C2(n_3445),
+	.Y(n_4984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663763 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[110]),
+	.A2(n_4911),
+	.B1(n_3625),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[14]),
+	.C1(n_33282),
+	.C2(n_3445),
+	.Y(n_4983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663764 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[109]),
+	.A2(n_4911),
+	.B1(n_3625),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[13]),
+	.C1(n_33281),
+	.C2(n_3445),
+	.Y(n_4982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663765 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[107]),
+	.A2(n_4911),
+	.B1(n_3625),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[11]),
+	.C1(n_33279),
+	.C2(n_3445),
+	.Y(n_4981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663766 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[102]),
+	.A2(n_4911),
+	.B1(n_3625),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[6]),
+	.C1(n_33274),
+	.C2(n_3445),
+	.Y(n_4980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663767 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[101]),
+	.A2(n_4911),
+	.B1(n_3625),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[5]),
+	.C1(n_33273),
+	.C2(n_3445),
+	.Y(n_4979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663768 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[99]),
+	.A2(n_4911),
+	.B1(n_3625),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[3]),
+	.C1(n_33271),
+	.C2(n_3445),
+	.Y(n_4978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663769 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[96]),
+	.A2(n_4911),
+	.B1(n_3625),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[0]),
+	.C1(n_33268),
+	.C2(n_3445),
+	.Y(n_4977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663770 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[59]),
+	.A2(n_4910),
+	.B1(n_3626),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[27]),
+	.C1(n_33302),
+	.C2(n_3444),
+	.Y(n_4976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663771 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[53]),
+	.A2(n_4910),
+	.B1(n_3626),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[21]),
+	.C1(n_33289),
+	.C2(n_3444),
+	.Y(n_4975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663772 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[51]),
+	.A2(n_4910),
+	.B1(n_3626),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[19]),
+	.C1(n_33287),
+	.C2(n_3444),
+	.Y(n_4974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663773 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[46]),
+	.A2(n_4910),
+	.B1(n_3626),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[14]),
+	.C1(n_33282),
+	.C2(n_3444),
+	.Y(n_4973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663774 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[45]),
+	.A2(n_4910),
+	.B1(n_3626),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[13]),
+	.C1(n_33281),
+	.C2(n_3444),
+	.Y(n_4972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663775 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[43]),
+	.A2(n_4910),
+	.B1(n_3626),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[11]),
+	.C1(n_33279),
+	.C2(n_3444),
+	.Y(n_4971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663776 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[38]),
+	.A2(n_4910),
+	.B1(n_3626),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[6]),
+	.C1(n_33274),
+	.C2(n_3444),
+	.Y(n_4970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663777 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[37]),
+	.A2(n_4910),
+	.B1(n_3626),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[5]),
+	.C1(n_33273),
+	.C2(n_3444),
+	.Y(n_4969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663778 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[35]),
+	.A2(n_4910),
+	.B1(n_3626),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[3]),
+	.C1(n_33271),
+	.C2(n_3444),
+	.Y(n_4968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663779 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[32]),
+	.A2(n_4910),
+	.B1(n_3626),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[0]),
+	.C1(n_33268),
+	.C2(n_3444),
+	.Y(n_4967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663780 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[147]),
+	.A2(n_4913),
+	.B1(n_326),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[19]),
+	.C1(n_33287),
+	.C2(n_470),
+	.Y(n_4966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663781 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[142]),
+	.A2(n_4913),
+	.B1(n_326),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[14]),
+	.C1(n_33282),
+	.C2(n_470),
+	.Y(n_4965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663782 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[141]),
+	.A2(n_4913),
+	.B1(n_326),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[13]),
+	.C1(n_33281),
+	.C2(n_470),
+	.Y(n_4964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663783 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[139]),
+	.A2(n_4913),
+	.B1(n_326),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[11]),
+	.C1(n_33279),
+	.C2(n_470),
+	.Y(n_4963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663784 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[134]),
+	.A2(n_4913),
+	.B1(n_326),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[6]),
+	.C1(n_33274),
+	.C2(n_470),
+	.Y(n_4962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663785 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[131]),
+	.A2(n_4913),
+	.B1(n_326),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[3]),
+	.C1(n_33271),
+	.C2(n_470),
+	.Y(n_4961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663786 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[128]),
+	.A2(n_4913),
+	.B1(n_326),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[0]),
+	.C1(n_33268),
+	.C2(n_470),
+	.Y(n_4960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663787 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[91]),
+	.A2(n_4912),
+	.B1(n_476),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[27]),
+	.C1(n_33302),
+	.C2(n_3450),
+	.Y(n_4959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663788 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[85]),
+	.A2(n_4912),
+	.B1(n_476),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[21]),
+	.C1(n_33289),
+	.C2(n_3450),
+	.Y(n_4958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663789 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[83]),
+	.A2(n_4912),
+	.B1(n_476),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[19]),
+	.C1(n_33287),
+	.C2(n_3450),
+	.Y(n_4957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663790 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[78]),
+	.A2(n_4912),
+	.B1(n_476),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[14]),
+	.C1(n_33282),
+	.C2(n_3450),
+	.Y(n_4956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663791 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[77]),
+	.A2(n_4912),
+	.B1(n_476),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[13]),
+	.C1(n_33281),
+	.C2(n_3450),
+	.Y(n_4955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663792 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[75]),
+	.A2(n_4912),
+	.B1(n_476),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[11]),
+	.C1(n_33279),
+	.C2(n_3450),
+	.Y(n_4954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663793 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[70]),
+	.A2(n_4912),
+	.B1(n_476),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[6]),
+	.C1(n_33274),
+	.C2(n_3450),
+	.Y(n_4953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663794 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[67]),
+	.A2(n_4912),
+	.B1(n_476),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[3]),
+	.C1(n_33271),
+	.C2(n_3450),
+	.Y(n_4952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663795 (
+	.A1(brqrv_top_brqrv_dma_ctrl_n_713),
+	.A2(n_4909),
+	.B1(n_3627),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[27]),
+	.C1(n_33302),
+	.C2(n_3446),
+	.Y(n_4951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663796 (
+	.A1(brqrv_top_brqrv_dma_ctrl_n_683),
+	.A2(n_4909),
+	.B1(n_3627),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[21]),
+	.C1(n_33289),
+	.C2(n_3446),
+	.Y(n_4950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663797 (
+	.A1(brqrv_top_brqrv_dma_ctrl_n_673),
+	.A2(n_4909),
+	.B1(n_3627),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[19]),
+	.C1(n_33287),
+	.C2(n_3446),
+	.Y(n_4949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663798 (
+	.A1(brqrv_top_brqrv_dma_ctrl_n_648),
+	.A2(n_4909),
+	.B1(n_3627),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[14]),
+	.C1(n_33282),
+	.C2(n_3446),
+	.Y(n_4948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663799 (
+	.A1(brqrv_top_brqrv_dma_ctrl_n_643),
+	.A2(n_4909),
+	.B1(n_3627),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[13]),
+	.C1(n_33281),
+	.C2(n_3446),
+	.Y(n_4947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663800 (
+	.A1(brqrv_top_brqrv_dma_ctrl_n_633),
+	.A2(n_4909),
+	.B1(n_3627),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[11]),
+	.C1(n_33279),
+	.C2(n_3446),
+	.Y(n_4946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663801 (
+	.A1(brqrv_top_brqrv_dma_ctrl_n_608),
+	.A2(n_4909),
+	.B1(n_3627),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[6]),
+	.C1(n_33274),
+	.C2(n_3446),
+	.Y(n_4945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663802 (
+	.A1(brqrv_top_brqrv_dma_ctrl_n_593),
+	.A2(n_4909),
+	.B1(n_3627),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[3]),
+	.C1(n_33271),
+	.C2(n_3446),
+	.Y(n_4944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g663803 (
+	.A1(brqrv_top_brqrv_dma_ctrl_n_578),
+	.A2(n_4909),
+	.B1(n_3627),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[0]),
+	.C1(n_33268),
+	.C2(n_3446),
+	.Y(n_4943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g663804 (
+	.A1(n_30852),
+	.A2(n_4922),
+	.B1(n_30851),
+	.B2(n_4545),
+	.Y(n_33178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663805 (
+	.A(n_4937),
+	.B(n_4872),
+	.Y(n_5012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g663806 (
+	.A(n_4710),
+	.B(n_4916),
+	.C(n_4711),
+	.X(n_5011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663809 (
+	.A(n_4928),
+	.B(n_31112),
+	.Y(n_31953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663810 (
+	.A(n_4928),
+	.B(n_3260),
+	.Y(n_32007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663811 (
+	.A(n_4928),
+	.B(n_3262),
+	.Y(n_32006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663812 (
+	.A(n_4928),
+	.B(n_3263),
+	.Y(n_32005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663813 (
+	.A(n_4928),
+	.B(n_3264),
+	.Y(n_32003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663814 (
+	.A(n_4928),
+	.B(n_3255),
+	.Y(n_32000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663815 (
+	.A(n_4927),
+	.B(n_3259),
+	.Y(n_32045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663816 (
+	.A(n_4927),
+	.B(n_3260),
+	.Y(n_32051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663817 (
+	.A(n_4927),
+	.B(n_3262),
+	.Y(n_32050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663818 (
+	.A(n_4927),
+	.B(n_3263),
+	.Y(n_32049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663819 (
+	.A(n_4927),
+	.B(n_3264),
+	.Y(n_32047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663820 (
+	.A(n_4927),
+	.B(n_3255),
+	.Y(n_32044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663821 (
+	.A(n_4921),
+	.B(n_3259),
+	.Y(n_31014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663822 (
+	.A(n_4921),
+	.B(n_3260),
+	.Y(n_31015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663823 (
+	.A(n_4921),
+	.B(n_3262),
+	.Y(n_32148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663824 (
+	.A(n_4921),
+	.B(n_3263),
+	.Y(n_32147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663825 (
+	.A(n_4921),
+	.B(n_3264),
+	.Y(n_32145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663826 (
+	.A(n_4921),
+	.B(n_3255),
+	.Y(n_31013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663827 (
+	.A(n_39316),
+	.B(n_3260),
+	.Y(n_32066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663828 (
+	.A(n_39316),
+	.B(n_3262),
+	.Y(n_32065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663829 (
+	.A(n_39316),
+	.B(n_3263),
+	.Y(n_32064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663830 (
+	.A(n_39316),
+	.B(n_3264),
+	.Y(n_32062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663831 (
+	.A(n_39317),
+	.B(n_3259),
+	.Y(n_31985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663832 (
+	.A(n_39317),
+	.B(n_3260),
+	.Y(n_31991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663833 (
+	.A(n_39317),
+	.B(n_3262),
+	.Y(n_31990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663834 (
+	.A(n_39317),
+	.B(n_3263),
+	.Y(n_31989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663835 (
+	.A(n_39317),
+	.B(n_3264),
+	.Y(n_31987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663836 (
+	.A(n_39317),
+	.B(n_3255),
+	.Y(n_31984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663837 (
+	.A(n_4928),
+	.B(n_3480),
+	.Y(n_4942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663838 (
+	.A(n_4928),
+	.B(n_32325),
+	.Y(n_31958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663839 (
+	.A(n_4928),
+	.B(n_32324),
+	.Y(n_31957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663840 (
+	.A(n_4928),
+	.B(n_31116),
+	.Y(n_31952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663841 (
+	.A(n_4928),
+	.B(n_31115),
+	.Y(n_31956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663842 (
+	.A(n_4928),
+	.B(n_31114),
+	.Y(n_31955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663843 (
+	.A(n_4928),
+	.B(n_31113),
+	.Y(n_31954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663844 (
+	.A(n_4928),
+	.B(n_3259),
+	.Y(n_32001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663845 (
+	.A(n_4928),
+	.B(n_32332),
+	.Y(n_32015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663846 (
+	.A(n_4928),
+	.B(n_32329),
+	.Y(n_32014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663847 (
+	.A(n_4928),
+	.B(n_32328),
+	.Y(n_32013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663848 (
+	.A(n_4928),
+	.B(n_32327),
+	.Y(n_32012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663849 (
+	.A(n_4928),
+	.B(n_3178),
+	.Y(n_32011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663850 (
+	.A(n_4928),
+	.B(n_32326),
+	.Y(n_32010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663851 (
+	.A(n_4928),
+	.B(n_3177),
+	.Y(n_32009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663852 (
+	.A(n_4928),
+	.B(n_3179),
+	.Y(n_32008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663854 (
+	.A(n_4927),
+	.B(n_32325),
+	.Y(n_32021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663855 (
+	.A(n_4927),
+	.B(n_32324),
+	.Y(n_32020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663856 (
+	.A(n_4927),
+	.B(n_31116),
+	.Y(n_31963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663857 (
+	.A(n_4927),
+	.B(n_31115),
+	.Y(n_32019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663858 (
+	.A(n_4927),
+	.B(n_31114),
+	.Y(n_32018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663859 (
+	.A(n_4927),
+	.B(n_31113),
+	.Y(n_32017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663860 (
+	.A(n_4927),
+	.B(n_32332),
+	.Y(n_32059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663861 (
+	.A(n_4927),
+	.B(n_31112),
+	.Y(n_32016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663862 (
+	.A(n_4927),
+	.B(n_32329),
+	.Y(n_32058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663863 (
+	.A(n_4927),
+	.B(n_32328),
+	.Y(n_32057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663864 (
+	.A(n_4927),
+	.B(n_32327),
+	.Y(n_32056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663865 (
+	.A(n_4927),
+	.B(n_3178),
+	.Y(n_32055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663866 (
+	.A(n_4927),
+	.B(n_32326),
+	.Y(n_32054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663867 (
+	.A(n_4927),
+	.B(n_3177),
+	.Y(n_32053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663868 (
+	.A(n_4927),
+	.B(n_3179),
+	.Y(n_32052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663869 (
+	.A(n_4921),
+	.B(n_3480),
+	.Y(n_32076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663870 (
+	.A(n_4921),
+	.B(n_3178),
+	.Y(n_32151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663871 (
+	.A(n_4921),
+	.B(n_3177),
+	.Y(n_32149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663872 (
+	.A(n_4921),
+	.B(n_3179),
+	.Y(n_31016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663873 (
+	.A(n_39316),
+	.B(n_3480),
+	.Y(n_4941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663874 (
+	.A(n_39316),
+	.B(n_32325),
+	.Y(n_32027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663875 (
+	.A(n_39316),
+	.B(n_32324),
+	.Y(n_32026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663876 (
+	.A(n_39316),
+	.B(n_31116),
+	.Y(n_31951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663877 (
+	.A(n_39316),
+	.B(n_31115),
+	.Y(n_32025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663878 (
+	.A(n_39316),
+	.B(n_31114),
+	.Y(n_32024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663879 (
+	.A(n_39316),
+	.B(n_31113),
+	.Y(n_32023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g663880 (
+	.A1(n_4666),
+	.A2(n_4890),
+	.B1(n_4871),
+	.C1(n_4904),
+	.Y(n_4937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g663881 (
+	.A1(n_4900),
+	.A2(n_4727),
+	.B1(n_4855),
+	.C1(n_4856),
+	.Y(n_4936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g663882 (
+	.A1(n_4897),
+	.A2(n_4721),
+	.B1(n_4859),
+	.C1(n_4860),
+	.Y(n_4935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g663883 (
+	.A1(n_2877),
+	.A2(n_2866),
+	.B1(brqrv_top_brqrv_dec_dec_ib0_valid_d),
+	.C1(n_32536),
+	.Y(n_32526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663884 (
+	.A(n_4927),
+	.B(n_3469),
+	.Y(n_32029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663885 (
+	.A(n_39316),
+	.B(n_32326),
+	.Y(n_32069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663886 (
+	.A(n_39316),
+	.B(n_3177),
+	.Y(n_32068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663887 (
+	.A(n_39316),
+	.B(n_3179),
+	.Y(n_32067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663888 (
+	.A(n_39317),
+	.B(n_32325),
+	.Y(n_31961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663889 (
+	.A(n_39317),
+	.B(n_31116),
+	.Y(n_31966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663890 (
+	.A(n_39317),
+	.B(n_32324),
+	.Y(n_31964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663891 (
+	.A(n_39317),
+	.B(n_3480),
+	.Y(n_31965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663892 (
+	.A(n_39317),
+	.B(n_31115),
+	.Y(n_31959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663893 (
+	.A(n_39317),
+	.B(n_31114),
+	.Y(n_31967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663894 (
+	.A(n_39317),
+	.B(n_31113),
+	.Y(n_31960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663895 (
+	.A(n_39317),
+	.B(n_31112),
+	.Y(n_31962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663896 (
+	.A(n_39317),
+	.B(n_32332),
+	.Y(n_31999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663897 (
+	.A(n_39317),
+	.B(n_32329),
+	.Y(n_31998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663898 (
+	.A(n_39317),
+	.B(n_32328),
+	.Y(n_31997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663899 (
+	.A(n_39317),
+	.B(n_32327),
+	.Y(n_31996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663900 (
+	.A(n_39317),
+	.B(n_3178),
+	.Y(n_31995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663901 (
+	.A(n_39317),
+	.B(n_32326),
+	.Y(n_31994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663902 (
+	.A(n_39317),
+	.B(n_3177),
+	.Y(n_31993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663903 (
+	.A(n_39317),
+	.B(n_3179),
+	.Y(n_31992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663904 (
+	.A(n_4928),
+	.B(n_3481),
+	.Y(n_31982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663905 (
+	.A(n_4928),
+	.B(n_3482),
+	.Y(n_31983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663906 (
+	.A(n_4928),
+	.B(n_3471),
+	.Y(n_31981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663907 (
+	.A(n_4928),
+	.B(n_3467),
+	.Y(n_31980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663908 (
+	.A(n_4928),
+	.B(n_3466),
+	.Y(n_31979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663909 (
+	.A(n_4928),
+	.B(n_3468),
+	.Y(n_31978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663910 (
+	.A(n_4928),
+	.B(n_3469),
+	.Y(n_31977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663911 (
+	.A(n_4928),
+	.B(n_3479),
+	.Y(n_31976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663912 (
+	.A(n_4927),
+	.B(n_3482),
+	.Y(n_32035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663913 (
+	.A(n_4927),
+	.B(n_3481),
+	.Y(n_32034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663914 (
+	.A(n_4927),
+	.B(n_3471),
+	.Y(n_32033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663915 (
+	.A(n_4927),
+	.B(n_3467),
+	.Y(n_32032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663916 (
+	.A(n_4927),
+	.B(n_3466),
+	.Y(n_32031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663917 (
+	.A(n_4927),
+	.B(n_3468),
+	.Y(n_32030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663918 (
+	.A(n_39316),
+	.B(n_3178),
+	.Y(n_32070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663919 (
+	.A(n_4927),
+	.B(n_3479),
+	.Y(n_32028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663920 (
+	.A(n_4921),
+	.B(n_3482),
+	.Y(n_31012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663921 (
+	.A(n_4921),
+	.B(n_3481),
+	.Y(n_32143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663922 (
+	.A(n_4921),
+	.B(n_3471),
+	.Y(n_32142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663923 (
+	.A(n_4921),
+	.B(n_3467),
+	.Y(n_32141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663924 (
+	.A(n_4921),
+	.B(n_3466),
+	.Y(n_32140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663925 (
+	.A(n_4921),
+	.B(n_3468),
+	.Y(n_32139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663926 (
+	.A(n_4921),
+	.B(n_3469),
+	.Y(n_31011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663927 (
+	.A(n_4921),
+	.B(n_3479),
+	.Y(n_31010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663928 (
+	.A(n_39316),
+	.B(n_3482),
+	.Y(n_32043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663929 (
+	.A(n_39316),
+	.B(n_3481),
+	.Y(n_32042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663930 (
+	.A(n_39316),
+	.B(n_3471),
+	.Y(n_32041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663931 (
+	.A(n_39316),
+	.B(n_3467),
+	.Y(n_32040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663932 (
+	.A(n_39316),
+	.B(n_3466),
+	.Y(n_32039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663933 (
+	.A(n_39316),
+	.B(n_3468),
+	.Y(n_32038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663934 (
+	.A(n_39316),
+	.B(n_3469),
+	.Y(n_32037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663935 (
+	.A(n_39316),
+	.B(n_3479),
+	.Y(n_32036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663936 (
+	.A(n_39317),
+	.B(n_3482),
+	.Y(n_31975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663937 (
+	.A(n_39317),
+	.B(n_3481),
+	.Y(n_31974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663938 (
+	.A(n_39317),
+	.B(n_3471),
+	.Y(n_31973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663939 (
+	.A(n_39317),
+	.B(n_3467),
+	.Y(n_31972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663940 (
+	.A(n_39317),
+	.B(n_3466),
+	.Y(n_31971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663941 (
+	.A(n_39317),
+	.B(n_3468),
+	.Y(n_31970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663942 (
+	.A(n_39317),
+	.B(n_3469),
+	.Y(n_31969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663943 (
+	.A(n_39317),
+	.B(n_3479),
+	.Y(n_31968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663944 (
+	.A(n_39316),
+	.B(n_32327),
+	.Y(n_32071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663945 (
+	.A1(n_4894),
+	.A2(n_4907),
+	.B1(n_4853),
+	.Y(n_4940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g663946 (
+	.A1(n_4893),
+	.A2(n_4908),
+	.B1(n_4848),
+	.Y(n_4939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663947 (
+	.A(n_39316),
+	.B(n_31112),
+	.Y(n_32022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663948 (
+	.A(n_39316),
+	.B(n_32328),
+	.Y(n_32072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663949 (
+	.A(n_39316),
+	.B(n_32329),
+	.Y(n_32073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663950 (
+	.A(n_39316),
+	.B(n_32332),
+	.Y(n_32074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g663951 (
+	.A1(n_3768),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [7]),
+	.B1(n_4917),
+	.Y(n_4938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g663952 (
+	.A(n_4928),
+	.Y(n_31094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g663953 (
+	.A(n_4927),
+	.Y(n_31090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663954 (
+	.A1(n_39318),
+	.A2(n_32491),
+	.B1(n_4402),
+	.B2(n_31368),
+	.C1(n_4822),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[69]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663955 (
+	.A1(n_4839),
+	.A2(n_31375),
+	.B1(n_2681),
+	.B2(n_31373),
+	.C1(n_4834),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[128]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663956 (
+	.A1(n_39318),
+	.A2(n_31378),
+	.B1(n_4402),
+	.B2(n_31376),
+	.C1(n_4803),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[165]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663957 (
+	.A1(n_39318),
+	.A2(n_32489),
+	.B1(n_4402),
+	.B2(n_32488),
+	.C1(n_4801),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[197]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663958 (
+	.A1(n_39318),
+	.A2(n_31375),
+	.B1(n_4402),
+	.B2(n_31373),
+	.C1(n_4835),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[133]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111o_1 g663959 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank1_rd_data_f [1]),
+	.A2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[1]),
+	.B1(n_4899),
+	.C1(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.sv2v_tmp_F09CF [3]),
+	.D1(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.sv2v_tmp_F09CF [2]),
+	.X(brqrv_top_brqrv_ifu_ifu_bp_hist1_f[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663960 (
+	.A1(n_4839),
+	.A2(n_31350),
+	.B1(n_2681),
+	.B2(n_31348),
+	.C1(n_4773),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[384]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663961 (
+	.A1(n_39318),
+	.A2(n_31350),
+	.B1(n_4402),
+	.B2(n_31348),
+	.C1(n_4774),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[389]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663962 (
+	.A1(n_39318),
+	.A2(n_32473),
+	.B1(n_4402),
+	.B2(n_31326),
+	.C1(n_4778),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[645]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g663963 (
+	.A1(n_4768),
+	.A2(brqrv_top_brqrv_exu_i0_pc_x[20]),
+	.B1(n_4914),
+	.Y(n_4926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663964 (
+	.A1(n_39318),
+	.A2(n_31353),
+	.B1(n_4402),
+	.B2(n_31351),
+	.C1(n_4842),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[357]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663965 (
+	.A1(n_39318),
+	.A2(n_31359),
+	.B1(n_4402),
+	.B2(n_31357),
+	.C1(n_4876),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[293]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663966 (
+	.A1(n_39318),
+	.A2(n_32475),
+	.B1(n_4402),
+	.B2(n_31327),
+	.C1(n_4879),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[805]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663967 (
+	.A1(n_39318),
+	.A2(n_32487),
+	.B1(n_4402),
+	.B2(n_32485),
+	.C1(n_4881),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[837]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663968 (
+	.A1(n_39318),
+	.A2(n_31335),
+	.B1(n_4402),
+	.B2(n_31333),
+	.C1(n_4829),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[261]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663969 (
+	.A1(n_39318),
+	.A2(n_31329),
+	.B1(n_4402),
+	.B2(n_32476),
+	.C1(n_4826),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[773]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663970 (
+	.A1(n_39318),
+	.A2(n_31344),
+	.B1(n_4402),
+	.B2(n_31342),
+	.C1(n_4824),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[485]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663971 (
+	.A1(n_4839),
+	.A2(n_31335),
+	.B1(n_2681),
+	.B2(n_31333),
+	.C1(n_4828),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[256]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g663972 (
+	.A1(n_3504),
+	.A2(n_4898),
+	.B1(n_4895),
+	.X(n_4925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663973 (
+	.A1(n_39318),
+	.A2(n_31369),
+	.B1(n_4402),
+	.B2(n_32492),
+	.C1(n_4820),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[37]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663974 (
+	.A1(n_39318),
+	.A2(n_31372),
+	.B1(n_4402),
+	.B2(n_31370),
+	.C1(n_4887),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[101]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663975 (
+	.A1(n_39318),
+	.A2(n_32467),
+	.B1(n_4402),
+	.B2(n_32465),
+	.C1(n_4816),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[901]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663976 (
+	.A1(n_39318),
+	.A2(n_31362),
+	.B1(n_4402),
+	.B2(n_31360),
+	.C1(n_4791),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[453]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663977 (
+	.A1(n_39318),
+	.A2(n_31341),
+	.B1(n_4402),
+	.B2(n_31339),
+	.C1(n_4797),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[517]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663978 (
+	.A1(n_39318),
+	.A2(n_31347),
+	.B1(n_4402),
+	.B2(n_31345),
+	.C1(n_4794),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[421]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663979 (
+	.A(n_4911),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_addr[126]),
+	.Y(n_4934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663980 (
+	.A(n_4909),
+	.B(brqrv_top_brqrv_dma_ctrl_n_718),
+	.Y(n_4933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663981 (
+	.A(n_4909),
+	.B(brqrv_top_brqrv_dma_ctrl_n_723),
+	.Y(n_4932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663982 (
+	.A(n_4912),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_addr[94]),
+	.Y(n_4931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663983 (
+	.A(n_4912),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_addr[95]),
+	.Y(n_4930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663984 (
+	.A(n_4910),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_addr[62]),
+	.Y(n_4924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663985 (
+	.A(n_4910),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_addr[63]),
+	.Y(n_4923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g663986 (
+	.A_N(n_32564),
+	.B(brqrv_top_brqrv_dma_ctrl_n_1319),
+	.Y(n_32536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g663987 (
+	.A(n_4911),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_addr[127]),
+	.Y(n_4929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_2 g663988 (
+	.A(n_32346),
+	.B(n_32771),
+	.C(n_4911),
+	.Y(n_4928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_2 g663989 (
+	.A(n_31126),
+	.B(n_32771),
+	.C(n_4910),
+	.Y(n_4927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g663990 (
+	.A(n_4922),
+	.Y(brqrv_top_brqrv_lsu_bus_read_data_m[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g663992 (
+	.A(n_39316),
+	.Y(n_31096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g663993 (
+	.A(n_39317),
+	.Y(n_31092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g663994 (
+	.A1_N(n_4687),
+	.A2_N(n_4892),
+	.B1(n_4714),
+	.B2(n_4896),
+	.Y(n_4918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663995 (
+	.A1(n_39318),
+	.A2(n_31381),
+	.B1(n_4402),
+	.B2(n_31379),
+	.C1(n_4776),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[229]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663996 (
+	.A1(n_39318),
+	.A2(n_32470),
+	.B1(n_4402),
+	.B2(n_32468),
+	.C1(n_4849),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[869]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663997 (
+	.A1(n_39318),
+	.A2(n_32484),
+	.B1(n_4402),
+	.B2(n_31366),
+	.C1(n_4888),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[965]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663998 (
+	.A1(n_39318),
+	.A2(n_31321),
+	.B1(n_4402),
+	.B2(n_31319),
+	.C1(n_4838),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[933]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g663999 (
+	.A1(n_4839),
+	.A2(n_31329),
+	.B1(n_2681),
+	.B2(n_32476),
+	.C1(n_4825),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[768]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664000 (
+	.A1(n_39318),
+	.A2(n_31365),
+	.B1(n_4402),
+	.B2(n_31363),
+	.C1(n_4832),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[581]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664001 (
+	.A1(n_39318),
+	.A2(n_31338),
+	.B1(n_4402),
+	.B2(n_31336),
+	.C1(n_4830),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[549]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664002 (
+	.A1(n_39318),
+	.A2(n_31330),
+	.B1(n_4402),
+	.B2(n_32480),
+	.C1(n_4787),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[709]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664003 (
+	.A1(n_39318),
+	.A2(n_31332),
+	.B1(n_4402),
+	.B2(n_32482),
+	.C1(n_4784),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[677]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664004 (
+	.A1(n_4839),
+	.A2(n_31347),
+	.B1(n_2681),
+	.B2(n_31345),
+	.C1(n_4793),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[416]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664005 (
+	.A1(n_4839),
+	.A2(n_31362),
+	.B1(n_2681),
+	.B2(n_31360),
+	.C1(n_4796),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[448]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g664006 (
+	.A1(n_32424),
+	.A2(n_2563),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [7]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [0]),
+	.X(n_4917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664007 (
+	.A1(n_4839),
+	.A2(n_32491),
+	.B1(n_2681),
+	.B2(n_31368),
+	.C1(n_4821),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[64]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664008 (
+	.A1(n_4839),
+	.A2(n_31369),
+	.B1(n_2681),
+	.B2(n_32492),
+	.C1(n_4819),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[32]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664009 (
+	.A1(n_39318),
+	.A2(n_31324),
+	.B1(n_4402),
+	.B2(n_31322),
+	.C1(n_4863),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[997]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664010 (
+	.A1(n_4839),
+	.A2(n_32489),
+	.B1(n_2681),
+	.B2(n_32488),
+	.C1(n_4800),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[192]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664011 (
+	.A1(n_4839),
+	.A2(n_31378),
+	.B1(n_2681),
+	.B2(n_31376),
+	.C1(n_4799),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[160]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664012 (
+	.A1(n_4839),
+	.A2(n_32475),
+	.B1(n_2681),
+	.B2(n_31327),
+	.C1(n_4880),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[800]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664013 (
+	.A1(n_4839),
+	.A2(n_32487),
+	.B1(n_2681),
+	.B2(n_32485),
+	.C1(n_4882),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[832]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664014 (
+	.A1(n_4839),
+	.A2(n_32467),
+	.B1(n_2681),
+	.B2(n_32465),
+	.C1(n_4815),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[896]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664015 (
+	.A1(n_4839),
+	.A2(n_32473),
+	.B1(n_2681),
+	.B2(n_31326),
+	.C1(n_4777),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[640]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664016 (
+	.A1(n_4839),
+	.A2(n_31372),
+	.B1(n_2681),
+	.B2(n_31370),
+	.C1(n_4886),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[96]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664017 (
+	.A1(n_39318),
+	.A2(n_31318),
+	.B1(n_4402),
+	.B2(n_31316),
+	.C1(n_4873),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[613]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664018 (
+	.A1(n_4839),
+	.A2(n_31341),
+	.B1(n_2681),
+	.B2(n_31339),
+	.C1(n_4798),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[512]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664019 (
+	.A1(n_39318),
+	.A2(n_32479),
+	.B1(n_4402),
+	.B2(n_32477),
+	.C1(n_4817),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[741]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664020 (
+	.A1(n_4891),
+	.A2(n_4702),
+	.B1(n_4905),
+	.Y(n_4916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664021 (
+	.A1(n_4839),
+	.A2(n_31318),
+	.B1(n_2681),
+	.B2(n_31316),
+	.C1(n_4874),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[608]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664022 (
+	.A1(n_4839),
+	.A2(n_31365),
+	.B1(n_2681),
+	.B2(n_31363),
+	.C1(n_4833),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[576]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664023 (
+	.A1(n_4839),
+	.A2(n_31338),
+	.B1(n_2681),
+	.B2(n_31336),
+	.C1(n_4831),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[544]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664024 (
+	.A1(n_4839),
+	.A2(n_31330),
+	.B1(n_2681),
+	.B2(n_32480),
+	.C1(n_4786),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[704]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664025 (
+	.A1(n_4839),
+	.A2(n_32479),
+	.B1(n_2681),
+	.B2(n_32477),
+	.C1(n_4818),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[736]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664026 (
+	.A1(n_444),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[3]),
+	.B1(n_2709),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[3]),
+	.C1(n_4906),
+	.Y(n_4922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664027 (
+	.A1(n_4767),
+	.A2(n_3974),
+	.B1(n_4915),
+	.Y(n_31135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g664028 (
+	.A(n_31128),
+	.B(n_32771),
+	.C(n_4913),
+	.Y(n_4921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g664031 (
+	.A(n_4913),
+	.Y(n_32339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g664032 (
+	.A(n_4912),
+	.Y(n_32333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g664033 (
+	.A(n_4911),
+	.Y(n_32337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g664034 (
+	.A(n_4910),
+	.Y(n_32335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g664035 (
+	.A(n_4909),
+	.Y(n_32341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g664036 (
+	.A(n_4717),
+	.B(n_4845),
+	.C_N(n_4718),
+	.Y(n_4908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664037 (
+	.A1(n_39318),
+	.A2(n_31356),
+	.B1(n_4402),
+	.B2(n_31354),
+	.C1(n_4875),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[325]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664038 (
+	.A1(n_4839),
+	.A2(n_31359),
+	.B1(n_2681),
+	.B2(n_31357),
+	.C1(n_4877),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[288]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g664039 (
+	.A(n_4724),
+	.B(n_4850),
+	.C_N(n_4725),
+	.Y(n_4907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g664040 (
+	.A1(brqrv_top_brqrv_dma_ctrl_dma_mem_addr_in_pic),
+	.A2(brqrv_top_brqrv_dma_ctrl_dma_mem_addr_in_dccm),
+	.B1_N(n_32565),
+	.Y(n_32564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664041 (
+	.A(n_3974),
+	.B(n_4767),
+	.Y(n_4915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664042 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[20]),
+	.B(n_4768),
+	.Y(n_4914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664043 (
+	.A(n_31128),
+	.B(n_32340),
+	.Y(n_4913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664044 (
+	.A(n_31124),
+	.B(n_32334),
+	.Y(n_4912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664045 (
+	.A(n_32346),
+	.B(n_32338),
+	.Y(n_4911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664046 (
+	.A(n_31126),
+	.B(n_32336),
+	.Y(n_4910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664047 (
+	.A(n_32348),
+	.B(n_32342),
+	.Y(n_4909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g664048 (
+	.A(n_4048),
+	.B(n_3569),
+	.C(n_4770),
+	.X(n_4906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664049 (
+	.A1(n_4839),
+	.A2(n_31353),
+	.B1(n_2681),
+	.B2(n_31351),
+	.C1(n_4841),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[352]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664050 (
+	.A1(n_4839),
+	.A2(n_31344),
+	.B1(n_2681),
+	.B2(n_31342),
+	.C1(n_4823),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[480]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664051 (
+	.A(n_4715),
+	.B(n_4901),
+	.Y(n_4905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664052 (
+	.A(n_4902),
+	.B(n_4719),
+	.Y(n_4904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664053 (
+	.A1(n_4839),
+	.A2(n_32470),
+	.B1(n_2681),
+	.B2(n_32468),
+	.C1(n_4862),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[864]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664054 (
+	.A1(n_4839),
+	.A2(n_31356),
+	.B1(n_2681),
+	.B2(n_31354),
+	.C1(n_4878),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[320]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664055 (
+	.A1(n_4839),
+	.A2(n_31332),
+	.B1(n_2681),
+	.B2(n_32482),
+	.C1(n_4783),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[672]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664056 (
+	.A1(n_4839),
+	.A2(n_31324),
+	.B1(n_2681),
+	.B2(n_31322),
+	.C1(n_4864),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[992]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664057 (
+	.A1(n_4839),
+	.A2(n_32484),
+	.B1(n_2681),
+	.B2(n_31366),
+	.C1(n_4889),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[960]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664058 (
+	.A1(n_4839),
+	.A2(n_31321),
+	.B1(n_2681),
+	.B2(n_31319),
+	.C1(n_4837),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[928]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664059 (
+	.A1(n_4839),
+	.A2(n_31381),
+	.B1(n_2681),
+	.B2(n_31379),
+	.C1(n_4771),
+	.Y(brqrv_top_brqrv_dec_arf_gpr_in[224]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g664060 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[5]),
+	.B(brqrv_top_brqrv_dec_decode_last_br_immed_x[5]),
+	.CIN(n_4597),
+	.COUT(n_4903),
+	.SUM(brqrv_top_brqrv_pred_correct_npc_x[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664061 (
+	.A(n_4890),
+	.B(n_4666),
+	.Y(n_4902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664062 (
+	.A(n_4702),
+	.B(n_4891),
+	.Y(n_4901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664063 (
+	.A(n_33351),
+	.B(n_5424),
+	.Y(n_32340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664064 (
+	.A(n_33351),
+	.B(n_465),
+	.Y(n_32334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664065 (
+	.A(n_33351),
+	.B(n_464),
+	.Y(n_32338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g664066 (
+	.A(n_3420),
+	.B(n_3498),
+	.C(n_3499),
+	.D(n_33351),
+	.X(n_32565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664067 (
+	.A(n_33351),
+	.B(n_463),
+	.Y(n_32342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664068 (
+	.A(n_33351),
+	.B(n_5457),
+	.Y(n_32336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664069 (
+	.A(n_4726),
+	.B(n_4854),
+	.Y(n_4900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a41oi_1 g664070 (
+	.A1(n_4610),
+	.A2(n_4574),
+	.A3(n_4518),
+	.A4(n_4519),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[1]),
+	.Y(n_4899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664071 (
+	.A1(n_3314),
+	.A2(n_4693),
+	.B1(n_4716),
+	.Y(n_4898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664072 (
+	.A(n_4729),
+	.B(n_4858),
+	.Y(n_4897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664073 (
+	.A(n_4687),
+	.B(n_4892),
+	.Y(n_4896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664074 (
+	.A1(n_4693),
+	.A2(n_3314),
+	.B1(n_4843),
+	.Y(n_4895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664075 (
+	.A(n_4851),
+	.B(n_4852),
+	.Y(n_4894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664076 (
+	.A(n_4846),
+	.B(n_4847),
+	.Y(n_4893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664077 (
+	.A(n_4746),
+	.B(n_33407),
+	.Y(n_4889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664078 (
+	.A(n_4746),
+	.B(n_33412),
+	.Y(n_4888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664079 (
+	.A(n_4757),
+	.B(n_33412),
+	.Y(n_4887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664080 (
+	.A(n_4757),
+	.B(n_33407),
+	.Y(n_4886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664081 (
+	.A(n_4748),
+	.B(n_33435),
+	.Y(n_4885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664082 (
+	.A(n_4748),
+	.B(n_33436),
+	.Y(n_4884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664083 (
+	.A(n_4748),
+	.B(n_33437),
+	.Y(n_4883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664084 (
+	.A(n_4752),
+	.B(n_33407),
+	.Y(n_4882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664085 (
+	.A(n_4752),
+	.B(n_33412),
+	.Y(n_4881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664086 (
+	.A(n_4754),
+	.B(n_33407),
+	.Y(n_4880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664087 (
+	.A(n_4754),
+	.B(n_33412),
+	.Y(n_4879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664088 (
+	.A(n_4755),
+	.B(n_33407),
+	.Y(n_4878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664089 (
+	.A(n_4730),
+	.B(n_33407),
+	.Y(n_4877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664090 (
+	.A(n_4730),
+	.B(n_33412),
+	.Y(n_4876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664091 (
+	.A(n_4755),
+	.B(n_33412),
+	.Y(n_4875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664092 (
+	.A(n_4750),
+	.B(n_33407),
+	.Y(n_4874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664093 (
+	.A(n_4750),
+	.B(n_33412),
+	.Y(n_4873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g664094 (
+	.A_N(n_4704),
+	.B(n_4667),
+	.Y(n_4872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g664095 (
+	.A_N(n_4667),
+	.B(n_4704),
+	.Y(n_4871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664096 (
+	.A(n_4748),
+	.B(n_33414),
+	.Y(n_4870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664097 (
+	.A(n_4748),
+	.B(n_33415),
+	.Y(n_4869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664098 (
+	.A(n_4748),
+	.B(n_33418),
+	.Y(n_4868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664099 (
+	.A(n_4748),
+	.B(n_33427),
+	.Y(n_4867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664100 (
+	.A(n_4748),
+	.B(n_33428),
+	.Y(n_4866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664101 (
+	.A(n_4748),
+	.B(n_33429),
+	.Y(n_4865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664102 (
+	.A(n_4756),
+	.B(n_33407),
+	.Y(n_4864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664103 (
+	.A(n_4756),
+	.B(n_33412),
+	.Y(n_4863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664104 (
+	.A(n_4749),
+	.B(n_33407),
+	.Y(n_4862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g664105 (
+	.A(n_4708),
+	.B_N(n_4685),
+	.Y(n_4861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g664106 (
+	.A(n_4697),
+	.B_N(n_4712),
+	.Y(n_4860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g664107 (
+	.A(n_4685),
+	.B_N(n_4708),
+	.Y(n_4859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g664108 (
+	.A(n_4712),
+	.B_N(n_4697),
+	.Y(n_4858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g664109 (
+	.A(n_4706),
+	.B_N(n_4707),
+	.Y(n_4857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g664110 (
+	.A(n_4705),
+	.B_N(n_4703),
+	.Y(n_4856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g664111 (
+	.A(n_4707),
+	.B_N(n_4706),
+	.Y(n_4855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g664112 (
+	.A(n_4703),
+	.B_N(n_4705),
+	.Y(n_4854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g664113 (
+	.A_N(n_4696),
+	.B(n_4692),
+	.Y(n_4853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g664114 (
+	.A_N(n_4692),
+	.B(n_4696),
+	.Y(n_4852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g664115 (
+	.A_N(n_4686),
+	.B(n_4691),
+	.Y(n_4851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g664116 (
+	.A(n_4691),
+	.B_N(n_4686),
+	.Y(n_4850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664117 (
+	.A(n_4749),
+	.B(n_33412),
+	.Y(n_4849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g664118 (
+	.A_N(n_4700),
+	.B(n_4701),
+	.Y(n_4848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g664119 (
+	.A_N(n_4701),
+	.B(n_4700),
+	.Y(n_4847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g664120 (
+	.A_N(n_4699),
+	.B(n_4698),
+	.Y(n_4846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g664121 (
+	.A(n_4698),
+	.B_N(n_4699),
+	.Y(n_4845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g664122 (
+	.A(n_3312),
+	.B_N(n_4695),
+	.Y(n_4844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g664123 (
+	.A(n_4695),
+	.B_N(n_3312),
+	.Y(n_4843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664124 (
+	.A(n_4751),
+	.B(n_33412),
+	.Y(n_4842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664125 (
+	.A(n_4751),
+	.B(n_33407),
+	.Y(n_4841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g664126 (
+	.A_N(n_4728),
+	.B(n_4713),
+	.Y(n_4892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g664127 (
+	.A(n_4722),
+	.B_N(n_4723),
+	.Y(n_4891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g664128 (
+	.A_N(n_4668),
+	.B(n_4720),
+	.Y(n_4890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664129 (
+	.A(n_2560),
+	.B(n_2804),
+	.Y(n_32491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664130 (
+	.A(n_4762),
+	.B(n_2806),
+	.Y(n_31321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664131 (
+	.A(n_4762),
+	.B(n_2804),
+	.Y(n_32484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664132 (
+	.A(n_4758),
+	.B(n_2852),
+	.Y(n_32470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664133 (
+	.A(n_2560),
+	.B(n_2852),
+	.Y(n_31372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664134 (
+	.A(n_4763),
+	.B(n_2807),
+	.Y(n_31375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664135 (
+	.A(n_4762),
+	.B(n_2807),
+	.Y(n_32467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664136 (
+	.A(n_4763),
+	.B(n_2852),
+	.Y(n_31381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664137 (
+	.A(n_4758),
+	.B(n_2807),
+	.Y(n_31329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664138 (
+	.A(n_4759),
+	.B(n_2807),
+	.Y(n_31350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664139 (
+	.A(n_4761),
+	.B(n_2804),
+	.Y(n_31365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664140 (
+	.A(n_4761),
+	.B(n_2807),
+	.Y(n_31341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664141 (
+	.A(n_4766),
+	.B(n_2804),
+	.Y(n_31356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664142 (
+	.A(n_4759),
+	.B(n_2804),
+	.Y(n_31362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664143 (
+	.A(n_4766),
+	.B(n_2806),
+	.Y(n_31359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664144 (
+	.A(n_4759),
+	.B(n_2806),
+	.Y(n_31347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664145 (
+	.A(n_4766),
+	.B(n_2852),
+	.Y(n_31353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664146 (
+	.A(n_4759),
+	.B(n_2852),
+	.Y(n_31344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g664147 (
+	.A(n_2805),
+	.B(n_4765),
+	.X(n_31332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664148 (
+	.A(n_4764),
+	.B(n_2807),
+	.Y(n_32473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664149 (
+	.A(n_4764),
+	.B(n_2804),
+	.Y(n_31330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664150 (
+	.A(n_4761),
+	.B(n_2806),
+	.Y(n_31338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664151 (
+	.A(n_4762),
+	.B(n_2852),
+	.Y(n_31324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g664152 (
+	.A(n_2853),
+	.B(n_4760),
+	.X(n_31318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g664153 (
+	.A(n_2853),
+	.B(n_4765),
+	.X(n_32479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664154 (
+	.A(n_4766),
+	.B(n_2807),
+	.Y(n_31335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664155 (
+	.A(n_2560),
+	.B(n_2806),
+	.Y(n_31369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664156 (
+	.A(n_4745),
+	.B(n_33412),
+	.Y(n_4838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664157 (
+	.A(n_4745),
+	.B(n_33407),
+	.Y(n_4837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664158 (
+	.A(n_4737),
+	.B(n_4462),
+	.Y(n_4836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664159 (
+	.A(n_4737),
+	.B(n_33412),
+	.Y(n_4835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664160 (
+	.A(n_4737),
+	.B(n_33407),
+	.Y(n_4834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664161 (
+	.A(n_4743),
+	.B(n_33407),
+	.Y(n_4833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664162 (
+	.A(n_4743),
+	.B(n_33412),
+	.Y(n_4832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664163 (
+	.A(n_4744),
+	.B(n_33407),
+	.Y(n_4831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664164 (
+	.A(n_4744),
+	.B(n_33412),
+	.Y(n_4830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664165 (
+	.A(n_4748),
+	.B(n_33412),
+	.Y(n_4829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664166 (
+	.A(n_4748),
+	.B(n_33407),
+	.Y(n_4828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664167 (
+	.A(n_4748),
+	.B(n_4462),
+	.Y(n_4827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664168 (
+	.A(n_4753),
+	.B(n_33412),
+	.Y(n_4826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664169 (
+	.A(n_4753),
+	.B(n_33407),
+	.Y(n_4825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664170 (
+	.A(n_4741),
+	.B(n_33412),
+	.Y(n_4824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664171 (
+	.A(n_4741),
+	.B(n_33407),
+	.Y(n_4823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664172 (
+	.A(n_4740),
+	.B(n_33412),
+	.Y(n_4822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664173 (
+	.A(n_4740),
+	.B(n_33407),
+	.Y(n_4821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664174 (
+	.A(n_4739),
+	.B(n_33412),
+	.Y(n_4820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664175 (
+	.A(n_4739),
+	.B(n_33407),
+	.Y(n_4819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664176 (
+	.A(n_4738),
+	.B(n_33407),
+	.Y(n_4818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664177 (
+	.A(n_4738),
+	.B(n_33412),
+	.Y(n_4817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664178 (
+	.A(n_4747),
+	.B(n_33412),
+	.Y(n_4816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664179 (
+	.A(n_4747),
+	.B(n_33407),
+	.Y(n_4815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664180 (
+	.A(n_4734),
+	.B(n_33414),
+	.Y(n_4814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664181 (
+	.A(n_4734),
+	.B(n_33428),
+	.Y(n_4813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664182 (
+	.A(n_4734),
+	.B(n_33427),
+	.Y(n_4812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664183 (
+	.A(n_4734),
+	.B(n_33418),
+	.Y(n_4811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664184 (
+	.A(n_4734),
+	.B(n_33415),
+	.Y(n_4810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664185 (
+	.A(n_4734),
+	.B(n_33429),
+	.Y(n_4809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664186 (
+	.A(n_4737),
+	.B(n_33437),
+	.Y(n_4808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664187 (
+	.A(n_4737),
+	.B(n_33436),
+	.Y(n_4807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664188 (
+	.A(n_4737),
+	.B(n_33435),
+	.Y(n_4806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664189 (
+	.A(n_4737),
+	.B(n_33427),
+	.Y(n_4805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664190 (
+	.A(n_2551),
+	.B(n_4462),
+	.Y(n_4804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664191 (
+	.A(n_2551),
+	.B(n_33412),
+	.Y(n_4803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664192 (
+	.A(n_2550),
+	.B(n_4462),
+	.Y(n_4802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664193 (
+	.A(n_2550),
+	.B(n_33412),
+	.Y(n_4801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664194 (
+	.A(n_2550),
+	.B(n_33407),
+	.Y(n_4800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664195 (
+	.A(n_2551),
+	.B(n_33407),
+	.Y(n_4799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664196 (
+	.A(n_4742),
+	.B(n_33407),
+	.Y(n_4798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664197 (
+	.A(n_4742),
+	.B(n_33412),
+	.Y(n_4797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664198 (
+	.A(n_4736),
+	.B(n_33407),
+	.Y(n_4796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664199 (
+	.A(n_4735),
+	.B(n_4462),
+	.Y(n_4795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664200 (
+	.A(n_4735),
+	.B(n_33412),
+	.Y(n_4794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664201 (
+	.A(n_4735),
+	.B(n_33407),
+	.Y(n_4793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664202 (
+	.A(n_4736),
+	.B(n_4462),
+	.Y(n_4792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664203 (
+	.A(n_4736),
+	.B(n_33412),
+	.Y(n_4791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664204 (
+	.A(n_4734),
+	.B(n_33437),
+	.Y(n_4790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664205 (
+	.A(n_4734),
+	.B(n_33436),
+	.Y(n_4789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664206 (
+	.A(n_4734),
+	.B(n_33435),
+	.Y(n_4788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664207 (
+	.A(n_4733),
+	.B(n_33412),
+	.Y(n_4787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664208 (
+	.A(n_4733),
+	.B(n_33407),
+	.Y(n_4786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664209 (
+	.A(n_4732),
+	.B(n_4462),
+	.Y(n_4785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664210 (
+	.A(n_4732),
+	.B(n_33412),
+	.Y(n_4784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664211 (
+	.A(n_4732),
+	.B(n_33407),
+	.Y(n_4783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664212 (
+	.A(n_4733),
+	.B(n_4462),
+	.Y(n_4782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g664213 (
+	.A1(n_33181),
+	.A2(n_2695),
+	.B1(n_33149),
+	.B2(n_31484),
+	.X(brqrv_top_brqrv_dec_decode_i0_result_x[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g664214 (
+	.A1(n_32314),
+	.A2(n_3445),
+	.B1(n_3625),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[60]),
+	.Y(n_4781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g664215 (
+	.A1(n_32314),
+	.A2(n_3450),
+	.B1(n_476),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[60]),
+	.Y(n_4780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g664216 (
+	.A1(n_32314),
+	.A2(n_470),
+	.B1(n_326),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[60]),
+	.Y(n_4779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664217 (
+	.A(n_2559),
+	.B(n_33412),
+	.Y(n_4778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664218 (
+	.A(n_2559),
+	.B(n_33407),
+	.Y(n_4777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664219 (
+	.A(n_4731),
+	.B(n_33412),
+	.Y(n_4776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664220 (
+	.A(n_4734),
+	.B(n_4462),
+	.Y(n_4775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664221 (
+	.A(n_4734),
+	.B(n_33412),
+	.Y(n_4774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664222 (
+	.A(n_4734),
+	.B(n_33407),
+	.Y(n_4773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664223 (
+	.A(n_4731),
+	.B(n_4462),
+	.Y(n_4772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664224 (
+	.A(n_4731),
+	.B(n_33407),
+	.Y(n_4771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g664225 (
+	.A1(n_30663),
+	.A2(n_432),
+	.B1(n_2712),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[99]),
+	.C1(n_4661),
+	.X(n_4770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g664226 (
+	.A1(n_4598),
+	.A2(brqrv_top_brqrv_exu_i0_pc_x[19]),
+	.B1_N(n_4768),
+	.Y(n_4769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g664227 (
+	.A1(n_3983),
+	.A2(n_4683),
+	.B1(n_4767),
+	.X(n_32424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g664228 (
+	.A(n_4609),
+	.B(n_4572),
+	.C(n_4508),
+	.D(n_4507),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank1_rd_data_f [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664230 (
+	.A(n_4758),
+	.B(n_2804),
+	.Y(n_32487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664231 (
+	.A(n_4758),
+	.B(n_2806),
+	.Y(n_32475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664232 (
+	.A(n_4763),
+	.B(n_2806),
+	.Y(n_31378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664233 (
+	.A(n_4763),
+	.B(n_2804),
+	.Y(n_32489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g664235 (
+	.A1(n_2557),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [0]),
+	.B1(n_354405_BAR),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [0]),
+	.Y(n_4839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g664237 (
+	.A(n_4765),
+	.Y(n_4764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g664238 (
+	.A(n_4760),
+	.Y(n_4761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g664259 (
+	.A(n_4737),
+	.Y(n_31374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g664263 (
+	.A(n_2559),
+	.Y(n_32472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g664267 (
+	.A(n_2550),
+	.Y(n_31367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g664268 (
+	.A(n_2551),
+	.Y(n_31377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g664270 (
+	.A1(n_32628),
+	.A2(n_548),
+	.B1(n_4660),
+	.X(brqrv_top_brqrv_lsu_bus_read_data_m[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664271 (
+	.A(n_32576),
+	.B(n_4214),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g664273 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[19]),
+	.B(n_4598),
+	.X(n_4768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664274 (
+	.A(n_4683),
+	.B(n_3983),
+	.Y(n_4767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664275 (
+	.A(brqrv_top_brqrv_dec_div_waddr_wb[4]),
+	.B(n_4681),
+	.Y(n_4766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664276 (
+	.A(n_4682),
+	.B(brqrv_top_brqrv_dec_div_waddr_wb[4]),
+	.Y(n_4765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g664277 (
+	.A(brqrv_top_brqrv_dec_div_waddr_wb[4]),
+	.B_N(n_4682),
+	.Y(n_4763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664278 (
+	.A(n_2574),
+	.B(n_2558),
+	.Y(n_4762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664279 (
+	.A(n_4680),
+	.B(brqrv_top_brqrv_dec_div_waddr_wb[4]),
+	.Y(n_4760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664280 (
+	.A(brqrv_top_brqrv_dec_div_waddr_wb[4]),
+	.B(n_2558),
+	.Y(n_4759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664281 (
+	.A(n_2574),
+	.B(n_4681),
+	.Y(n_4758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664282 (
+	.A(n_4679),
+	.B(n_3455),
+	.Y(n_31371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664283 (
+	.A(n_4676),
+	.B(n_3455),
+	.Y(n_31323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664284 (
+	.A(n_4678),
+	.B(n_3453),
+	.Y(n_31355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664285 (
+	.A(n_4677),
+	.B(n_3454),
+	.Y(n_32474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664286 (
+	.A(n_4677),
+	.B(n_3457),
+	.Y(n_31328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664287 (
+	.A(n_4677),
+	.B(n_3453),
+	.Y(n_32486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664288 (
+	.A(n_4678),
+	.B(n_3455),
+	.Y(n_31352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664289 (
+	.A(n_4675),
+	.B(n_3455),
+	.Y(n_31317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664290 (
+	.A(n_4677),
+	.B(n_3455),
+	.Y(n_31325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664291 (
+	.A(n_4678),
+	.B(n_3457),
+	.Y(n_31334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664292 (
+	.A(n_4676),
+	.B(n_3457),
+	.Y(n_32466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664293 (
+	.A(n_4676),
+	.B(n_3453),
+	.Y(n_32483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664294 (
+	.A(n_4676),
+	.B(n_3454),
+	.Y(n_31320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664295 (
+	.A(n_4675),
+	.B(n_3454),
+	.Y(n_31337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664296 (
+	.A(n_4675),
+	.B(n_3453),
+	.Y(n_31364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664297 (
+	.A(n_4675),
+	.B(n_3457),
+	.Y(n_31340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664298 (
+	.A(n_4665),
+	.B(n_3455),
+	.Y(n_31343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664299 (
+	.A(n_4679),
+	.B(n_3453),
+	.Y(n_32490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664300 (
+	.A(n_4679),
+	.B(n_3454),
+	.Y(n_32493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664301 (
+	.A(n_4664),
+	.B(n_3455),
+	.Y(n_32478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664302 (
+	.A(n_3456),
+	.B(n_4663),
+	.Y(n_4737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664303 (
+	.A(n_4665),
+	.B(n_3453),
+	.Y(n_31361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664304 (
+	.A(n_4665),
+	.B(n_3454),
+	.Y(n_31346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664305 (
+	.A(n_4665),
+	.B(n_3457),
+	.Y(n_31349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664307 (
+	.A(n_4664),
+	.B(n_3453),
+	.Y(n_32481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664308 (
+	.A(n_4664),
+	.B(n_3454),
+	.Y(n_31331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664309 (
+	.A(n_4662),
+	.B(n_3455),
+	.Y(n_31380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664312 (
+	.A(n_4678),
+	.B(n_3454),
+	.Y(n_31358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g664313 (
+	.A(n_4709),
+	.Y(n_4710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g664314 (
+	.A(n_4693),
+	.Y(n_4694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g664315 (
+	.A(n_4688),
+	.Y(n_4689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g664317 (
+	.A1(n_3409),
+	.A2(n_4640),
+	.B1(n_38513),
+	.B2(n_4639),
+	.Y(n_4729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g664318 (
+	.A1(n_3415),
+	.A2(n_4620),
+	.B1(n_38521),
+	.B2(n_4619),
+	.Y(n_4728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g664319 (
+	.A1(n_3412),
+	.A2(n_4636),
+	.B1(n_38512),
+	.B2(n_4635),
+	.Y(n_4727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g664320 (
+	.A1(n_3399),
+	.A2(n_4638),
+	.B1(n_38520),
+	.B2(n_4637),
+	.Y(n_4726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g664321 (
+	.A1(n_3402),
+	.A2(n_4634),
+	.B1(n_38515),
+	.B2(n_4633),
+	.Y(n_4725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g664322 (
+	.A1(n_3396),
+	.A2(n_4632),
+	.B1(n_38519),
+	.B2(n_4631),
+	.Y(n_4724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g664323 (
+	.A1(n_3413),
+	.A2(n_4628),
+	.B1(n_38524),
+	.B2(n_4627),
+	.Y(n_4723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g664324 (
+	.A1(n_3387),
+	.A2(n_4630),
+	.B1(n_38522),
+	.B2(n_4629),
+	.Y(n_4722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g664325 (
+	.A1(n_3405),
+	.A2(n_4616),
+	.B1(n_3406),
+	.B2(n_4615),
+	.Y(n_4721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g664326 (
+	.A1(n_3375),
+	.A2(n_4626),
+	.B1(n_38526),
+	.B2(n_4625),
+	.Y(n_4720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g664327 (
+	.A1(n_3354),
+	.A2(n_4626),
+	.B1(n_38525),
+	.B2(n_4625),
+	.Y(n_4719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g664328 (
+	.A1(n_3392),
+	.A2(n_4624),
+	.B1(n_38518),
+	.B2(n_4623),
+	.Y(n_4718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g664329 (
+	.A1(n_3390),
+	.A2(n_4614),
+	.B1(n_3391),
+	.B2(n_4613),
+	.Y(n_4717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g664330 (
+	.A1(n_3404),
+	.A2(n_4622),
+	.B1(n_38510),
+	.B2(n_4621),
+	.Y(n_4716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g664331 (
+	.A1(n_3385),
+	.A2(n_4630),
+	.B1(n_38529),
+	.B2(n_4629),
+	.Y(n_4715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g664332 (
+	.A1(n_3358),
+	.A2(n_4618),
+	.B1(n_38517),
+	.B2(n_4617),
+	.Y(n_4714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g664333 (
+	.A1(n_3414),
+	.A2(n_4618),
+	.B1(n_38523),
+	.B2(n_4617),
+	.Y(n_4713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g664334 (
+	.A1(n_3320),
+	.A2(n_4616),
+	.B1(n_3336),
+	.B2(n_4615),
+	.Y(n_4712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g664335 (
+	.A1(n_3361),
+	.A2(n_4630),
+	.B1(n_38530),
+	.B2(n_4629),
+	.Y(n_4711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g664336 (
+	.A1(n_3367),
+	.A2(n_4628),
+	.B1(n_38528),
+	.B2(n_4627),
+	.Y(n_4709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g664337 (
+	.A1(n_3315),
+	.A2(n_4616),
+	.B1(n_3352),
+	.B2(n_4615),
+	.Y(n_4708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g664338 (
+	.A1(n_3334),
+	.A2(n_4638),
+	.B1(n_38539),
+	.B2(n_4637),
+	.Y(n_4707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g664339 (
+	.A1(n_3339),
+	.A2(n_4636),
+	.B1(n_38536),
+	.B2(n_4635),
+	.Y(n_4706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g664340 (
+	.A1(n_3388),
+	.A2(n_4638),
+	.B1(n_38537),
+	.B2(n_4637),
+	.Y(n_4705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g664341 (
+	.A1(n_3370),
+	.A2(n_4626),
+	.B1(n_38540),
+	.B2(n_4625),
+	.Y(n_4704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g664342 (
+	.A1(n_3410),
+	.A2(n_4636),
+	.B1(n_38535),
+	.B2(n_4635),
+	.Y(n_4703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g664343 (
+	.A1(n_3379),
+	.A2(n_4628),
+	.B1(n_38527),
+	.B2(n_4627),
+	.Y(n_4702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g664344 (
+	.A1(n_3343),
+	.A2(n_4614),
+	.B1(n_3502),
+	.B2(n_4613),
+	.Y(n_4701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g664345 (
+	.A1(n_3501),
+	.A2(n_4624),
+	.B1(n_38543),
+	.B2(n_4623),
+	.Y(n_4700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g664346 (
+	.A1(n_3363),
+	.A2(n_4614),
+	.B1(n_3362),
+	.B2(n_4613),
+	.Y(n_4699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g664347 (
+	.A1(n_3318),
+	.A2(n_4624),
+	.B1(n_38511),
+	.B2(n_4623),
+	.Y(n_4698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g664348 (
+	.A1(n_3329),
+	.A2(n_4640),
+	.B1(n_38514),
+	.B2(n_4639),
+	.Y(n_4697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g664349 (
+	.A1(n_3350),
+	.A2(n_4634),
+	.B1(n_38533),
+	.B2(n_4633),
+	.Y(n_4696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g664350 (
+	.A1(n_4621),
+	.A2(n_3348),
+	.B1(n_4622),
+	.B2(n_3349),
+	.Y(n_4695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g664351 (
+	.A1(n_3327),
+	.A2(n_4622),
+	.B1(n_38509),
+	.B2(n_4621),
+	.Y(n_4693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g664352 (
+	.A1(n_3357),
+	.A2(n_4632),
+	.B1(n_38531),
+	.B2(n_4631),
+	.Y(n_4692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g664353 (
+	.A1(n_3353),
+	.A2(n_4634),
+	.B1(n_38516),
+	.B2(n_4633),
+	.Y(n_4691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g664354 (
+	.A1(n_3341),
+	.A2(n_4620),
+	.B1(n_38534),
+	.B2(n_4619),
+	.Y(n_4690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g664355 (
+	.A1(n_3332),
+	.A2(n_4618),
+	.B1(n_38538),
+	.B2(n_4617),
+	.Y(n_4688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g664356 (
+	.A1(n_3403),
+	.A2(n_4620),
+	.B1(n_38542),
+	.B2(n_4619),
+	.Y(n_4687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g664357 (
+	.A1(n_3394),
+	.A2(n_4632),
+	.B1(n_38532),
+	.B2(n_4631),
+	.Y(n_4686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g664358 (
+	.A1(n_3328),
+	.A2(n_4640),
+	.B1(n_38541),
+	.B2(n_4639),
+	.Y(n_4685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g664360 (
+	.A_N(n_4644),
+	.B(n_3313),
+	.Y(n_4674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g664361 (
+	.A_N(n_3313),
+	.B(n_4644),
+	.Y(n_4673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g664362 (
+	.A(n_4650),
+	.B_N(n_4651),
+	.Y(n_4672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g664363 (
+	.A_N(n_4651),
+	.B(n_4650),
+	.Y(n_4671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g664364 (
+	.A(n_4653),
+	.B_N(n_4652),
+	.Y(n_4670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g664365 (
+	.A_N(n_4652),
+	.B(n_4653),
+	.Y(n_4669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664366 (
+	.A(n_31275),
+	.B(n_31276),
+	.Y(n_4683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664367 (
+	.A(brqrv_top_brqrv_dec_div_waddr_wb[3]),
+	.B(n_2556),
+	.Y(n_4682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664368 (
+	.A(n_4659),
+	.B(brqrv_top_brqrv_dec_div_waddr_wb[3]),
+	.Y(n_4681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g664370 (
+	.A(brqrv_top_brqrv_dec_div_waddr_wb[3]),
+	.B_N(n_4659),
+	.Y(n_4680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664371 (
+	.A(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[3]),
+	.B(n_4658),
+	.Y(n_4679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664372 (
+	.A(n_3173),
+	.B(n_4658),
+	.Y(n_4678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664373 (
+	.A(n_3173),
+	.B(n_4657),
+	.Y(n_4677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664374 (
+	.A(n_3173),
+	.B(n_4656),
+	.Y(n_4676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664375 (
+	.A(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[3]),
+	.B(n_4657),
+	.Y(n_4675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g664377 (
+	.A(n_4662),
+	.Y(n_4663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g664378 (
+	.A1(n_33177),
+	.A2(n_2695),
+	.B1(n_33145),
+	.B2(n_31484),
+	.X(brqrv_top_brqrv_dec_decode_i0_result_x[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g664379 (
+	.A1(n_33179),
+	.A2(n_2695),
+	.B1(n_33147),
+	.B2(n_31484),
+	.X(brqrv_top_brqrv_dec_decode_i0_result_x[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g664380 (
+	.A1(n_31863),
+	.A2(n_4603),
+	.B1(n_428),
+	.B2(n_30813),
+	.Y(n_4661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g664382 (
+	.A1(n_537),
+	.A2(n_4603),
+	.B1(n_30585),
+	.B2(n_4542),
+	.Y(n_4660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g664383 (
+	.A1(n_3378),
+	.A2(n_4583),
+	.B1(n_3381),
+	.B2(n_4584),
+	.Y(n_4668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g664384 (
+	.A(n_4594),
+	.B(n_4214),
+	.C(n_3778),
+	.Y(n_32576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g664385 (
+	.A1(brqrv_top_brqrv_lsu_lsu_ld_data_m[6]),
+	.A2(n_2859),
+	.B1(brqrv_top_brqrv_lsu_bus_read_data_m[6]),
+	.B2(n_2810),
+	.X(n_33181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g664386 (
+	.A1(n_3372),
+	.A2(n_4583),
+	.B1(n_3324),
+	.B2(n_4584),
+	.Y(n_4667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g664387 (
+	.A1(n_3371),
+	.A2(n_4583),
+	.B1(n_3382),
+	.B2(n_4584),
+	.Y(n_4666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664388 (
+	.A(n_3173),
+	.B(n_4641),
+	.Y(n_4665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664389 (
+	.A(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[3]),
+	.B(n_4656),
+	.Y(n_4664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664390 (
+	.A(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[3]),
+	.B(n_4641),
+	.Y(n_4662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g664391 (
+	.A(n_4579),
+	.B(n_4478),
+	.C(n_4457),
+	.Y(n_32314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g664392 (
+	.A(n_4565),
+	.B(n_4532),
+	.C(n_4469),
+	.D(n_4531),
+	.Y(n_33412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g664393 (
+	.A(n_4564),
+	.B(n_4534),
+	.C(n_4476),
+	.D(n_4533),
+	.Y(n_33407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g664395 (
+	.A(n_4647),
+	.Y(n_4648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g664396 (
+	.A(n_4643),
+	.Y(n_31285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g664398 (
+	.A(n_4642),
+	.Y(n_33437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664400 (
+	.A(brqrv_top_brqrv_dec_div_waddr_wb[2]),
+	.B(n_31382),
+	.Y(n_4659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664401 (
+	.A(n_4607),
+	.B(n_3254),
+	.Y(n_4658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664402 (
+	.A(n_4605),
+	.B(n_3254),
+	.Y(n_4657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664403 (
+	.A(n_4605),
+	.B(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[2]),
+	.Y(n_4656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664404 (
+	.A(n_3365),
+	.B(n_4585),
+	.Y(n_4655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664405 (
+	.A(n_3321),
+	.B(n_4586),
+	.Y(n_4654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664406 (
+	.A(n_3374),
+	.B(n_4602),
+	.Y(n_4653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664407 (
+	.A(n_3322),
+	.B(n_4601),
+	.Y(n_4652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664408 (
+	.A(n_3330),
+	.B(n_4600),
+	.Y(n_4651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664409 (
+	.A(n_3337),
+	.B(n_4599),
+	.Y(n_4650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664410 (
+	.A(n_3345),
+	.B(n_4606),
+	.Y(n_4649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664411 (
+	.A(n_3346),
+	.B(n_4587),
+	.Y(n_4647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664412 (
+	.A(n_3369),
+	.B(n_4592),
+	.Y(n_4646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664413 (
+	.A(n_3317),
+	.B(n_4589),
+	.Y(n_4645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664414 (
+	.A(n_3351),
+	.B(n_4588),
+	.Y(n_4644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664415 (
+	.A(n_2707),
+	.B(n_31382),
+	.Y(n_354405_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664416 (
+	.A(n_31287),
+	.B(n_31382),
+	.Y(n_4643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664417 (
+	.A(n_31286),
+	.B(n_31382),
+	.Y(n_354404_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664418 (
+	.A(n_30544),
+	.B(n_4595),
+	.Y(n_4642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g664419 (
+	.A(n_4640),
+	.Y(n_4639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g664420 (
+	.A(n_4638),
+	.Y(n_4637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g664421 (
+	.A(n_4636),
+	.Y(n_4635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g664422 (
+	.A(n_4634),
+	.Y(n_4633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g664423 (
+	.A(n_4632),
+	.Y(n_4631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g664424 (
+	.A(n_4630),
+	.Y(n_4629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g664425 (
+	.A(n_4628),
+	.Y(n_4627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g664426 (
+	.A(n_4626),
+	.Y(n_4625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g664427 (
+	.A(n_4624),
+	.Y(n_4623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g664428 (
+	.A(n_4622),
+	.Y(n_4621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g664429 (
+	.A(n_4620),
+	.Y(n_4619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g664430 (
+	.A(n_4618),
+	.Y(n_4617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g664431 (
+	.A(n_4616),
+	.Y(n_4615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g664432 (
+	.A(n_4614),
+	.Y(n_4613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g664433 (
+	.A(n_4612),
+	.Y(n_33436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g664434 (
+	.A(n_4611),
+	.Y(n_33435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g664435 (
+	.A(n_4514),
+	.B(n_4513),
+	.C(n_4567),
+	.D(n_4566),
+	.Y(n_4610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g664436 (
+	.A(n_4489),
+	.B(n_4529),
+	.C(n_4571),
+	.D(n_4573),
+	.Y(n_4609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g664437 (
+	.A1(n_4536),
+	.A2(brqrv_top_brqrv_exu_i0_pc_x[18]),
+	.B1_N(n_4598),
+	.Y(n_4608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664438 (
+	.A(n_4607),
+	.B(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[2]),
+	.Y(n_4641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g664439 (
+	.A1(n_3322),
+	.A2(n_4502),
+	.B1_N(n_4601),
+	.Y(n_4640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g664440 (
+	.A1(n_3330),
+	.A2(n_4492),
+	.B1_N(n_4600),
+	.Y(n_4638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g664441 (
+	.A1(n_3337),
+	.A2(n_4491),
+	.B1_N(n_4599),
+	.Y(n_4636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g664442 (
+	.A1(n_3345),
+	.A2(n_4501),
+	.B1_N(n_4606),
+	.Y(n_4634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g664443 (
+	.A1(n_3356),
+	.A2(n_4493),
+	.B1_N(n_4604),
+	.Y(n_4632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g664444 (
+	.A1(n_3359),
+	.A2(n_4494),
+	.B1_N(n_4591),
+	.Y(n_4630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g664445 (
+	.A1(n_3365),
+	.A2(n_4500),
+	.B1_N(n_4585),
+	.Y(n_4628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g664446 (
+	.A1(n_3369),
+	.A2(n_4495),
+	.B1_N(n_4592),
+	.Y(n_4626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g664447 (
+	.A1(n_3317),
+	.A2(n_4497),
+	.B1_N(n_4589),
+	.Y(n_4624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g664448 (
+	.A1(n_3351),
+	.A2(n_4498),
+	.B1_N(n_4588),
+	.Y(n_4622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g664449 (
+	.A1(n_3346),
+	.A2(n_4496),
+	.B1_N(n_4587),
+	.Y(n_4620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g664450 (
+	.A1(n_3321),
+	.A2(n_4499),
+	.B1_N(n_4586),
+	.Y(n_4618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g664451 (
+	.A1(n_3374),
+	.A2(n_4537),
+	.B1_N(n_4602),
+	.Y(n_4616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g664452 (
+	.A1(n_3325),
+	.A2(n_4538),
+	.B1_N(n_4590),
+	.Y(n_4614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664453 (
+	.A(n_30544),
+	.B(n_4596),
+	.Y(n_4612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664454 (
+	.A(n_30544),
+	.B(n_4593),
+	.Y(n_4611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g664456 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[4]),
+	.B(brqrv_top_brqrv_dec_decode_last_br_immed_x[4]),
+	.CIN(n_4331),
+	.COUT(n_4597),
+	.SUM(brqrv_top_brqrv_pred_correct_npc_x[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g664457 (
+	.A_N(n_4109),
+	.B(n_4472),
+	.C(n_4323),
+	.D(n_4324),
+	.Y(n_4596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664458 (
+	.A1(n_3582),
+	.A2(n_30546),
+	.B1(n_3579),
+	.B2(n_30548),
+	.C1(n_4575),
+	.Y(n_4595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664459 (
+	.A1(n_3622),
+	.A2(n_4120),
+	.B1(n_4554),
+	.Y(n_4594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g664460 (
+	.A_N(n_4110),
+	.B(n_4470),
+	.C(n_4321),
+	.D(n_4322),
+	.Y(n_4593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664461 (
+	.A(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[4]),
+	.B(n_30710),
+	.Y(n_4607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664462 (
+	.A1(n_3064),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[107]),
+	.B1(n_4557),
+	.Y(n_4606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664463 (
+	.A(n_3256),
+	.B(n_30710),
+	.Y(n_4605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664464 (
+	.A1(n_3062),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[99]),
+	.B1(n_4555),
+	.Y(n_4604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g664465 (
+	.A1(n_3982),
+	.A2(n_4546),
+	.B1(n_31276),
+	.X(n_31232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664466 (
+	.A1(n_30664),
+	.A2(n_31862),
+	.B1(n_4099),
+	.Y(n_4603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664467 (
+	.A1(n_3074),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[75]),
+	.B1(n_4537),
+	.B2(n_3374),
+	.C1(n_3235),
+	.Y(n_4602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664468 (
+	.A1(n_3072),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[67]),
+	.B1(n_4562),
+	.Y(n_4601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664469 (
+	.A1(n_3070),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[83]),
+	.B1(n_4560),
+	.Y(n_4600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664470 (
+	.A1(n_3066),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[91]),
+	.B1(n_4559),
+	.Y(n_4599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g664471 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[18]),
+	.B(n_4536),
+	.X(n_4598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664472 (
+	.A(n_31383),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_finish_ff ),
+	.Y(n_31382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g664473 (
+	.A(n_4584),
+	.Y(n_4583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g664474 (
+	.A(n_4582),
+	.Y(n_33427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g664475 (
+	.A(n_4581),
+	.Y(n_33429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g664476 (
+	.A(n_4580),
+	.Y(n_33428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664477 (
+	.A1(n_2654),
+	.A2(n_30584),
+	.B1(n_2766),
+	.B2(n_30583),
+	.C1(n_4568),
+	.Y(brqrv_top_brqrv_lsu_bus_read_data_m[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664478 (
+	.A(n_4479),
+	.B(n_4570),
+	.Y(n_4579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664479 (
+	.A1(n_3055),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[59]),
+	.B1(n_4552),
+	.Y(n_4592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664480 (
+	.A1(n_3041),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[115]),
+	.B1(n_4563),
+	.Y(n_4591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664481 (
+	.A1(n_3050),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[35]),
+	.B1(n_4538),
+	.B2(n_3325),
+	.C1(n_3204),
+	.Y(n_4590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664482 (
+	.A1(n_3047),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[43]),
+	.B1(n_4551),
+	.Y(n_4589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664483 (
+	.A1(n_3043),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[11]),
+	.B1(n_4550),
+	.Y(n_4588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g664484 (
+	.A1(brqrv_top_brqrv_lsu_lsu_ld_data_m[2]),
+	.A2(n_2859),
+	.B1(brqrv_top_brqrv_lsu_bus_read_data_m[2]),
+	.B2(n_2810),
+	.X(n_33177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g664485 (
+	.A1(brqrv_top_brqrv_lsu_lsu_ld_data_m[4]),
+	.A2(n_2859),
+	.B1(brqrv_top_brqrv_lsu_bus_read_data_m[4]),
+	.B2(n_2810),
+	.X(n_33179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g664486 (
+	.A1(n_444),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[6]),
+	.B1(n_2712),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[102]),
+	.C1(n_4569),
+	.X(brqrv_top_brqrv_lsu_bus_read_data_m[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664487 (
+	.A1(n_3034),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[19]),
+	.B1(n_4549),
+	.Y(n_4587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664488 (
+	.A1(n_3037),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[27]),
+	.B1(n_4548),
+	.Y(n_4586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664489 (
+	.A1(n_3058),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[123]),
+	.B1(n_4553),
+	.Y(n_4585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g664490 (
+	.A(n_3383),
+	.B(n_4464),
+	.C(n_3373),
+	.X(n_4584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664491 (
+	.A(n_4450),
+	.B(n_30544),
+	.Y(n_4582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664492 (
+	.A(n_4452),
+	.B(n_30544),
+	.Y(n_4581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664493 (
+	.A(n_4451),
+	.B(n_30544),
+	.Y(n_4580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g664494 (
+	.A(n_4578),
+	.Y(n_33418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g664495 (
+	.A(n_4577),
+	.Y(n_33415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g664496 (
+	.A(n_4576),
+	.Y(n_33414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g664497 (
+	.A(n_4473),
+	.B(n_4325),
+	.C(n_4319),
+	.X(n_4575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g664498 (
+	.A(n_4481),
+	.B(n_4482),
+	.C(n_4480),
+	.D(n_4483),
+	.Y(n_4574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g664499 (
+	.A(n_4490),
+	.B(n_4523),
+	.C(n_4528),
+	.D(n_4522),
+	.Y(n_4573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g664500 (
+	.A(n_4504),
+	.B(n_4506),
+	.C(n_4505),
+	.D(n_4503),
+	.Y(n_4572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g664501 (
+	.A(n_4485),
+	.B(n_4484),
+	.C(n_4488),
+	.D(n_4486),
+	.Y(n_4571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664502 (
+	.A1(n_2980),
+	.A2(n_32323),
+	.B1(n_4540),
+	.Y(n_4570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g664503 (
+	.A(n_4520),
+	.B(n_2903),
+	.C(n_2840),
+	.Y(n_4569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664504 (
+	.A1(n_417),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[114]),
+	.B1(n_4543),
+	.Y(n_4568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g664505 (
+	.A(n_4510),
+	.B(n_4509),
+	.C(n_4512),
+	.D(n_4511),
+	.Y(n_4567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g664506 (
+	.A(n_4535),
+	.B(n_4517),
+	.C(n_4515),
+	.D(n_4487),
+	.Y(n_4566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664507 (
+	.A1(n_575),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[5]),
+	.B1(n_4539),
+	.Y(n_4565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664508 (
+	.A1(n_575),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[0]),
+	.B1(n_4541),
+	.Y(n_4564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664509 (
+	.A1(n_3623),
+	.A2(n_4524),
+	.B1(brqrv_top_brqrv_dec_dec_div_active),
+	.Y(n_31383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664510 (
+	.A(n_4546),
+	.B(n_3982),
+	.Y(n_31276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664511 (
+	.A(n_30627),
+	.B(n_4357),
+	.Y(n_30544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g664512 (
+	.A(n_30627),
+	.B(n_4527),
+	.X(n_4578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g664513 (
+	.A(n_30627),
+	.B(n_4526),
+	.X(n_4577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g664514 (
+	.A(n_30627),
+	.B(n_4525),
+	.X(n_4576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g664515 (
+	.A1_N(n_3359),
+	.A2_N(n_4494),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[115]),
+	.B2(n_3025),
+	.Y(n_4563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g664516 (
+	.A1_N(n_3322),
+	.A2_N(n_4502),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[67]),
+	.B2(n_3026),
+	.Y(n_4562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g664517 (
+	.A1(n_31119),
+	.A2(n_3444),
+	.B1(n_3626),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[33]),
+	.Y(n_4561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g664518 (
+	.A1_N(n_3330),
+	.A2_N(n_4492),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[83]),
+	.B2(n_3022),
+	.Y(n_4560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g664519 (
+	.A1_N(n_3337),
+	.A2_N(n_4491),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[91]),
+	.B2(n_3020),
+	.Y(n_4559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g664520 (
+	.A1(n_31119),
+	.A2(n_470),
+	.B1(n_326),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[33]),
+	.Y(n_4558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g664521 (
+	.A1_N(n_3345),
+	.A2_N(n_4501),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[107]),
+	.B2(n_3019),
+	.Y(n_4557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g664522 (
+	.A1(n_31119),
+	.A2(n_3446),
+	.B1(n_3627),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[33]),
+	.Y(n_4556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g664523 (
+	.A1_N(n_3356),
+	.A2_N(n_4493),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[99]),
+	.B2(n_3017),
+	.Y(n_4555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g664524 (
+	.A1(n_3484),
+	.A2(brqrv_top_brqrv_dma_ctrl_dma_mem_addr_in_iccm),
+	.B1(n_4360),
+	.B2(brqrv_top_brqrv_dma_mem_write),
+	.C1(n_4021),
+	.C2(n_32914),
+	.Y(n_4554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g664525 (
+	.A1_N(n_3365),
+	.A2_N(n_4500),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[123]),
+	.B2(n_3006),
+	.Y(n_4553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g664526 (
+	.A1_N(n_3369),
+	.A2_N(n_4495),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[59]),
+	.B2(n_3012),
+	.Y(n_4552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g664527 (
+	.A1_N(n_3317),
+	.A2_N(n_4497),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[43]),
+	.B2(n_3009),
+	.Y(n_4551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g664528 (
+	.A1_N(n_3351),
+	.A2_N(n_4498),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[11]),
+	.B2(n_3029),
+	.Y(n_4550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g664529 (
+	.A1_N(n_3346),
+	.A2_N(n_4496),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[19]),
+	.B2(n_3031),
+	.Y(n_4549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g664530 (
+	.A1_N(n_3321),
+	.A2_N(n_4499),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[27]),
+	.B2(n_3004),
+	.Y(n_4548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g664531 (
+	.A1(n_31119),
+	.A2(n_3445),
+	.B1(n_3625),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[33]),
+	.Y(n_4547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o311ai_1 g664532 (
+	.A1(n_3574),
+	.A2(n_4123),
+	.A3(n_30711),
+	.B1(n_2841),
+	.C1(n_3172),
+	.Y(n_30710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g664533 (
+	.A(n_4545),
+	.Y(brqrv_top_brqrv_lsu_lsu_ld_data_m[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g664534 (
+	.A1(n_4095),
+	.A2(brqrv_top_brqrv_exu_i0_pc_x[17]),
+	.B1_N(n_4536),
+	.Y(n_4544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664535 (
+	.A1(n_2747),
+	.A2(n_30581),
+	.B1(n_2787),
+	.B2(n_30588),
+	.C1(n_4475),
+	.Y(n_4543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g664536 (
+	.A1(n_33290),
+	.A2(n_346),
+	.B1(n_33308),
+	.B2(n_491),
+	.C1(n_4477),
+	.X(brqrv_top_brqrv_lsu_lsu_ld_data_m[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g664537 (
+	.A1(n_33288),
+	.A2(n_346),
+	.B1(n_33304),
+	.B2(n_491),
+	.C1(n_4468),
+	.X(brqrv_top_brqrv_lsu_lsu_ld_data_m[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664538 (
+	.A(n_31277),
+	.B(n_31278),
+	.Y(n_4546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g664539 (
+	.A1(n_33286),
+	.A2(n_346),
+	.B1(n_33301),
+	.B2(n_491),
+	.C1(n_4474),
+	.X(brqrv_top_brqrv_lsu_lsu_ld_data_m[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664540 (
+	.A(n_4467),
+	.B(n_4466),
+	.Y(n_4545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g664541 (
+	.A(n_4213),
+	.B(n_3485),
+	.C(n_3509),
+	.D(n_3500),
+	.Y(n_30627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g664542 (
+	.A(n_30532),
+	.Y(n_4542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664543 (
+	.A1(n_3593),
+	.A2(n_30691),
+	.B1(n_4530),
+	.Y(n_4541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g664544 (
+	.A1(brqrv_top_brqrv_lsu_store_data_lo_r[28]),
+	.A2(n_39159),
+	.B1(n_382),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[124]),
+	.C1(n_33304),
+	.C2(brqrv_top_brqrv_lsu_n_1518),
+	.Y(n_4540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g664545 (
+	.A1(n_33298),
+	.A2(n_490),
+	.B1(n_33299),
+	.B2(n_489),
+	.C1(n_4465),
+	.X(brqrv_top_brqrv_lsu_lsu_ld_data_m[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g664546 (
+	.A1(n_33294),
+	.A2(n_490),
+	.B1(n_33295),
+	.B2(n_489),
+	.C1(n_4471),
+	.X(brqrv_top_brqrv_lsu_lsu_ld_data_m[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664547 (
+	.A1(n_3592),
+	.A2(n_30691),
+	.B1(n_4516),
+	.Y(n_4539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664548 (
+	.A1(n_2622),
+	.A2(n_30574),
+	.B1(n_426),
+	.B2(n_30573),
+	.C1(n_4363),
+	.Y(n_30532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664549 (
+	.A1(n_430),
+	.A2(n_30806),
+	.B1(n_582),
+	.B2(n_30807),
+	.C1(n_4361),
+	.Y(n_30663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664550 (
+	.A1(n_427),
+	.A2(n_30651),
+	.B1(n_2733),
+	.B2(n_30650),
+	.C1(n_4365),
+	.Y(n_32628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664551 (
+	.A1(n_421),
+	.A2(n_30824),
+	.B1(n_424),
+	.B2(n_30822),
+	.C1(n_4521),
+	.Y(n_30664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g664552 (
+	.A(n_3887),
+	.B(n_4042),
+	.C(n_4027),
+	.D(n_4028),
+	.X(n_4535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g664553 (
+	.A_N(n_30696),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[64]),
+	.Y(n_4534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g664554 (
+	.A_N(n_30697),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[32]),
+	.Y(n_4533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g664555 (
+	.A_N(n_30696),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[69]),
+	.Y(n_4532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g664556 (
+	.A_N(n_30697),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[37]),
+	.Y(n_4531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g664557 (
+	.A_N(n_30695),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[96]),
+	.Y(n_4530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g664558 (
+	.A(n_3913),
+	.B(n_3911),
+	.C(n_3910),
+	.D(n_3912),
+	.Y(n_4529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g664559 (
+	.A(n_3921),
+	.B(n_3923),
+	.C(n_3920),
+	.D(n_3924),
+	.X(n_4528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664560 (
+	.A(n_4350),
+	.B(n_4356),
+	.Y(n_4527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664561 (
+	.A(n_4348),
+	.B(n_4349),
+	.Y(n_4526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664562 (
+	.A(n_4346),
+	.B(n_4347),
+	.Y(n_4525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664563 (
+	.A1(n_4119),
+	.A2(n_31384),
+	.B1(n_2961),
+	.Y(n_4524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g664564 (
+	.A(n_3926),
+	.B(n_3931),
+	.C(n_3925),
+	.D(n_3928),
+	.X(n_4523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g664565 (
+	.A(n_3935),
+	.B(n_3933),
+	.C(n_3932),
+	.D(n_3934),
+	.X(n_4522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664566 (
+	.A1(n_2666),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[115]),
+	.B1(n_4362),
+	.Y(n_4521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664567 (
+	.A1(n_2713),
+	.A2(n_33256),
+	.B1(n_30614),
+	.B2(n_537),
+	.C1(n_4102),
+	.Y(n_4520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g664568 (
+	.A1(n_33450),
+	.A2(n_315),
+	.B1(n_30413),
+	.B2(brqrv_top_brqrv_dec_decode_write_csr_data[10]),
+	.X(brqrv_top_brqrv_dec_dec_csr_wrdata_r[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g664569 (
+	.A(n_4032),
+	.B(n_4015),
+	.C(n_4013),
+	.D(n_4016),
+	.X(n_4519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g664570 (
+	.A(n_4022),
+	.B(n_3927),
+	.C(n_4019),
+	.D(n_4017),
+	.X(n_4518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g664571 (
+	.A(n_4025),
+	.B(n_4026),
+	.C(n_4023),
+	.D(n_3895),
+	.X(n_4517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g664572 (
+	.A_N(n_30695),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[101]),
+	.Y(n_4516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g664573 (
+	.A(n_4029),
+	.B(n_3973),
+	.C(n_4030),
+	.D(n_3890),
+	.X(n_4515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g664574 (
+	.A(n_4035),
+	.B(n_4036),
+	.C(n_4038),
+	.D(n_4037),
+	.Y(n_4514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g664575 (
+	.A(n_4024),
+	.B(n_3888),
+	.C(n_4039),
+	.D(n_3922),
+	.Y(n_4513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g664576 (
+	.A(n_3881),
+	.B(n_4040),
+	.C(n_4041),
+	.D(n_3892),
+	.X(n_4512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g664577 (
+	.A(n_4018),
+	.B(n_4043),
+	.C(n_4045),
+	.D(n_4044),
+	.X(n_4511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g664578 (
+	.A(n_4049),
+	.B(n_4050),
+	.C(n_4046),
+	.D(n_4047),
+	.X(n_4510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g664579 (
+	.A(n_4054),
+	.B(n_3930),
+	.C(n_4052),
+	.D(n_4051),
+	.X(n_4509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g664580 (
+	.A(n_3938),
+	.B(n_3936),
+	.C(n_3937),
+	.D(n_3906),
+	.X(n_4508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g664581 (
+	.A(n_3940),
+	.B(n_3941),
+	.C(n_3944),
+	.D(n_3942),
+	.X(n_4507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g664582 (
+	.A(n_3958),
+	.B(n_3959),
+	.C(n_3963),
+	.D(n_3957),
+	.Y(n_4506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g664583 (
+	.A(n_3950),
+	.B(n_3949),
+	.C(n_3951),
+	.D(n_3952),
+	.Y(n_4505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g664584 (
+	.A(n_3953),
+	.B(n_3954),
+	.C(n_3956),
+	.D(n_3955),
+	.Y(n_4504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g664585 (
+	.A(n_3946),
+	.B(n_3947),
+	.C(n_3948),
+	.D(n_3945),
+	.Y(n_4503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g664586 (
+	.A1(n_444),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[2]),
+	.B1(n_2709),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[2]),
+	.C1(n_4358),
+	.X(brqrv_top_brqrv_lsu_bus_read_data_m[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g664587 (
+	.A1(n_444),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[4]),
+	.B1(n_2709),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[4]),
+	.C1(n_4359),
+	.X(brqrv_top_brqrv_lsu_bus_read_data_m[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664588 (
+	.A(n_3522),
+	.B(n_4353),
+	.Y(n_4538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664589 (
+	.A(n_3554),
+	.B(n_4355),
+	.Y(n_4537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g664590 (
+	.A(n_31282),
+	.B(n_31279),
+	.X(n_31278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g664591 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[17]),
+	.B(n_4095),
+	.X(n_4536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g664592 (
+	.A(n_3916),
+	.B(n_3919),
+	.C(n_3915),
+	.D(n_3917),
+	.X(n_4490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g664593 (
+	.A(n_3960),
+	.B(n_3971),
+	.C(n_3964),
+	.D(n_3970),
+	.Y(n_4489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g664594 (
+	.A(n_3909),
+	.B(n_3905),
+	.C(n_3907),
+	.D(n_3908),
+	.X(n_4488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g664595 (
+	.A(n_3918),
+	.B(n_4031),
+	.C(n_4034),
+	.D(n_4033),
+	.X(n_4487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g664596 (
+	.A(n_3967),
+	.B(n_3900),
+	.C(n_3901),
+	.D(n_3902),
+	.X(n_4486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g664597 (
+	.A(n_3896),
+	.B(n_3894),
+	.C(n_3897),
+	.D(n_3898),
+	.X(n_4485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g664598 (
+	.A(n_3889),
+	.B(n_3965),
+	.C(n_3891),
+	.D(n_3893),
+	.X(n_4484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g664599 (
+	.A(n_3878),
+	.B(n_3880),
+	.C(n_3879),
+	.D(n_3904),
+	.Y(n_4483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g664600 (
+	.A(n_3929),
+	.B(n_3885),
+	.C(n_3886),
+	.D(n_3884),
+	.Y(n_4482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g664601 (
+	.A(n_3969),
+	.B(n_3883),
+	.C(n_3882),
+	.D(n_3968),
+	.Y(n_4481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g664602 (
+	.A(n_3877),
+	.B(n_3914),
+	.C(n_3899),
+	.D(n_4014),
+	.Y(n_4480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664603 (
+	.A1(n_3270),
+	.A2(n_32322),
+	.B1(n_4460),
+	.Y(n_4479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g664604 (
+	.A1(n_375),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[60]),
+	.B1(n_39158),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[28]),
+	.Y(n_4478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g664605 (
+	.A1(n_30804),
+	.A2(n_4209),
+	.B1(n_30801),
+	.B2(n_4210),
+	.Y(n_4477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664606 (
+	.A1(n_573),
+	.A2(n_30702),
+	.B1(n_4459),
+	.Y(n_4476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664607 (
+	.A1(n_418),
+	.A2(n_30675),
+	.B1(n_30624),
+	.B2(n_31863),
+	.C1(n_4097),
+	.Y(n_4475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g664608 (
+	.A1(n_33270),
+	.A2(n_489),
+	.B1(n_33278),
+	.B2(n_490),
+	.X(n_4474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664609 (
+	.A1(n_404),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[87]),
+	.B1(n_4354),
+	.Y(n_4473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664610 (
+	.A1(n_411),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[118]),
+	.B1(n_4352),
+	.Y(n_4472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g664611 (
+	.A1(n_33293),
+	.A2(n_346),
+	.B1(n_33292),
+	.B2(n_491),
+	.X(n_4471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664612 (
+	.A1(n_411),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[117]),
+	.B1(n_4351),
+	.Y(n_4470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664613 (
+	.A1(n_573),
+	.A2(n_30601),
+	.B1(n_4458),
+	.Y(n_4469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g664614 (
+	.A1(n_33272),
+	.A2(n_489),
+	.B1(n_33280),
+	.B2(n_490),
+	.X(n_4468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g664615 (
+	.A1(n_33452),
+	.A2(n_315),
+	.B1(n_30413),
+	.B2(brqrv_top_brqrv_dec_decode_write_csr_data[12]),
+	.X(brqrv_top_brqrv_dec_dec_csr_wrdata_r[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g664616 (
+	.A1(n_30801),
+	.A2(n_4206),
+	.B1(n_30804),
+	.B2(n_4208),
+	.Y(n_4467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g664617 (
+	.A1(n_30802),
+	.A2(n_4207),
+	.B1(n_30803),
+	.B2(n_4211),
+	.Y(n_4466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g664618 (
+	.A1(n_33297),
+	.A2(n_346),
+	.B1(n_33296),
+	.B2(n_491),
+	.X(n_4465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664619 (
+	.A(n_3550),
+	.B(n_4345),
+	.Y(n_4502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664620 (
+	.A1(n_4104),
+	.A2(n_3540),
+	.B1(n_3541),
+	.Y(n_4501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664621 (
+	.A1(n_4105),
+	.A2(n_3530),
+	.B1(n_3531),
+	.Y(n_4500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664622 (
+	.A(n_3513),
+	.B(n_4366),
+	.Y(n_4499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664623 (
+	.A(n_3511),
+	.B(n_4339),
+	.Y(n_4498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664624 (
+	.A1(n_4108),
+	.A2(n_3518),
+	.B1(n_3519),
+	.Y(n_4497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664625 (
+	.A(n_3524),
+	.B(n_4340),
+	.Y(n_4464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664626 (
+	.A1(n_4115),
+	.A2(n_3515),
+	.B1(n_3555),
+	.Y(n_4496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664627 (
+	.A(n_3527),
+	.B(n_4341),
+	.Y(n_4495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664628 (
+	.A(n_3534),
+	.B(n_4342),
+	.Y(n_4494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664629 (
+	.A(n_3538),
+	.B(n_4343),
+	.Y(n_4493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664630 (
+	.A(n_3547),
+	.B(n_4344),
+	.Y(n_4492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g664631 (
+	.A1(n_4103),
+	.A2(n_3543),
+	.B1(n_3544),
+	.Y(n_4491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g664632 (
+	.A1(n_33269),
+	.A2(brqrv_top_brqrv_lsu_n_1518),
+	.B1(n_33298),
+	.B2(n_462),
+	.X(n_31119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g664633 (
+	.A(n_30694),
+	.Y(n_575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g664634 (
+	.A(n_30611),
+	.Y(n_4462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664635 (
+	.A(n_4086),
+	.B(n_33452),
+	.Y(n_4461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g664636 (
+	.A_N(n_32317),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[92]),
+	.Y(n_4460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g664637 (
+	.A(n_30692),
+	.B_N(n_30701),
+	.Y(n_4459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g664638 (
+	.A(n_30692),
+	.B_N(n_30600),
+	.Y(n_4458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g664639 (
+	.A_N(n_32319),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[28]),
+	.Y(n_4457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664640 (
+	.A(n_4085),
+	.B(n_33452),
+	.Y(n_4456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664641 (
+	.A(n_4085),
+	.B(n_33448),
+	.Y(n_4455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664642 (
+	.A(n_4085),
+	.B(n_33449),
+	.Y(n_4454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664643 (
+	.A(n_4076),
+	.B(n_33449),
+	.Y(n_4453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664644 (
+	.A1(n_3581),
+	.A2(n_30548),
+	.B1(n_3579),
+	.B2(n_30546),
+	.C1(n_4112),
+	.Y(n_4452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g664645 (
+	.A1(n_361),
+	.A2(n_30613),
+	.B1(n_517),
+	.B2(n_30612),
+	.C1(n_4113),
+	.X(n_4451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g664646 (
+	.A1(n_361),
+	.A2(n_30601),
+	.B1(n_517),
+	.B2(n_30600),
+	.C1(n_4114),
+	.X(n_4450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664647 (
+	.A(n_4060),
+	.B(n_33449),
+	.Y(n_4449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664648 (
+	.A(n_4060),
+	.B(n_33448),
+	.Y(n_4448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664649 (
+	.A(n_4060),
+	.B(n_33452),
+	.Y(n_4447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664650 (
+	.A(n_4061),
+	.B(n_33449),
+	.Y(n_4446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664651 (
+	.A(n_4061),
+	.B(n_33448),
+	.Y(n_4445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664652 (
+	.A(n_4061),
+	.B(n_33452),
+	.Y(n_4444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664653 (
+	.A(n_4076),
+	.B(n_33448),
+	.Y(n_4443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664654 (
+	.A(n_4062),
+	.B(n_33449),
+	.Y(n_4442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664655 (
+	.A(n_4062),
+	.B(n_33448),
+	.Y(n_4441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664656 (
+	.A(n_4062),
+	.B(n_33452),
+	.Y(n_4440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664657 (
+	.A(n_4063),
+	.B(n_33448),
+	.Y(n_4439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664658 (
+	.A(n_4068),
+	.B(n_33449),
+	.Y(n_4438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664659 (
+	.A(n_4063),
+	.B(n_33449),
+	.Y(n_4437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664660 (
+	.A(n_4068),
+	.B(n_33448),
+	.Y(n_4436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664661 (
+	.A(n_4063),
+	.B(n_33452),
+	.Y(n_4435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664662 (
+	.A(n_4068),
+	.B(n_33452),
+	.Y(n_4434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664663 (
+	.A(n_4073),
+	.B(n_33449),
+	.Y(n_4433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664664 (
+	.A(n_4073),
+	.B(n_33448),
+	.Y(n_4432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664665 (
+	.A(n_4073),
+	.B(n_33452),
+	.Y(n_4431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664666 (
+	.A(n_4079),
+	.B(n_33449),
+	.Y(n_4430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664667 (
+	.A(n_4079),
+	.B(n_33448),
+	.Y(n_4429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664668 (
+	.A(n_4077),
+	.B(n_33449),
+	.Y(n_4428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664669 (
+	.A(n_4077),
+	.B(n_33448),
+	.Y(n_4427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664670 (
+	.A(n_4079),
+	.B(n_33452),
+	.Y(n_4426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664671 (
+	.A(n_4077),
+	.B(n_33452),
+	.Y(n_4425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664672 (
+	.A(n_4087),
+	.B(n_33449),
+	.Y(n_4424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664673 (
+	.A(n_4087),
+	.B(n_33448),
+	.Y(n_4423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664674 (
+	.A(n_4088),
+	.B(n_33449),
+	.Y(n_4422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664675 (
+	.A(n_4088),
+	.B(n_33448),
+	.Y(n_4421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664676 (
+	.A(n_4087),
+	.B(n_33452),
+	.Y(n_4420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664677 (
+	.A(n_4088),
+	.B(n_33452),
+	.Y(n_4419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664678 (
+	.A(n_4084),
+	.B(n_33449),
+	.Y(n_4418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664679 (
+	.A(n_4084),
+	.B(n_33448),
+	.Y(n_4417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664680 (
+	.A(n_4084),
+	.B(n_33452),
+	.Y(n_4416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664681 (
+	.A(n_4066),
+	.B(n_33449),
+	.Y(n_4415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664682 (
+	.A(n_4066),
+	.B(n_33448),
+	.Y(n_4414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664683 (
+	.A(n_4066),
+	.B(n_33452),
+	.Y(n_4413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664684 (
+	.A(n_4065),
+	.B(n_33452),
+	.Y(n_4412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664685 (
+	.A(n_4065),
+	.B(n_33448),
+	.Y(n_4411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664686 (
+	.A(n_4067),
+	.B(n_33452),
+	.Y(n_4410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664687 (
+	.A(n_4067),
+	.B(n_33449),
+	.Y(n_4409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664688 (
+	.A(n_4067),
+	.B(n_33448),
+	.Y(n_4408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664689 (
+	.A(n_4065),
+	.B(n_33449),
+	.Y(n_4407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664690 (
+	.A(n_4075),
+	.B(n_33452),
+	.Y(n_4406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664691 (
+	.A(n_4075),
+	.B(n_33449),
+	.Y(n_4405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664692 (
+	.A(n_4075),
+	.B(n_33448),
+	.Y(n_4404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664693 (
+	.A(n_4076),
+	.B(n_33452),
+	.Y(n_4403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g664694 (
+	.A(n_31280),
+	.B(n_31281),
+	.X(n_31279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664695 (
+	.A(n_4335),
+	.B(n_2995),
+	.Y(n_30694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664696 (
+	.A(n_4335),
+	.B(n_2571),
+	.Y(n_30697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664697 (
+	.A(n_4335),
+	.B(n_420),
+	.Y(n_30695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664698 (
+	.A(n_4335),
+	.B(n_565),
+	.Y(n_30696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664699 (
+	.A(n_4213),
+	.B(n_4090),
+	.Y(n_30611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g664700 (
+	.A(n_4402),
+	.Y(n_33445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664701 (
+	.A(n_4086),
+	.B(n_33449),
+	.Y(n_4401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664702 (
+	.A(n_4086),
+	.B(n_33448),
+	.Y(n_4400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664703 (
+	.A(n_4072),
+	.B(n_33448),
+	.Y(n_4399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664704 (
+	.A(n_4071),
+	.B(n_33448),
+	.Y(n_4398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664705 (
+	.A(n_4072),
+	.B(n_33449),
+	.Y(n_4397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664706 (
+	.A(n_4071),
+	.B(n_33449),
+	.Y(n_4396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664707 (
+	.A(n_4080),
+	.B(n_33449),
+	.Y(n_4395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664708 (
+	.A(n_4080),
+	.B(n_33448),
+	.Y(n_4394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664709 (
+	.A(n_4072),
+	.B(n_33452),
+	.Y(n_4393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664710 (
+	.A(n_4071),
+	.B(n_33452),
+	.Y(n_4392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664711 (
+	.A(n_4080),
+	.B(n_33452),
+	.Y(n_4391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664712 (
+	.A(n_4081),
+	.B(n_33452),
+	.Y(n_4390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664713 (
+	.A(n_4081),
+	.B(n_33449),
+	.Y(n_4389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664714 (
+	.A(n_4081),
+	.B(n_33448),
+	.Y(n_4388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664715 (
+	.A(n_4078),
+	.B(n_33449),
+	.Y(n_4387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664716 (
+	.A(n_4078),
+	.B(n_33448),
+	.Y(n_4386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664717 (
+	.A(n_4078),
+	.B(n_33452),
+	.Y(n_4385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664718 (
+	.A(n_4083),
+	.B(n_33449),
+	.Y(n_4384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664719 (
+	.A(n_4083),
+	.B(n_33448),
+	.Y(n_4383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664720 (
+	.A(n_4083),
+	.B(n_33452),
+	.Y(n_4382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664721 (
+	.A(n_4074),
+	.B(n_33449),
+	.Y(n_4381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664722 (
+	.A(n_4064),
+	.B(n_33449),
+	.Y(n_4380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664723 (
+	.A(n_4069),
+	.B(n_33448),
+	.Y(n_4379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664724 (
+	.A(n_4082),
+	.B(n_33449),
+	.Y(n_4378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664725 (
+	.A(n_4082),
+	.B(n_33448),
+	.Y(n_4377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664726 (
+	.A(n_4074),
+	.B(n_33448),
+	.Y(n_4376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664727 (
+	.A(n_4069),
+	.B(n_33449),
+	.Y(n_4375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664728 (
+	.A(n_4070),
+	.B(n_33449),
+	.Y(n_4374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664729 (
+	.A(n_4070),
+	.B(n_33448),
+	.Y(n_4373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664730 (
+	.A(n_4064),
+	.B(n_33448),
+	.Y(n_4372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664731 (
+	.A(n_4064),
+	.B(n_33452),
+	.Y(n_4371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664732 (
+	.A(n_4082),
+	.B(n_33452),
+	.Y(n_4370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664733 (
+	.A(n_4069),
+	.B(n_33452),
+	.Y(n_4369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664734 (
+	.A(n_4074),
+	.B(n_33452),
+	.Y(n_4368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664735 (
+	.A(n_4070),
+	.B(n_33452),
+	.Y(n_4367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664736 (
+	.A(n_3512),
+	.B(n_4116),
+	.Y(n_4366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g664737 (
+	.A1(n_2786),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[35]),
+	.B1(n_2760),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[3]),
+	.C1(n_4122),
+	.Y(n_4365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g664738 (
+	.A_N(n_3498),
+	.B(n_4020),
+	.C(n_33352),
+	.Y(n_4364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g664739 (
+	.A1(n_430),
+	.A2(n_30577),
+	.B1(n_582),
+	.B2(n_30576),
+	.C1(n_4096),
+	.X(n_4363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664740 (
+	.A1(n_3261),
+	.A2(n_30825),
+	.B1(n_4100),
+	.Y(n_4362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_1 g664741 (
+	.A1(n_426),
+	.A2(n_30808),
+	.B1(n_2621),
+	.B2(n_30810),
+	.C1(n_4098),
+	.X(n_4361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o311ai_1 g664742 (
+	.A1(n_3776),
+	.A2(n_3199),
+	.A3(n_3615),
+	.B1(n_32907),
+	.C1(n_4008),
+	.Y(n_4360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g664743 (
+	.A1(n_30538),
+	.A2(n_537),
+	.B1(n_30615),
+	.B2(n_432),
+	.C1(n_4058),
+	.X(brqrv_top_brqrv_lsu_bus_read_data_m[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g664744 (
+	.A1(n_30542),
+	.A2(n_537),
+	.B1(n_30605),
+	.B2(n_432),
+	.C1(n_4057),
+	.X(brqrv_top_brqrv_lsu_bus_read_data_m[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g664745 (
+	.A(n_3990),
+	.B(n_2901),
+	.C(n_2842),
+	.Y(n_4359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g664746 (
+	.A(n_3991),
+	.B(n_2897),
+	.C(n_2836),
+	.Y(n_4358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g664747 (
+	.A(n_30545),
+	.B(n_3721),
+	.C(n_3509),
+	.Y(n_4357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g664748 (
+	.A1(n_3611),
+	.A2(n_30631),
+	.B1(n_3600),
+	.B2(n_30628),
+	.Y(n_4356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664749 (
+	.A(n_3553),
+	.B(n_4118),
+	.Y(n_4355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g664750 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[119]),
+	.A2_N(n_411),
+	.B1(n_3605),
+	.B2(n_30547),
+	.Y(n_4354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664751 (
+	.A(n_3514),
+	.B(n_4124),
+	.Y(n_4353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g664752 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[86]),
+	.A2_N(n_404),
+	.B1(n_3590),
+	.B2(n_30547),
+	.Y(n_4352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g664753 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[85]),
+	.A2_N(n_404),
+	.B1(n_3601),
+	.B2(n_30547),
+	.Y(n_4351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g664754 (
+	.A1(n_3598),
+	.A2(n_30629),
+	.B1(n_571),
+	.B2(n_30630),
+	.Y(n_4350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g664755 (
+	.A1(n_3596),
+	.A2(n_30631),
+	.B1(n_3597),
+	.B2(n_30628),
+	.Y(n_4349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g664756 (
+	.A1(n_3589),
+	.A2(n_30629),
+	.B1(n_3595),
+	.B2(n_30630),
+	.Y(n_4348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g664757 (
+	.A1(n_3593),
+	.A2(n_30631),
+	.B1(n_3585),
+	.B2(n_30628),
+	.Y(n_4347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g664758 (
+	.A1(n_3588),
+	.A2(n_30629),
+	.B1(n_3580),
+	.B2(n_30630),
+	.Y(n_4346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664759 (
+	.A(n_3549),
+	.B(n_4101),
+	.Y(n_4345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g664760 (
+	.A1(n_3613),
+	.A2(n_38537),
+	.B1(n_3546),
+	.C1(n_3988),
+	.Y(n_4344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g664761 (
+	.A1(n_3606),
+	.A2(n_38532),
+	.B1(n_3537),
+	.C1(n_3985),
+	.Y(n_4343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g664762 (
+	.A1(n_3604),
+	.A2(n_38529),
+	.B1(n_3533),
+	.C1(n_3984),
+	.Y(n_4342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664763 (
+	.A(n_3526),
+	.B(n_4106),
+	.Y(n_4341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664764 (
+	.A(n_3528),
+	.B(n_4107),
+	.Y(n_4340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664765 (
+	.A(n_3535),
+	.B(n_4111),
+	.Y(n_4339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g664766 (
+	.A(n_4117),
+	.B(n_4055),
+	.X(n_4402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g664770 (
+	.A(n_33448),
+	.Y(n_4334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g664771 (
+	.A(n_33449),
+	.Y(n_4333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g664772 (
+	.A(n_33452),
+	.Y(n_4332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g664773 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[3]),
+	.B(brqrv_top_brqrv_dec_decode_last_br_immed_x[3]),
+	.CIN(n_3624),
+	.COUT(n_4331),
+	.SUM(brqrv_top_brqrv_pred_correct_npc_x[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664774 (
+	.A(n_4073),
+	.B(n_33461),
+	.Y(n_4330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664775 (
+	.A(n_4072),
+	.B(n_33462),
+	.Y(n_4329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664776 (
+	.A(n_4072),
+	.B(n_33463),
+	.Y(n_4328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664777 (
+	.A(n_4085),
+	.B(n_33447),
+	.Y(n_4327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664778 (
+	.A(n_4086),
+	.B(n_33447),
+	.Y(n_4326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g664779 (
+	.A_N(n_30550),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[55]),
+	.Y(n_4325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g664780 (
+	.A_N(n_30551),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[22]),
+	.Y(n_4324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g664781 (
+	.A_N(n_30550),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[54]),
+	.Y(n_4323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g664782 (
+	.A_N(n_30551),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[21]),
+	.Y(n_4322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g664783 (
+	.A_N(n_30550),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[53]),
+	.Y(n_4321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664784 (
+	.A(n_4086),
+	.B(n_33469),
+	.Y(n_4320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g664785 (
+	.A_N(n_30551),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[23]),
+	.Y(n_4319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664786 (
+	.A(n_4086),
+	.B(n_33470),
+	.Y(n_4318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664787 (
+	.A(n_4070),
+	.B(n_33469),
+	.Y(n_4317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664788 (
+	.A(n_4070),
+	.B(n_33470),
+	.Y(n_4316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664789 (
+	.A(n_4067),
+	.B(n_33469),
+	.Y(n_4315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664790 (
+	.A(n_4067),
+	.B(n_33470),
+	.Y(n_4314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664791 (
+	.A(n_4069),
+	.B(n_33469),
+	.Y(n_4313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664792 (
+	.A(n_4060),
+	.B(n_33470),
+	.Y(n_4312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664793 (
+	.A(n_4060),
+	.B(n_33469),
+	.Y(n_4311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664794 (
+	.A(n_4061),
+	.B(n_33470),
+	.Y(n_4310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664795 (
+	.A(n_4061),
+	.B(n_33469),
+	.Y(n_4309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664796 (
+	.A(n_4062),
+	.B(n_33470),
+	.Y(n_4308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664797 (
+	.A(n_4062),
+	.B(n_33469),
+	.Y(n_4307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664798 (
+	.A(n_4060),
+	.B(n_33463),
+	.Y(n_4306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664799 (
+	.A(n_4060),
+	.B(n_33462),
+	.Y(n_4305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664800 (
+	.A(n_4060),
+	.B(n_33471),
+	.Y(n_4304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664801 (
+	.A(n_4060),
+	.B(n_33461),
+	.Y(n_4303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664802 (
+	.A(n_4061),
+	.B(n_33462),
+	.Y(n_4302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664803 (
+	.A(n_4061),
+	.B(n_33463),
+	.Y(n_4301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664804 (
+	.A(n_4061),
+	.B(n_33471),
+	.Y(n_4300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664805 (
+	.A(n_4061),
+	.B(n_33461),
+	.Y(n_4299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664806 (
+	.A(n_4063),
+	.B(n_33470),
+	.Y(n_4298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664807 (
+	.A(n_4063),
+	.B(n_33469),
+	.Y(n_4297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664808 (
+	.A(n_4068),
+	.B(n_33470),
+	.Y(n_4296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664809 (
+	.A(n_4068),
+	.B(n_33469),
+	.Y(n_4295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664810 (
+	.A(n_4062),
+	.B(n_33463),
+	.Y(n_4294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664811 (
+	.A(n_4062),
+	.B(n_33462),
+	.Y(n_4293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664812 (
+	.A(n_4073),
+	.B(n_33469),
+	.Y(n_4292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664813 (
+	.A(n_4073),
+	.B(n_33470),
+	.Y(n_4291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664814 (
+	.A(n_4062),
+	.B(n_33471),
+	.Y(n_4290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664815 (
+	.A(n_4062),
+	.B(n_33461),
+	.Y(n_4289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664816 (
+	.A(n_4077),
+	.B(n_33470),
+	.Y(n_4288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664817 (
+	.A(n_4077),
+	.B(n_33469),
+	.Y(n_4287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664818 (
+	.A(n_4079),
+	.B(n_33470),
+	.Y(n_4286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664819 (
+	.A(n_4079),
+	.B(n_33469),
+	.Y(n_4285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664820 (
+	.A(n_4087),
+	.B(n_33470),
+	.Y(n_4284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664821 (
+	.A(n_4087),
+	.B(n_33469),
+	.Y(n_4283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664822 (
+	.A(n_4088),
+	.B(n_33470),
+	.Y(n_4282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664823 (
+	.A(n_4088),
+	.B(n_33469),
+	.Y(n_4281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664824 (
+	.A(n_4069),
+	.B(n_33470),
+	.Y(n_4280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664825 (
+	.A(n_4076),
+	.B(n_33469),
+	.Y(n_4279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664826 (
+	.A(n_4084),
+	.B(n_33470),
+	.Y(n_4278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664827 (
+	.A(n_4084),
+	.B(n_33469),
+	.Y(n_4277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664828 (
+	.A(n_4063),
+	.B(n_33463),
+	.Y(n_4276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664829 (
+	.A(n_4063),
+	.B(n_33462),
+	.Y(n_4275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664830 (
+	.A(n_4068),
+	.B(n_33463),
+	.Y(n_4274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664831 (
+	.A(n_4068),
+	.B(n_33462),
+	.Y(n_4273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664832 (
+	.A(n_4063),
+	.B(n_33471),
+	.Y(n_4272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664833 (
+	.A(n_4063),
+	.B(n_33461),
+	.Y(n_4271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664834 (
+	.A(n_4068),
+	.B(n_33471),
+	.Y(n_4270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664835 (
+	.A(n_4068),
+	.B(n_33461),
+	.Y(n_4269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664836 (
+	.A(n_4073),
+	.B(n_33463),
+	.Y(n_4268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664837 (
+	.A(n_4073),
+	.B(n_33462),
+	.Y(n_4267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664838 (
+	.A(n_4073),
+	.B(n_33471),
+	.Y(n_4266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664839 (
+	.A(n_4082),
+	.B(n_33469),
+	.Y(n_4265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664840 (
+	.A(n_4077),
+	.B(n_33463),
+	.Y(n_4264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664841 (
+	.A(n_4077),
+	.B(n_33462),
+	.Y(n_4263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664842 (
+	.A(n_4079),
+	.B(n_33462),
+	.Y(n_4262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664843 (
+	.A(n_4079),
+	.B(n_33463),
+	.Y(n_4261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664844 (
+	.A(n_4079),
+	.B(n_33461),
+	.Y(n_4260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664845 (
+	.A(n_4077),
+	.B(n_33471),
+	.Y(n_4259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664846 (
+	.A(n_4077),
+	.B(n_33461),
+	.Y(n_4258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664847 (
+	.A(n_4079),
+	.B(n_33471),
+	.Y(n_4257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664848 (
+	.A(n_4060),
+	.B(n_33447),
+	.Y(n_4256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664849 (
+	.A(n_4087),
+	.B(n_33463),
+	.Y(n_4255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664850 (
+	.A(n_4087),
+	.B(n_33462),
+	.Y(n_4254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664851 (
+	.A(n_4088),
+	.B(n_33463),
+	.Y(n_4253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664852 (
+	.A(n_4088),
+	.B(n_33462),
+	.Y(n_4252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664853 (
+	.A(n_4061),
+	.B(n_33447),
+	.Y(n_4251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664854 (
+	.A(n_4072),
+	.B(n_33470),
+	.Y(n_4250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664855 (
+	.A(n_4072),
+	.B(n_33469),
+	.Y(n_4249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664856 (
+	.A(n_4071),
+	.B(n_33470),
+	.Y(n_4248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664857 (
+	.A(n_4071),
+	.B(n_33469),
+	.Y(n_4247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664858 (
+	.A(n_4080),
+	.B(n_33470),
+	.Y(n_4246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664859 (
+	.A(n_4080),
+	.B(n_33469),
+	.Y(n_4245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664860 (
+	.A(n_4087),
+	.B(n_33471),
+	.Y(n_4244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664861 (
+	.A(n_4087),
+	.B(n_33461),
+	.Y(n_4243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664862 (
+	.A(n_4088),
+	.B(n_33471),
+	.Y(n_4242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664863 (
+	.A(n_4088),
+	.B(n_33461),
+	.Y(n_4241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664864 (
+	.A(n_4078),
+	.B(n_33470),
+	.Y(n_4240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664865 (
+	.A(n_4078),
+	.B(n_33469),
+	.Y(n_4239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664866 (
+	.A(n_4066),
+	.B(n_33447),
+	.Y(n_4238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664867 (
+	.A(n_4065),
+	.B(n_33447),
+	.Y(n_4237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664868 (
+	.A(n_4067),
+	.B(n_33447),
+	.Y(n_4236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664869 (
+	.A(n_4084),
+	.B(n_33462),
+	.Y(n_4235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664870 (
+	.A(n_4084),
+	.B(n_33463),
+	.Y(n_4234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664871 (
+	.A(n_4062),
+	.B(n_33447),
+	.Y(n_4233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664872 (
+	.A(n_4083),
+	.B(n_33470),
+	.Y(n_4232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664873 (
+	.A(n_4083),
+	.B(n_33469),
+	.Y(n_4231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664874 (
+	.A(n_4084),
+	.B(n_33471),
+	.Y(n_4230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664875 (
+	.A(n_4084),
+	.B(n_33461),
+	.Y(n_4229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664876 (
+	.A(n_4085),
+	.B(n_33470),
+	.Y(n_4228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664877 (
+	.A(n_4085),
+	.B(n_33469),
+	.Y(n_4227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664878 (
+	.A(n_4074),
+	.B(n_33470),
+	.Y(n_4226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664879 (
+	.A(n_4074),
+	.B(n_33469),
+	.Y(n_4225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664880 (
+	.A(n_4064),
+	.B(n_33470),
+	.Y(n_4224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664881 (
+	.A(n_4064),
+	.B(n_33469),
+	.Y(n_4223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664882 (
+	.A(n_4075),
+	.B(n_33470),
+	.Y(n_4222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664883 (
+	.A(n_4075),
+	.B(n_33469),
+	.Y(n_4221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664884 (
+	.A(n_4066),
+	.B(n_33470),
+	.Y(n_4220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664885 (
+	.A(n_4066),
+	.B(n_33469),
+	.Y(n_4219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664886 (
+	.A(n_4076),
+	.B(n_33470),
+	.Y(n_4218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664887 (
+	.A(n_4065),
+	.B(n_33470),
+	.Y(n_4217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664888 (
+	.A(n_4065),
+	.B(n_33469),
+	.Y(n_4216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664889 (
+	.A(n_4082),
+	.B(n_33470),
+	.Y(n_4215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664890 (
+	.A(n_30643),
+	.B(n_4091),
+	.Y(n_382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g664891 (
+	.A_N(n_30645),
+	.B(n_4092),
+	.Y(n_32317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664892 (
+	.A(n_3997),
+	.B(n_31710),
+	.Y(n_33450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664893 (
+	.A1(n_3582),
+	.A2(n_3630),
+	.B1(n_3581),
+	.B2(n_3634),
+	.C1(n_3939),
+	.Y(n_30545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664894 (
+	.A(n_30644),
+	.B(n_4091),
+	.Y(n_375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g664895 (
+	.A(n_31284),
+	.B(n_31283),
+	.X(n_31281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g664896 (
+	.A_N(n_30642),
+	.B(n_4092),
+	.Y(n_32319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664897 (
+	.A(n_4090),
+	.B(n_2554),
+	.Y(n_30691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664898 (
+	.A(n_4090),
+	.B(n_2553),
+	.Y(n_30693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664899 (
+	.A(n_4090),
+	.B(n_3631),
+	.Y(n_30692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g664900 (
+	.A(n_3633),
+	.B(n_4089),
+	.Y(n_4335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664901 (
+	.A(n_3993),
+	.B(n_31710),
+	.Y(n_33448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664902 (
+	.A(n_3994),
+	.B(n_31710),
+	.Y(n_33449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664903 (
+	.A(n_3995),
+	.B(n_31710),
+	.Y(n_33452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g664904 (
+	.A(n_563),
+	.Y(n_33305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g664905 (
+	.A(n_4211),
+	.Y(n_33302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g664906 (
+	.A(n_4210),
+	.Y(n_33282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g664907 (
+	.A(n_4209),
+	.Y(n_33274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g664908 (
+	.A(n_4208),
+	.Y(n_33271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g664909 (
+	.A(n_4207),
+	.Y(n_33287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g664910 (
+	.A(n_4206),
+	.Y(n_33279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664911 (
+	.A(n_4069),
+	.B(n_33471),
+	.Y(n_4205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664912 (
+	.A(n_4071),
+	.B(n_33462),
+	.Y(n_4204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664913 (
+	.A(n_4080),
+	.B(n_33463),
+	.Y(n_4203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664914 (
+	.A(n_4080),
+	.B(n_33462),
+	.Y(n_4202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664915 (
+	.A(n_4072),
+	.B(n_33471),
+	.Y(n_4201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664916 (
+	.A(n_4072),
+	.B(n_33461),
+	.Y(n_4200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664917 (
+	.A(n_4071),
+	.B(n_33461),
+	.Y(n_4199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664918 (
+	.A(n_4080),
+	.B(n_33461),
+	.Y(n_4198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664919 (
+	.A(n_4071),
+	.B(n_33471),
+	.Y(n_4197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664920 (
+	.A(n_4080),
+	.B(n_33471),
+	.Y(n_4196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664921 (
+	.A(n_4078),
+	.B(n_33463),
+	.Y(n_4195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664922 (
+	.A(n_4078),
+	.B(n_33462),
+	.Y(n_4194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664923 (
+	.A(n_4065),
+	.B(n_33462),
+	.Y(n_4193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664924 (
+	.A(n_4066),
+	.B(n_33471),
+	.Y(n_4192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664925 (
+	.A(n_4066),
+	.B(n_33463),
+	.Y(n_4191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664926 (
+	.A(n_4066),
+	.B(n_33462),
+	.Y(n_4190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664927 (
+	.A(n_4065),
+	.B(n_33471),
+	.Y(n_4189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664928 (
+	.A(n_4065),
+	.B(n_33463),
+	.Y(n_4188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664929 (
+	.A(n_4066),
+	.B(n_33461),
+	.Y(n_4187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664930 (
+	.A(n_4067),
+	.B(n_33471),
+	.Y(n_4186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664931 (
+	.A(n_4067),
+	.B(n_33463),
+	.Y(n_4185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664932 (
+	.A(n_4067),
+	.B(n_33462),
+	.Y(n_4184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664933 (
+	.A(n_4067),
+	.B(n_33461),
+	.Y(n_4183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664934 (
+	.A(n_4065),
+	.B(n_33461),
+	.Y(n_4182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664935 (
+	.A(n_4078),
+	.B(n_33471),
+	.Y(n_4181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664936 (
+	.A(n_4078),
+	.B(n_33461),
+	.Y(n_4180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664937 (
+	.A(n_4083),
+	.B(n_33463),
+	.Y(n_4179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664938 (
+	.A(n_4083),
+	.B(n_33462),
+	.Y(n_4178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664939 (
+	.A(n_4076),
+	.B(n_33462),
+	.Y(n_4177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664940 (
+	.A(n_4085),
+	.B(n_33463),
+	.Y(n_4176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664941 (
+	.A(n_4085),
+	.B(n_33462),
+	.Y(n_4175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664942 (
+	.A(n_4074),
+	.B(n_33463),
+	.Y(n_4174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664943 (
+	.A(n_4074),
+	.B(n_33462),
+	.Y(n_4173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664944 (
+	.A(n_4064),
+	.B(n_33463),
+	.Y(n_4172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664945 (
+	.A(n_4064),
+	.B(n_33462),
+	.Y(n_4171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664946 (
+	.A(n_4075),
+	.B(n_33463),
+	.Y(n_4170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664947 (
+	.A(n_4075),
+	.B(n_33462),
+	.Y(n_4169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664948 (
+	.A(n_4082),
+	.B(n_33462),
+	.Y(n_4168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664949 (
+	.A(n_4076),
+	.B(n_33463),
+	.Y(n_4167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664950 (
+	.A(n_4082),
+	.B(n_33463),
+	.Y(n_4166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664951 (
+	.A(n_4069),
+	.B(n_33463),
+	.Y(n_4165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664952 (
+	.A(n_4069),
+	.B(n_33462),
+	.Y(n_4164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664953 (
+	.A(n_4070),
+	.B(n_33463),
+	.Y(n_4163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664954 (
+	.A(n_4070),
+	.B(n_33462),
+	.Y(n_4162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664955 (
+	.A(n_4086),
+	.B(n_33463),
+	.Y(n_4161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664956 (
+	.A(n_4086),
+	.B(n_33462),
+	.Y(n_4160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664957 (
+	.A(n_4081),
+	.B(n_33463),
+	.Y(n_4159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664958 (
+	.A(n_4081),
+	.B(n_33462),
+	.Y(n_4158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664959 (
+	.A(n_4083),
+	.B(n_33471),
+	.Y(n_4157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664960 (
+	.A(n_4083),
+	.B(n_33461),
+	.Y(n_4156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664961 (
+	.A(n_4079),
+	.B(n_33447),
+	.Y(n_4155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664962 (
+	.A(n_4076),
+	.B(n_33461),
+	.Y(n_4154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664963 (
+	.A(n_4075),
+	.B(n_33471),
+	.Y(n_4153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664964 (
+	.A(n_4075),
+	.B(n_33461),
+	.Y(n_4152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664965 (
+	.A(n_4076),
+	.B(n_33471),
+	.Y(n_4151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664966 (
+	.A(n_4077),
+	.B(n_33447),
+	.Y(n_4150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664967 (
+	.A(n_4085),
+	.B(n_33471),
+	.Y(n_4149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664968 (
+	.A(n_4074),
+	.B(n_33471),
+	.Y(n_4148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664969 (
+	.A(n_4074),
+	.B(n_33461),
+	.Y(n_4147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664970 (
+	.A(n_4064),
+	.B(n_33461),
+	.Y(n_4146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664971 (
+	.A(n_4086),
+	.B(n_33471),
+	.Y(n_4145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664972 (
+	.A(n_4085),
+	.B(n_33461),
+	.Y(n_4144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664973 (
+	.A(n_4082),
+	.B(n_33471),
+	.Y(n_4143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664974 (
+	.A(n_4064),
+	.B(n_33471),
+	.Y(n_4142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664975 (
+	.A(n_4082),
+	.B(n_33461),
+	.Y(n_4141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664976 (
+	.A(n_4071),
+	.B(n_33463),
+	.Y(n_4140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664977 (
+	.A(n_4069),
+	.B(n_33461),
+	.Y(n_4139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664978 (
+	.A(n_4070),
+	.B(n_33471),
+	.Y(n_4138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664979 (
+	.A(n_4070),
+	.B(n_33461),
+	.Y(n_4137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664980 (
+	.A(n_4086),
+	.B(n_33461),
+	.Y(n_4136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664981 (
+	.A(n_4064),
+	.B(n_33447),
+	.Y(n_4135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664982 (
+	.A(n_4087),
+	.B(n_33447),
+	.Y(n_4134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664983 (
+	.A(n_4088),
+	.B(n_33447),
+	.Y(n_4133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664984 (
+	.A(n_4069),
+	.B(n_33447),
+	.Y(n_4132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664985 (
+	.A(n_4070),
+	.B(n_33447),
+	.Y(n_4131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664986 (
+	.A(n_4074),
+	.B(n_33447),
+	.Y(n_4130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664987 (
+	.A(n_4082),
+	.B(n_33447),
+	.Y(n_4129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664988 (
+	.A(n_4084),
+	.B(n_33447),
+	.Y(n_4128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664989 (
+	.A(n_4072),
+	.B(n_33447),
+	.Y(n_4127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664990 (
+	.A(n_4083),
+	.B(n_33447),
+	.Y(n_4126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664991 (
+	.A(n_4078),
+	.B(n_33447),
+	.Y(n_4125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g664992 (
+	.A1(n_3390),
+	.A2(n_3746),
+	.B1(n_3521),
+	.Y(n_4124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g664993 (
+	.A1(brqrv_top_brqrv_dec_dec_i0_waddr_r[3]),
+	.A2(n_3173),
+	.B1(n_3577),
+	.C1(n_3575),
+	.D1(n_3744),
+	.Y(n_4123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g664994 (
+	.A1(n_3176),
+	.A2(n_32575),
+	.B1(n_578),
+	.B2(n_30652),
+	.C1(n_3998),
+	.Y(n_4122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g664995 (
+	.A1(n_3503),
+	.A2(brqrv_top_brqrv_exu_i0_pc_x[16]),
+	.B1_N(n_4095),
+	.Y(n_4121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g664996 (
+	.A1(n_3775),
+	.A2(n_32915),
+	.B1_N(n_3776),
+	.Y(n_4120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g664997 (
+	.A1(brqrv_top_brqrv_dec_div_waddr_wb[4]),
+	.A2(n_2692),
+	.B1(n_3548),
+	.C1(n_2824),
+	.D1(n_2970),
+	.Y(n_4119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g664998 (
+	.A(n_3996),
+	.B(n_3552),
+	.Y(n_4118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g664999 (
+	.A1(n_38508),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addr_external_r),
+	.A3(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[5]),
+	.B1(n_29931),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[5]),
+	.Y(n_4117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g665000 (
+	.A1(n_3358),
+	.A2(n_3594),
+	.B1(n_3987),
+	.Y(n_4116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g665001 (
+	.A1(n_38542),
+	.A2(n_3607),
+	.B1(n_4011),
+	.Y(n_4115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g665002 (
+	.A1(n_3584),
+	.A2(n_30547),
+	.B1(n_3601),
+	.B2(n_30552),
+	.Y(n_4114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g665003 (
+	.A1(n_3583),
+	.A2(n_30547),
+	.B1(n_3590),
+	.B2(n_30552),
+	.Y(n_4113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g665004 (
+	.A1(n_3582),
+	.A2(n_30547),
+	.B1(n_3605),
+	.B2(n_30552),
+	.X(n_4112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g665005 (
+	.A1(n_3327),
+	.A2(n_3587),
+	.B1(n_4010),
+	.Y(n_4111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665006 (
+	.A1(n_517),
+	.A2(n_30540),
+	.B1(n_361),
+	.B2(n_30600),
+	.X(n_4110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665007 (
+	.A1(n_517),
+	.A2(n_30536),
+	.B1(n_361),
+	.B2(n_30612),
+	.X(n_4109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g665008 (
+	.A1_N(n_3318),
+	.A2_N(n_3591),
+	.B1(n_38511),
+	.B2(n_3747),
+	.Y(n_4108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g665009 (
+	.A1(n_3371),
+	.A2(n_3616),
+	.B1(n_4009),
+	.Y(n_4107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g665010 (
+	.A1(n_3354),
+	.A2(n_3602),
+	.B1(n_4005),
+	.Y(n_4106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g665011 (
+	.A1(n_38527),
+	.A2(n_3603),
+	.B1(n_3992),
+	.Y(n_4105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g665012 (
+	.A1_N(n_3353),
+	.A2_N(n_3608),
+	.B1(n_38516),
+	.B2(n_3741),
+	.Y(n_4104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g665013 (
+	.A1(n_38535),
+	.A2(n_3610),
+	.B1(n_3986),
+	.Y(n_4103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g665014 (
+	.A1(n_30850),
+	.A2(n_3779),
+	.B1(n_2732),
+	.B2(n_30814),
+	.Y(n_4102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g665015 (
+	.A1(n_3329),
+	.A2(n_3614),
+	.B1(n_3989),
+	.Y(n_4101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665016 (
+	.A1(n_2655),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[51]),
+	.B1(n_2649),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[19]),
+	.C1(n_4056),
+	.Y(n_4100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g665017 (
+	.A1(n_607),
+	.A2(n_30833),
+	.B1(n_30832),
+	.B2(n_3506),
+	.Y(n_4099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g665018 (
+	.A1(n_33234),
+	.A2(n_2619),
+	.B1(n_2725),
+	.B2(n_33235),
+	.C1(n_2618),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[107]),
+	.Y(n_4098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g665019 (
+	.A1_N(n_548),
+	.A2_N(n_30533),
+	.B1(n_2782),
+	.B2(n_30580),
+	.Y(n_4097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g665020 (
+	.A1(n_33235),
+	.A2(n_2718),
+	.B1(n_2726),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[11]),
+	.C1(n_2723),
+	.C2(n_33234),
+	.Y(n_4096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g665021 (
+	.A1(n_3181),
+	.A2(n_31863),
+	.B1(n_30587),
+	.B2(n_548),
+	.C1(n_4053),
+	.X(brqrv_top_brqrv_lsu_bus_read_data_m[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g665022 (
+	.A1(n_2788),
+	.A2(n_30563),
+	.B1(n_2774),
+	.B2(n_30564),
+	.C1(n_4003),
+	.Y(n_33296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 g665023 (
+	.A(brqrv_top_brqrv_dma_ctrl_dma_mem_addr_in_iccm),
+	.B(brqrv_top_brqrv_dma_ctrl_dma_mem_addr_in_dccm),
+	.C_N(n_3778),
+	.X(n_4214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g665024 (
+	.A(n_3726),
+	.B(n_2893),
+	.C(n_2884),
+	.Y(n_33269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g665025 (
+	.A1(n_2652),
+	.A2(n_30563),
+	.B1(n_2770),
+	.B2(n_30564),
+	.C1(n_3999),
+	.Y(n_33292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g665026 (
+	.A(n_3725),
+	.B(n_2916),
+	.C(n_2888),
+	.Y(n_33270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g665027 (
+	.A(n_3730),
+	.B(n_2911),
+	.C(n_2912),
+	.Y(n_33272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g665028 (
+	.A1(n_2764),
+	.A2(n_30554),
+	.B1(n_2662),
+	.B2(n_30555),
+	.C1(n_4000),
+	.Y(n_33297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g665029 (
+	.A1(n_2785),
+	.A2(n_30554),
+	.B1(n_2753),
+	.B2(n_30555),
+	.C1(n_4002),
+	.Y(n_33293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g665030 (
+	.A1(n_3516),
+	.A2(n_3633),
+	.B1(n_3579),
+	.B2(n_3630),
+	.C1(n_3943),
+	.Y(n_4213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g665031 (
+	.A1(n_2635),
+	.A2(n_30799),
+	.B1(n_2743),
+	.B2(n_30795),
+	.C1(n_4001),
+	.Y(n_33289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g665032 (
+	.A(n_3532),
+	.B(n_2844),
+	.C(n_2958),
+	.D(n_3437),
+	.Y(n_563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g665033 (
+	.A(n_3728),
+	.B(n_2908),
+	.C(n_2907),
+	.Y(n_33268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g665034 (
+	.A1(n_620),
+	.A2(n_30768),
+	.B1(n_608),
+	.B2(n_30769),
+	.C1(n_4004),
+	.Y(n_33281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g665035 (
+	.A(n_3572),
+	.B(n_39167),
+	.C(n_2940),
+	.D(n_3439),
+	.Y(n_4211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g665036 (
+	.A(n_3729),
+	.B(n_2909),
+	.C(n_2910),
+	.Y(n_33273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g665037 (
+	.A(n_2924),
+	.B(n_3529),
+	.C(n_2957),
+	.D(n_2944),
+	.Y(n_4210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665038 (
+	.A1(n_2696),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[6]),
+	.B1(n_2588),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[102]),
+	.C1(n_4007),
+	.Y(n_4209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665039 (
+	.A1(n_2698),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[35]),
+	.B1(n_2587),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[67]),
+	.C1(n_4006),
+	.Y(n_4208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g665040 (
+	.A(n_2946),
+	.B(n_3573),
+	.C(n_2954),
+	.D(n_2937),
+	.Y(n_4207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g665041 (
+	.A(n_2943),
+	.B(n_3571),
+	.C(n_2942),
+	.D(n_2925),
+	.Y(n_4206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g665044 (
+	.A(n_4092),
+	.Y(n_4091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g665045 (
+	.A(n_4089),
+	.Y(n_4090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665046 (
+	.A(n_31319),
+	.Y(n_4088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665047 (
+	.A(n_31366),
+	.Y(n_4087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665048 (
+	.A(n_32492),
+	.Y(n_4086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665049 (
+	.A(n_31368),
+	.Y(n_4085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665050 (
+	.A(n_32468),
+	.Y(n_4084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665051 (
+	.A(n_31370),
+	.Y(n_4083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665052 (
+	.A(n_32465),
+	.Y(n_4082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665053 (
+	.A(n_31373),
+	.Y(n_4081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665054 (
+	.A(n_31376),
+	.Y(n_4080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665055 (
+	.A(n_31363),
+	.Y(n_4079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665056 (
+	.A(n_31339),
+	.Y(n_4078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665057 (
+	.A(n_31336),
+	.Y(n_4077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665058 (
+	.A(n_31360),
+	.Y(n_4076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665059 (
+	.A(n_31345),
+	.Y(n_4075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665060 (
+	.A(n_31342),
+	.Y(n_4074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665061 (
+	.A(n_31379),
+	.Y(n_4073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665062 (
+	.A(n_31326),
+	.Y(n_4072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665063 (
+	.A(n_32488),
+	.Y(n_4071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665064 (
+	.A(n_32485),
+	.Y(n_4070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665065 (
+	.A(n_31327),
+	.Y(n_4069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665066 (
+	.A(n_32482),
+	.Y(n_4068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665067 (
+	.A(n_32476),
+	.Y(n_4067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665068 (
+	.A(n_31357),
+	.Y(n_4066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665069 (
+	.A(n_31354),
+	.Y(n_4065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665070 (
+	.A(n_31351),
+	.Y(n_4064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665071 (
+	.A(n_32480),
+	.Y(n_4063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665072 (
+	.A(n_31322),
+	.Y(n_4062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665073 (
+	.A(n_31316),
+	.Y(n_4061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665074 (
+	.A(n_32477),
+	.Y(n_4060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g665076 (
+	.A1(n_417),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[112]),
+	.B1(n_2951),
+	.C1(n_3523),
+	.X(brqrv_top_brqrv_lsu_bus_read_data_m[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665077 (
+	.A(n_30818),
+	.B(n_3779),
+	.Y(n_4058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g665078 (
+	.A(n_30818),
+	.B_N(n_30603),
+	.Y(n_4057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g665079 (
+	.A(n_32537),
+	.B_N(n_33219),
+	.Y(n_4056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665080 (
+	.A_N(n_31708),
+	.B(brqrv_top_brqrv_lsu_fir_addr[5]),
+	.Y(n_4055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665081 (
+	.A1(n_3692),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [73]),
+	.B1(n_3693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [75]),
+	.Y(n_4054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g665082 (
+	.A(n_30585),
+	.B_N(n_30572),
+	.Y(n_4053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665083 (
+	.A1(n_3695),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [69]),
+	.B1(n_3705),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [71]),
+	.Y(n_4052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665084 (
+	.A1(n_3690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [77]),
+	.B1(n_3698),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [79]),
+	.Y(n_4051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665085 (
+	.A1(n_3699),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [85]),
+	.B1(n_3700),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [87]),
+	.Y(n_4050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665086 (
+	.A1(n_3701),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [89]),
+	.B1(n_3702),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [91]),
+	.Y(n_4049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g665087 (
+	.A(n_30849),
+	.B_N(n_33250),
+	.Y(n_4048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665088 (
+	.A1(n_3704),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [93]),
+	.B1(n_3694),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [95]),
+	.Y(n_4047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665089 (
+	.A1(n_3703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [81]),
+	.B1(n_3681),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [83]),
+	.Y(n_4046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665090 (
+	.A1(n_3645),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [161]),
+	.B1(n_3644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [163]),
+	.Y(n_4045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665091 (
+	.A1(n_3648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [169]),
+	.B1(n_3649),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [171]),
+	.Y(n_4044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665092 (
+	.A1(n_3638),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [173]),
+	.B1(n_3650),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [175]),
+	.Y(n_4043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665093 (
+	.A1(n_3667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [141]),
+	.B1(n_3643),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [143]),
+	.Y(n_4042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g665094 (
+	.A(n_3849),
+	.B(n_3858),
+	.X(n_4041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665095 (
+	.A1(n_33455),
+	.A2(n_315),
+	.B1(n_30413),
+	.B2(brqrv_top_brqrv_dec_decode_write_csr_data[15]),
+	.X(brqrv_top_brqrv_dec_dec_csr_wrdata_r[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g665096 (
+	.A(n_3818),
+	.B(n_3852),
+	.X(n_4040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665097 (
+	.A1(n_3682),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [5]),
+	.B1(n_3683),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [7]),
+	.Y(n_4039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g665098 (
+	.A(n_3854),
+	.B(n_3855),
+	.X(n_4038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g665099 (
+	.A(n_3817),
+	.B(n_3837),
+	.X(n_4037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g665100 (
+	.A(n_3791),
+	.B(n_3784),
+	.X(n_4036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g665101 (
+	.A(n_3789),
+	.B(n_3857),
+	.X(n_4035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665102 (
+	.A1(n_3662),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [33]),
+	.B1(n_3663),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [35]),
+	.Y(n_4034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665103 (
+	.A1(n_3664),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [41]),
+	.B1(n_3665),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [43]),
+	.Y(n_4033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665104 (
+	.A1(n_3671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [237]),
+	.B1(n_3668),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [239]),
+	.Y(n_4032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665105 (
+	.A1(n_3660),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [45]),
+	.B1(n_3661),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [47]),
+	.Y(n_4031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g665106 (
+	.A(n_3859),
+	.B(n_3860),
+	.X(n_4030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g665107 (
+	.A(n_3862),
+	.B(n_3863),
+	.X(n_4029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665108 (
+	.A1(n_3672),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [137]),
+	.B1(n_3670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [139]),
+	.Y(n_4028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665109 (
+	.A1(n_3676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [129]),
+	.B1(n_3675),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [131]),
+	.Y(n_4027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g665110 (
+	.A(n_3865),
+	.B(n_3788),
+	.X(n_4026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g665111 (
+	.A(n_3853),
+	.B(n_3864),
+	.X(n_4025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665112 (
+	.A1(n_3688),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [13]),
+	.B1(n_3689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [15]),
+	.Y(n_4024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g665113 (
+	.A(n_3868),
+	.B(n_3869),
+	.X(n_4023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g665114 (
+	.A(n_3870),
+	.B(n_3871),
+	.X(n_4022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665115 (
+	.A_N(n_3775),
+	.B(n_3719),
+	.Y(n_4021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g665117 (
+	.A0(n_3720),
+	.A1(brqrv_top_brqrv_dma_ctrl_dma_mem_addr_in_dccm),
+	.S(n_2790),
+	.Y(n_4020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g665118 (
+	.A(n_3873),
+	.B(n_3752),
+	.X(n_4019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665119 (
+	.A1(n_3646),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [165]),
+	.B1(n_3647),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [167]),
+	.Y(n_4018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g665120 (
+	.A(n_3867),
+	.B(n_3760),
+	.X(n_4017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665121 (
+	.A1(n_3666),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [233]),
+	.B1(n_3641),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [235]),
+	.Y(n_4016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665122 (
+	.A1(n_3673),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [225]),
+	.B1(n_3639),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [227]),
+	.Y(n_4015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665123 (
+	.A1(n_3651),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [197]),
+	.B1(n_3652),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [199]),
+	.Y(n_4014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665124 (
+	.A1(n_3674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [229]),
+	.B1(n_3640),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [231]),
+	.Y(n_4013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g665125 (
+	.A_N(n_3504),
+	.B(n_3314),
+	.C(n_3312),
+	.D(n_3313),
+	.Y(n_4012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665126 (
+	.A(n_3733),
+	.B(n_3403),
+	.Y(n_4011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665127 (
+	.A(n_3734),
+	.B(n_38509),
+	.Y(n_4010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665128 (
+	.A(n_3736),
+	.B(n_3382),
+	.Y(n_4009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g665129 (
+	.A1(n_32817),
+	.A2(n_2974),
+	.B1(n_2724),
+	.B2(n_39123),
+	.C1(n_3775),
+	.Y(n_4008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g665130 (
+	.A1(n_2591),
+	.A2(brqrv_top_brqrv_lsu_store_data_lo_r[6]),
+	.B1(n_2589),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[6]),
+	.C1(n_3761),
+	.X(n_4007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g665131 (
+	.A1(n_2696),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[3]),
+	.B1(n_2588),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[99]),
+	.C1(n_3727),
+	.X(n_4006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665132 (
+	.A(n_3737),
+	.B(n_38525),
+	.Y(n_4005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g665133 (
+	.A(n_2949),
+	.B(n_3562),
+	.C(n_2948),
+	.Y(n_4004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g665134 (
+	.A(n_2956),
+	.B(n_3539),
+	.C(n_2941),
+	.Y(n_4003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g665135 (
+	.A(n_2928),
+	.B(n_3536),
+	.C(n_2929),
+	.Y(n_4002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g665136 (
+	.A(n_3559),
+	.B(n_2926),
+	.C(n_2939),
+	.Y(n_4001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g665137 (
+	.A(n_2933),
+	.B(n_3542),
+	.C(n_2947),
+	.Y(n_4000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g665138 (
+	.A(n_2927),
+	.B(n_3545),
+	.C(n_2932),
+	.Y(n_3999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665139 (
+	.A_N(n_30655),
+	.B(n_33250),
+	.Y(n_3998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g665140 (
+	.A1(brqrv_top_brqrv_lsu_fir_addr[10]),
+	.A2(n_579),
+	.B1(n_39150),
+	.B2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[10]),
+	.C1(n_29931),
+	.C2(brqrv_top_brqrv_dec_i0_result_r[10]),
+	.Y(n_3997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665141 (
+	.A(n_3735),
+	.B(n_3406),
+	.Y(n_3996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111o_1 g665142 (
+	.A1(n_417),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[113]),
+	.B1(n_2938),
+	.C1(n_2945),
+	.D1(n_3419),
+	.X(brqrv_top_brqrv_lsu_bus_read_data_m[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g665143 (
+	.A1(n_444),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[1]),
+	.B1(n_2709),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[1]),
+	.C1(n_3723),
+	.X(brqrv_top_brqrv_lsu_bus_read_data_m[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g665144 (
+	.A1(brqrv_top_brqrv_lsu_fir_addr[12]),
+	.A2(n_579),
+	.B1(n_39150),
+	.B2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[12]),
+	.C1(n_29931),
+	.C2(brqrv_top_brqrv_dec_i0_result_r[12]),
+	.Y(n_3995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g665145 (
+	.A1(brqrv_top_brqrv_lsu_fir_addr[9]),
+	.A2(n_579),
+	.B1(n_29931),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[9]),
+	.C1(n_39150),
+	.C2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[9]),
+	.Y(n_3994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g665146 (
+	.A1(brqrv_top_brqrv_lsu_fir_addr[8]),
+	.A2(n_579),
+	.B1(n_29931),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[8]),
+	.C1(n_39150),
+	.C2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[8]),
+	.Y(n_3993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665147 (
+	.A(n_3738),
+	.B(n_3379),
+	.Y(n_3992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665148 (
+	.A1(n_2712),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[98]),
+	.B1(n_2715),
+	.B2(n_30674),
+	.C1(n_3570),
+	.Y(n_3991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665149 (
+	.A1(n_2713),
+	.A2(n_33252),
+	.B1(n_2598),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[68]),
+	.C1(n_3567),
+	.Y(n_3990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665150 (
+	.A(n_3745),
+	.B(n_38514),
+	.Y(n_3989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665151 (
+	.A(n_3388),
+	.B(n_3743),
+	.Y(n_3988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665152 (
+	.A(n_3732),
+	.B(n_38517),
+	.Y(n_3987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665153 (
+	.A(n_3742),
+	.B(n_3410),
+	.Y(n_3986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665154 (
+	.A(n_3394),
+	.B(n_3740),
+	.Y(n_3985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665155 (
+	.A(n_3385),
+	.B(n_3739),
+	.Y(n_3984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g665156 (
+	.A_N(brqrv_top_brqrv_lsu_addr_in_pic_m),
+	.B(n_3586),
+	.C(n_462),
+	.D(brqrv_top_brqrv_lsu_addr_in_dccm_m),
+	.Y(n_32322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g665157 (
+	.A(n_3586),
+	.B(n_462),
+	.C(brqrv_top_brqrv_lsu_addr_in_pic_m),
+	.Y(n_32323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665158 (
+	.A(n_3808),
+	.B(n_32193),
+	.Y(n_31284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665159 (
+	.A1(n_2563),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [14]),
+	.B1(n_3245),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [14]),
+	.X(n_31262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g665160 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[16]),
+	.B(n_3503),
+	.X(n_4095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665161 (
+	.A(n_30633),
+	.B(n_30552),
+	.Y(n_411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665162 (
+	.A(n_30634),
+	.B(n_30552),
+	.Y(n_404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665163 (
+	.A(n_30698),
+	.B(n_2554),
+	.Y(n_30628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665164 (
+	.A(n_3762),
+	.B(n_542),
+	.Y(n_30550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665165 (
+	.A(n_3762),
+	.B(n_541),
+	.Y(n_30551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665166 (
+	.A(n_30698),
+	.B(n_2553),
+	.Y(n_30630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g665167 (
+	.A(brqrv_top_brqrv_lsu_n_1518),
+	.B(n_2862),
+	.C(n_2814),
+	.D(n_3586),
+	.Y(n_4092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665168 (
+	.A(n_30698),
+	.B(n_3632),
+	.Y(n_30631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665169 (
+	.A(n_30698),
+	.B(n_3631),
+	.Y(n_30629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665170 (
+	.A(n_3485),
+	.B(n_30698),
+	.Y(n_4089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665171 (
+	.A(n_3773),
+	.B(n_2802),
+	.Y(n_31319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665172 (
+	.A(n_3773),
+	.B(n_2803),
+	.Y(n_31366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665173 (
+	.A(n_3774),
+	.B(n_2802),
+	.Y(n_32492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665174 (
+	.A(n_3774),
+	.B(n_2803),
+	.Y(n_31368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665175 (
+	.A(n_3770),
+	.B(n_2855),
+	.Y(n_32468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665176 (
+	.A(n_3774),
+	.B(n_2855),
+	.Y(n_31370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665177 (
+	.A(n_3773),
+	.B(n_2856),
+	.Y(n_32465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665178 (
+	.A(n_3771),
+	.B(n_2856),
+	.Y(n_31373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665179 (
+	.A(n_3771),
+	.B(n_2802),
+	.Y(n_31376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665180 (
+	.A(n_3772),
+	.B(n_2803),
+	.Y(n_31363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665181 (
+	.A(n_3772),
+	.B(n_2856),
+	.Y(n_31339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665182 (
+	.A(n_3772),
+	.B(n_2802),
+	.Y(n_31336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665183 (
+	.A(n_3769),
+	.B(n_2803),
+	.Y(n_31360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665184 (
+	.A(n_3769),
+	.B(n_2802),
+	.Y(n_31345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665185 (
+	.A(n_3769),
+	.B(n_2855),
+	.Y(n_31342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665186 (
+	.A(n_3771),
+	.B(n_2855),
+	.Y(n_31379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665187 (
+	.A(n_3767),
+	.B(n_2856),
+	.Y(n_31326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665188 (
+	.A(n_3771),
+	.B(n_2803),
+	.Y(n_32488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665189 (
+	.A(n_3770),
+	.B(n_2803),
+	.Y(n_32485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665190 (
+	.A(n_3770),
+	.B(n_2802),
+	.Y(n_31327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665191 (
+	.A(n_3767),
+	.B(n_2802),
+	.Y(n_32482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665192 (
+	.A(n_3770),
+	.B(n_2856),
+	.Y(n_32476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665193 (
+	.A(n_3765),
+	.B(n_2802),
+	.Y(n_31357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665194 (
+	.A(n_3765),
+	.B(n_2803),
+	.Y(n_31354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665195 (
+	.A(n_3765),
+	.B(n_2855),
+	.Y(n_31351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665196 (
+	.A(n_3767),
+	.B(n_2803),
+	.Y(n_32480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665197 (
+	.A(n_3773),
+	.B(n_2855),
+	.Y(n_31322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665198 (
+	.A(n_3772),
+	.B(n_2855),
+	.Y(n_31316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665199 (
+	.A(n_3769),
+	.B(n_2856),
+	.Y(n_31348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g665200 (
+	.A(n_2854),
+	.B(n_3766),
+	.X(n_32477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665201 (
+	.A(n_3765),
+	.B(n_2856),
+	.Y(n_31333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g665203 (
+	.A(n_3847),
+	.B(n_3797),
+	.X(n_3973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665204 (
+	.A1(n_3626),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[28]),
+	.B1(n_33304),
+	.B2(n_3444),
+	.Y(n_3972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665205 (
+	.A1(n_3667),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [397]),
+	.B1(n_3643),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [399]),
+	.Y(n_3971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665206 (
+	.A1(n_3676),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [385]),
+	.B1(n_3675),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [387]),
+	.Y(n_3970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665207 (
+	.A1(n_3706),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [101]),
+	.B1(n_3691),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [103]),
+	.Y(n_3969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665208 (
+	.A1(n_3710),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [97]),
+	.B1(n_3711),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [99]),
+	.Y(n_3968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665209 (
+	.A1(n_3671),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [493]),
+	.B1(n_3668),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [495]),
+	.Y(n_3967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665210 (
+	.A1(n_476),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[30]),
+	.B1(n_33308),
+	.B2(n_3450),
+	.Y(n_3966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665211 (
+	.A1(n_3696),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [321]),
+	.B1(n_3697),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [323]),
+	.Y(n_3965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665212 (
+	.A1(n_3672),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [393]),
+	.B1(n_3670),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [395]),
+	.Y(n_3964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g665213 (
+	.A(n_3833),
+	.B(n_3836),
+	.X(n_3963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665214 (
+	.A1(n_3627),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[31]),
+	.B1(n_33316),
+	.B2(n_3446),
+	.Y(n_3962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665215 (
+	.A1(n_3627),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[30]),
+	.B1(n_33308),
+	.B2(n_3446),
+	.Y(n_3961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665216 (
+	.A1(n_3642),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [389]),
+	.B1(n_3669),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [391]),
+	.Y(n_3960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g665217 (
+	.A(n_3830),
+	.B(n_3832),
+	.X(n_3959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g665218 (
+	.A(n_3828),
+	.B(n_3829),
+	.X(n_3958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g665219 (
+	.A(n_3827),
+	.B(n_3875),
+	.X(n_3957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665220 (
+	.A1(n_3664),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [297]),
+	.B1(n_3665),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [299]),
+	.Y(n_3956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665221 (
+	.A1(n_3662),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [289]),
+	.B1(n_3663),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [291]),
+	.Y(n_3955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665222 (
+	.A1(n_3660),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [301]),
+	.B1(n_3661),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [303]),
+	.Y(n_3954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665223 (
+	.A1(n_3658),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [293]),
+	.B1(n_3659),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [295]),
+	.Y(n_3953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665224 (
+	.A1(n_3678),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [373]),
+	.B1(n_3679),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [375]),
+	.Y(n_3952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665225 (
+	.A1(n_3716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [381]),
+	.B1(n_3717),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [383]),
+	.Y(n_3951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665226 (
+	.A1(n_3714),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [377]),
+	.B1(n_3715),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [379]),
+	.Y(n_3950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665227 (
+	.A1(n_3712),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [369]),
+	.B1(n_3713),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [371]),
+	.Y(n_3949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665228 (
+	.A1(n_3688),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [269]),
+	.B1(n_3689),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [271]),
+	.Y(n_3948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665229 (
+	.A1(n_3686),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [257]),
+	.B1(n_3687),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [259]),
+	.Y(n_3947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665230 (
+	.A1(n_3684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [265]),
+	.B1(n_3685),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [267]),
+	.Y(n_3946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665231 (
+	.A1(n_3682),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [261]),
+	.B1(n_3683),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [263]),
+	.Y(n_3945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665232 (
+	.A1(n_3710),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [353]),
+	.B1(n_3711),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [355]),
+	.Y(n_3944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g665233 (
+	.A1(n_2554),
+	.A2(n_3609),
+	.B1(n_3749),
+	.Y(n_3943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665234 (
+	.A1(n_3708),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [365]),
+	.B1(n_3709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [367]),
+	.Y(n_3942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665235 (
+	.A1(n_3707),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [361]),
+	.B1(n_3680),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [363]),
+	.Y(n_3941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665236 (
+	.A1(n_3706),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [357]),
+	.B1(n_3691),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [359]),
+	.Y(n_3940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g665237 (
+	.A1(n_3632),
+	.A2(n_3609),
+	.B1(n_3748),
+	.Y(n_3939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g665238 (
+	.A(n_3823),
+	.B(n_3810),
+	.X(n_3938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g665239 (
+	.A(n_3753),
+	.B(n_3822),
+	.X(n_3937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g665240 (
+	.A(n_3820),
+	.B(n_3821),
+	.X(n_3936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g665241 (
+	.A(n_3816),
+	.B(n_3819),
+	.X(n_3935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g665242 (
+	.A(n_3814),
+	.B(n_3815),
+	.X(n_3934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g665243 (
+	.A(n_3813),
+	.B(n_3812),
+	.X(n_3933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g665244 (
+	.A(n_3809),
+	.B(n_3811),
+	.X(n_3932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665245 (
+	.A1(n_3677),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [449]),
+	.B1(n_3657),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [451]),
+	.Y(n_3931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665246 (
+	.A1(n_3696),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [65]),
+	.B1(n_3697),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [67]),
+	.Y(n_3930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g665247 (
+	.A(n_3756),
+	.B(n_3844),
+	.X(n_3929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665248 (
+	.A1(n_3655),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [457]),
+	.B1(n_3656),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [459]),
+	.Y(n_3928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g665249 (
+	.A(n_3872),
+	.B(n_3825),
+	.X(n_3927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665250 (
+	.A1(n_3653),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [461]),
+	.B1(n_3654),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [463]),
+	.Y(n_3926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665251 (
+	.A1(n_3651),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [453]),
+	.B1(n_3652),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [455]),
+	.Y(n_3925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g665252 (
+	.A(n_3804),
+	.B(n_3805),
+	.X(n_3924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g665253 (
+	.A(n_3803),
+	.B(n_3802),
+	.X(n_3923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665254 (
+	.A1(n_3686),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [1]),
+	.B1(n_3687),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [3]),
+	.Y(n_3922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g665255 (
+	.A(n_3800),
+	.B(n_3801),
+	.X(n_3921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g665256 (
+	.A(n_3798),
+	.B(n_3799),
+	.X(n_3920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665257 (
+	.A1(n_3638),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [429]),
+	.B1(n_3650),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [431]),
+	.Y(n_3919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665258 (
+	.A1(n_3658),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [37]),
+	.B1(n_3659),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [39]),
+	.Y(n_3918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665259 (
+	.A1(n_3648),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [425]),
+	.B1(n_3649),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [427]),
+	.Y(n_3917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665260 (
+	.A1(n_3646),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [421]),
+	.B1(n_3647),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [423]),
+	.Y(n_3916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665261 (
+	.A1(n_3645),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [417]),
+	.B1(n_3644),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [419]),
+	.Y(n_3915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665262 (
+	.A1(n_3677),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [193]),
+	.B1(n_3657),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [195]),
+	.Y(n_3914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g665263 (
+	.A(n_3874),
+	.B(n_3796),
+	.X(n_3913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g665264 (
+	.A(n_3794),
+	.B(n_3795),
+	.X(n_3912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g665265 (
+	.A(n_3792),
+	.B(n_3793),
+	.X(n_3911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g665266 (
+	.A(n_3839),
+	.B(n_3790),
+	.X(n_3910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g665267 (
+	.A(n_3787),
+	.B(n_3843),
+	.X(n_3909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g665268 (
+	.A(n_3785),
+	.B(n_3786),
+	.X(n_3908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g665269 (
+	.A(n_3831),
+	.B(n_3783),
+	.X(n_3907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g665270 (
+	.A(n_3754),
+	.B(n_3824),
+	.X(n_3906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g665271 (
+	.A(n_3781),
+	.B(n_3782),
+	.X(n_3905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665272 (
+	.A1(n_3712),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [113]),
+	.B1(n_3713),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [115]),
+	.Y(n_3904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665273 (
+	.A1(n_3625),
+	.A2(brqrv_top_brqrv_iccm_dma_rdata[30]),
+	.B1(n_33308),
+	.B2(n_3445),
+	.Y(n_3903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665274 (
+	.A1(n_3666),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [489]),
+	.B1(n_3641),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [491]),
+	.Y(n_3902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665275 (
+	.A1(n_3674),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [485]),
+	.B1(n_3640),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [487]),
+	.Y(n_3901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665276 (
+	.A1(n_3673),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [481]),
+	.B1(n_3639),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [483]),
+	.Y(n_3900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665277 (
+	.A1(n_3655),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [201]),
+	.B1(n_3656),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [203]),
+	.Y(n_3899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665278 (
+	.A1(n_3704),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [349]),
+	.B1(n_3694),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [351]),
+	.Y(n_3898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665279 (
+	.A1(n_3703),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [337]),
+	.B1(n_3681),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [339]),
+	.Y(n_3897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665280 (
+	.A1(n_3701),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [345]),
+	.B1(n_3702),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [347]),
+	.Y(n_3896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g665281 (
+	.A(n_3866),
+	.B(n_3806),
+	.X(n_3895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665282 (
+	.A1(n_3699),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [341]),
+	.B1(n_3700),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [343]),
+	.Y(n_3894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665283 (
+	.A1(n_3690),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [333]),
+	.B1(n_3698),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [335]),
+	.Y(n_3893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g665284 (
+	.A(n_3850),
+	.B(n_3780),
+	.X(n_3892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665285 (
+	.A1(n_3695),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [325]),
+	.B1(n_3705),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [327]),
+	.Y(n_3891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g665286 (
+	.A(n_3861),
+	.B(n_3731),
+	.X(n_3890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665287 (
+	.A1(n_3692),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [329]),
+	.B1(n_3693),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [331]),
+	.Y(n_3889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665288 (
+	.A1(n_3684),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [9]),
+	.B1(n_3685),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [11]),
+	.Y(n_3888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665289 (
+	.A1(n_3642),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [133]),
+	.B1(n_3669),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [135]),
+	.Y(n_3887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g665290 (
+	.A(n_3759),
+	.B(n_3838),
+	.X(n_3886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g665291 (
+	.A(n_3848),
+	.B(n_3758),
+	.X(n_3885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g665292 (
+	.A(n_3807),
+	.B(n_3755),
+	.X(n_3884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665293 (
+	.A1(n_3707),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [105]),
+	.B1(n_3680),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [107]),
+	.Y(n_3883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665294 (
+	.A1(n_3708),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [109]),
+	.B1(n_3709),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [111]),
+	.Y(n_3882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g665295 (
+	.A(n_3846),
+	.B(n_3851),
+	.X(n_3881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665296 (
+	.A1(n_3678),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [117]),
+	.B1(n_3679),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [119]),
+	.Y(n_3880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665297 (
+	.A1(n_3716),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [125]),
+	.B1(n_3717),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [127]),
+	.Y(n_3879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665298 (
+	.A1(n_3714),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [121]),
+	.B1(n_3715),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [123]),
+	.Y(n_3878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665299 (
+	.A1(n_3653),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [205]),
+	.B1(n_3654),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [207]),
+	.Y(n_3877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665300 (
+	.A1(n_2563),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [7]),
+	.B1(n_3245),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [7]),
+	.Y(n_3983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665301 (
+	.A1(n_2563),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [6]),
+	.B1(n_3245),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [6]),
+	.X(n_31275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665302 (
+	.A1(n_2563),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [5]),
+	.B1(n_3245),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [5]),
+	.Y(n_3982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665303 (
+	.A1(n_2563),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [26]),
+	.B1(n_3245),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [26]),
+	.X(n_31249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665304 (
+	.A1(n_2563),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [4]),
+	.B1(n_3245),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [4]),
+	.X(n_31277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665305 (
+	.A1(n_2563),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [3]),
+	.B1(n_3245),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [3]),
+	.X(n_31282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665306 (
+	.A1(n_2563),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [31]),
+	.B1(n_3245),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [31]),
+	.Y(n_3876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665307 (
+	.A1(n_2563),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [2]),
+	.B1(n_3245),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [2]),
+	.X(n_31280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665308 (
+	.A1(n_2563),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [1]),
+	.B1(n_3245),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [1]),
+	.X(n_31283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665309 (
+	.A1(n_2563),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [25]),
+	.B1(n_3245),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [25]),
+	.X(n_32429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665310 (
+	.A1(n_2563),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [24]),
+	.B1(n_3245),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [24]),
+	.X(n_32427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665311 (
+	.A1(n_2563),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [10]),
+	.B1(n_3245),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [10]),
+	.X(n_32435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665312 (
+	.A1(n_2563),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [23]),
+	.B1(n_3245),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [23]),
+	.Y(n_3981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665313 (
+	.A1(n_2563),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [22]),
+	.B1(n_3245),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [22]),
+	.X(n_3980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665314 (
+	.A1(n_2563),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [30]),
+	.B1(n_3245),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [30]),
+	.X(n_3979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665315 (
+	.A1(n_2563),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [21]),
+	.B1(n_3245),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [21]),
+	.Y(n_3978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665316 (
+	.A1(n_2563),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [20]),
+	.B1(n_3245),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [20]),
+	.X(n_31253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665317 (
+	.A1(n_2563),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [19]),
+	.B1(n_3245),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [19]),
+	.X(n_31266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665318 (
+	.A1(n_2563),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [18]),
+	.B1(n_3245),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [18]),
+	.X(n_31256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665319 (
+	.A1(n_2563),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [17]),
+	.B1(n_3245),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [17]),
+	.X(n_31265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665320 (
+	.A1(n_2563),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [11]),
+	.B1(n_3245),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [11]),
+	.X(n_32437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665321 (
+	.A1(n_2563),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [16]),
+	.B1(n_3245),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [16]),
+	.X(n_31259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665322 (
+	.A1(n_2563),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [15]),
+	.B1(n_3245),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [15]),
+	.X(n_31264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665323 (
+	.A1(n_2563),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [13]),
+	.B1(n_3245),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [13]),
+	.X(n_32438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665324 (
+	.A1(n_2563),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [12]),
+	.B1(n_3245),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [12]),
+	.Y(n_3977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665325 (
+	.A1(n_2563),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [29]),
+	.B1(n_3245),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [29]),
+	.Y(n_3976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665326 (
+	.A1(n_2563),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [28]),
+	.B1(n_3245),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [28]),
+	.X(n_31246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g665327 (
+	.A1(n_2563),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [9]),
+	.B1(n_3245),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [9]),
+	.Y(n_3975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665328 (
+	.A1(n_2563),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [27]),
+	.B1(n_3245),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [27]),
+	.X(n_31251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665329 (
+	.A1(n_2563),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [8]),
+	.B1(n_3245),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [8]),
+	.X(n_3974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665330 (
+	.A_N(n_30141),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [275]),
+	.Y(n_3875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665331 (
+	.A_N(n_30210),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [413]),
+	.Y(n_3874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665332 (
+	.A_N(n_30238),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [213]),
+	.Y(n_3873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665333 (
+	.A_N(n_30242),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [221]),
+	.Y(n_3872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665334 (
+	.A_N(n_30237),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [211]),
+	.Y(n_3871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665335 (
+	.A_N(n_30236),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [209]),
+	.Y(n_3870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665336 (
+	.A_N(n_30211),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [159]),
+	.Y(n_3869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665337 (
+	.A_N(n_30210),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [157]),
+	.Y(n_3868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665338 (
+	.A_N(n_30240),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [217]),
+	.Y(n_3867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665339 (
+	.A_N(n_30206),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [149]),
+	.Y(n_3866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665340 (
+	.A_N(n_30208),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [153]),
+	.Y(n_3865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665341 (
+	.A_N(n_30205),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [147]),
+	.Y(n_3864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665342 (
+	.A_N(n_30161),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [59]),
+	.Y(n_3863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665343 (
+	.A_N(n_30160),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [57]),
+	.Y(n_3862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665344 (
+	.A_N(n_30158),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [53]),
+	.Y(n_3861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665345 (
+	.A_N(n_30157),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [51]),
+	.Y(n_3860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665346 (
+	.A_N(n_30156),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [49]),
+	.Y(n_3859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665347 (
+	.A_N(n_30221),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [179]),
+	.Y(n_3858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665348 (
+	.A_N(n_30141),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [19]),
+	.Y(n_3857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665349 (
+	.A(n_3625),
+	.B(brqrv_top_brqrv_iccm_dma_rdata[29]),
+	.Y(n_3856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665350 (
+	.A_N(n_30143),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [23]),
+	.Y(n_3855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665351 (
+	.A_N(n_30142),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [21]),
+	.Y(n_3854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665352 (
+	.A_N(n_30204),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [145]),
+	.Y(n_3853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665353 (
+	.A_N(n_30227),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [191]),
+	.Y(n_3852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665354 (
+	.A_N(n_30225),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [187]),
+	.Y(n_3851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665355 (
+	.A_N(n_30222),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [181]),
+	.Y(n_3850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665356 (
+	.A_N(n_30220),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [177]),
+	.Y(n_3849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665357 (
+	.A_N(n_30252),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [241]),
+	.Y(n_3848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665358 (
+	.A_N(n_30162),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [61]),
+	.Y(n_3847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665359 (
+	.A_N(n_30224),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [185]),
+	.Y(n_3846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665360 (
+	.A(n_3626),
+	.B(brqrv_top_brqrv_iccm_dma_rdata[29]),
+	.Y(n_3845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665361 (
+	.A_N(n_30259),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [255]),
+	.Y(n_3844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665362 (
+	.A_N(n_30259),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [511]),
+	.Y(n_3843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665363 (
+	.A(n_476),
+	.B(brqrv_top_brqrv_iccm_dma_rdata[31]),
+	.Y(n_3842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665364 (
+	.A(n_476),
+	.B(brqrv_top_brqrv_iccm_dma_rdata[29]),
+	.Y(n_3841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665365 (
+	.A(n_476),
+	.B(brqrv_top_brqrv_iccm_dma_rdata[28]),
+	.Y(n_3840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665366 (
+	.A_N(n_30204),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [401]),
+	.Y(n_3839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665367 (
+	.A_N(n_30257),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [251]),
+	.Y(n_3838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665368 (
+	.A_N(n_30145),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [27]),
+	.Y(n_3837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665369 (
+	.A_N(n_30147),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [287]),
+	.Y(n_3836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665370 (
+	.A(n_3627),
+	.B(brqrv_top_brqrv_iccm_dma_rdata[29]),
+	.Y(n_3835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665371 (
+	.A(n_3627),
+	.B(brqrv_top_brqrv_iccm_dma_rdata[28]),
+	.Y(n_3834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665372 (
+	.A_N(n_30146),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [285]),
+	.Y(n_3833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665373 (
+	.A_N(n_30143),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [279]),
+	.Y(n_3832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665374 (
+	.A_N(n_30256),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [505]),
+	.Y(n_3831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665375 (
+	.A_N(n_30142),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [277]),
+	.Y(n_3830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665376 (
+	.A_N(n_30145),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [283]),
+	.Y(n_3829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665377 (
+	.A_N(n_30144),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [281]),
+	.Y(n_3828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665378 (
+	.A_N(n_30140),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [273]),
+	.Y(n_3827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665379 (
+	.A(n_3625),
+	.B(brqrv_top_brqrv_iccm_dma_rdata[28]),
+	.Y(n_3826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665380 (
+	.A_N(n_30243),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [223]),
+	.Y(n_3825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665381 (
+	.A_N(n_30163),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [319]),
+	.Y(n_3824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665382 (
+	.A_N(n_30160),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [313]),
+	.Y(n_3823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665383 (
+	.A_N(n_30159),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [311]),
+	.Y(n_3822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665384 (
+	.A_N(n_30157),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [307]),
+	.Y(n_3821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665385 (
+	.A_N(n_30156),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [305]),
+	.Y(n_3820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665386 (
+	.A_N(n_30237),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [467]),
+	.Y(n_3819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665387 (
+	.A_N(n_30226),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [189]),
+	.Y(n_3818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665388 (
+	.A_N(n_30144),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [25]),
+	.Y(n_3817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665389 (
+	.A_N(n_30236),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [465]),
+	.Y(n_3816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665390 (
+	.A_N(n_30241),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [475]),
+	.Y(n_3815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665391 (
+	.A_N(n_30240),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [473]),
+	.Y(n_3814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665392 (
+	.A_N(n_30242),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [477]),
+	.Y(n_3813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665393 (
+	.A_N(n_30243),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [479]),
+	.Y(n_3812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665394 (
+	.A_N(n_30239),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [471]),
+	.Y(n_3811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665395 (
+	.A_N(n_30161),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [315]),
+	.Y(n_3810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665396 (
+	.A_N(n_30238),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [469]),
+	.Y(n_3809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665397 (
+	.A(n_2563),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [0]),
+	.Y(n_3808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665398 (
+	.A_N(n_30254),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [245]),
+	.Y(n_3807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665399 (
+	.A_N(n_30207),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [151]),
+	.Y(n_3806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665400 (
+	.A_N(n_30223),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [439]),
+	.Y(n_3805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665401 (
+	.A_N(n_30222),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [437]),
+	.Y(n_3804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665402 (
+	.A_N(n_30224),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [441]),
+	.Y(n_3803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665403 (
+	.A_N(n_30225),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [443]),
+	.Y(n_3802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665404 (
+	.A_N(n_30227),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [447]),
+	.Y(n_3801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665405 (
+	.A_N(n_30226),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [445]),
+	.Y(n_3800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665406 (
+	.A_N(n_30221),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [435]),
+	.Y(n_3799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665407 (
+	.A_N(n_30220),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [433]),
+	.Y(n_3798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665408 (
+	.A_N(n_30163),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [63]),
+	.Y(n_3797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665409 (
+	.A_N(n_30211),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [415]),
+	.Y(n_3796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665410 (
+	.A_N(n_30207),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [407]),
+	.Y(n_3795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665411 (
+	.A_N(n_30206),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [405]),
+	.Y(n_3794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665412 (
+	.A_N(n_30209),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [411]),
+	.Y(n_3793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665413 (
+	.A_N(n_30208),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [409]),
+	.Y(n_3792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665414 (
+	.A_N(n_30146),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [29]),
+	.Y(n_3791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665415 (
+	.A_N(n_30205),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [403]),
+	.Y(n_3790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665416 (
+	.A_N(n_30140),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [17]),
+	.Y(n_3789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665417 (
+	.A_N(n_30209),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [155]),
+	.Y(n_3788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665418 (
+	.A_N(n_30258),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [509]),
+	.Y(n_3787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665419 (
+	.A_N(n_30253),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [499]),
+	.Y(n_3786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665420 (
+	.A_N(n_30252),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [497]),
+	.Y(n_3785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665421 (
+	.A_N(n_30147),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [31]),
+	.Y(n_3784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665422 (
+	.A_N(n_30257),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [507]),
+	.Y(n_3783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665423 (
+	.A_N(n_30255),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [503]),
+	.Y(n_3782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665424 (
+	.A_N(n_30254),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [501]),
+	.Y(n_3781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665425 (
+	.A_N(n_30223),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [183]),
+	.Y(n_3780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665427 (
+	.A_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addr_external_r),
+	.B(n_38508),
+	.Y(n_31708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665428 (
+	.A_N(n_31874),
+	.B(n_3615),
+	.Y(n_31871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665429 (
+	.A(n_607),
+	.Y(n_33263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665430 (
+	.A(n_31286),
+	.Y(n_3768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g665431 (
+	.A(n_3766),
+	.Y(n_3767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665434 (
+	.A(n_30552),
+	.Y(n_3762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g665435 (
+	.A1(n_2698),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[38]),
+	.B1(n_2587),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[70]),
+	.C1(n_3557),
+	.X(n_3761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665436 (
+	.A_N(n_30241),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [219]),
+	.Y(n_3760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665437 (
+	.A_N(n_30256),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [249]),
+	.Y(n_3759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665438 (
+	.A_N(n_30253),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [243]),
+	.Y(n_3758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665439 (
+	.A(n_3625),
+	.B(brqrv_top_brqrv_iccm_dma_rdata[31]),
+	.Y(n_3757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665440 (
+	.A_N(n_30258),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [253]),
+	.Y(n_3756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665441 (
+	.A_N(n_30255),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [247]),
+	.Y(n_3755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665442 (
+	.A_N(n_30162),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [317]),
+	.Y(n_3754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665443 (
+	.A_N(n_30158),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [309]),
+	.Y(n_3753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665444 (
+	.A_N(n_30239),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [215]),
+	.Y(n_3752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665445 (
+	.A1(n_33461),
+	.A2(n_315),
+	.B1(n_30413),
+	.B2(brqrv_top_brqrv_dec_decode_write_csr_data[21]),
+	.X(brqrv_top_brqrv_dec_dec_csr_wrdata_r[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665446 (
+	.A1(n_33479),
+	.A2(n_2569),
+	.B1(n_33524),
+	.B2(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.X(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665447 (
+	.A1(n_33481),
+	.A2(n_2569),
+	.B1(n_33526),
+	.B2(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.X(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665450 (
+	.A(n_3581),
+	.B(n_3635),
+	.Y(n_3749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665451 (
+	.A(n_3579),
+	.B(n_3635),
+	.Y(n_3748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665452 (
+	.A1(n_33482),
+	.A2(n_2569),
+	.B1(n_33527),
+	.B2(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.X(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665453 (
+	.A1(n_33483),
+	.A2(n_2569),
+	.B1(n_33528),
+	.B2(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.X(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665454 (
+	.A1(n_33484),
+	.A2(n_2569),
+	.B1(n_33529),
+	.B2(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.X(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665455 (
+	.A1(n_33485),
+	.A2(n_2569),
+	.B1(n_33530),
+	.B2(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.X(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665456 (
+	.A1(n_33486),
+	.A2(n_2569),
+	.B1(n_33531),
+	.B2(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.X(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665457 (
+	.A1(n_33487),
+	.A2(n_2569),
+	.B1(n_33532),
+	.B2(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.X(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665458 (
+	.A1(n_33488),
+	.A2(n_2569),
+	.B1(n_33533),
+	.B2(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.X(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665459 (
+	.A1(n_33489),
+	.A2(n_2569),
+	.B1(n_33534),
+	.B2(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.X(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665460 (
+	.A1(n_33490),
+	.A2(n_2569),
+	.B1(n_33535),
+	.B2(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.X(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665461 (
+	.A1(n_33491),
+	.A2(n_2569),
+	.B1(n_33536),
+	.B2(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.X(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665462 (
+	.A1(n_33471),
+	.A2(n_315),
+	.B1(n_30413),
+	.B2(brqrv_top_brqrv_dec_decode_write_csr_data[31]),
+	.X(brqrv_top_brqrv_dec_dec_csr_wrdata_r[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665463 (
+	.A(n_3318),
+	.B(n_3591),
+	.Y(n_3747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665464 (
+	.A(n_3520),
+	.B(n_3391),
+	.Y(n_3746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665465 (
+	.A(n_3614),
+	.B(n_3329),
+	.Y(n_3745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g665466 (
+	.A1(brqrv_top_brqrv_dec_dec_i0_waddr_r[3]),
+	.A2(n_3173),
+	.B1(n_3254),
+	.B2(brqrv_top_brqrv_dec_dec_i0_waddr_r[2]),
+	.C1(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[2]),
+	.C2(n_2596),
+	.Y(n_3744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665467 (
+	.A(n_38537),
+	.B(n_3613),
+	.Y(n_3743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665468 (
+	.A(n_3610),
+	.B(n_38535),
+	.Y(n_3742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665469 (
+	.A(n_3353),
+	.B(n_3608),
+	.Y(n_3741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665470 (
+	.A(n_38532),
+	.B(n_3606),
+	.Y(n_3740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665471 (
+	.A(n_38529),
+	.B(n_3604),
+	.Y(n_3739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665472 (
+	.A(n_3603),
+	.B(n_38527),
+	.Y(n_3738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665473 (
+	.A(n_3602),
+	.B(n_3354),
+	.Y(n_3737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665474 (
+	.A(n_3616),
+	.B(n_3371),
+	.Y(n_3736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665475 (
+	.A(n_3405),
+	.B(n_3551),
+	.Y(n_3735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665476 (
+	.A1(n_33477),
+	.A2(n_2569),
+	.B1(n_33521),
+	.B2(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.X(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665477 (
+	.A(n_3587),
+	.B(n_3327),
+	.Y(n_3734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665478 (
+	.A1(n_33478),
+	.A2(n_2569),
+	.B1(n_33523),
+	.B2(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.X(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665479 (
+	.A(n_3607),
+	.B(n_38542),
+	.Y(n_3733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665480 (
+	.A(n_3594),
+	.B(n_3358),
+	.Y(n_3732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665481 (
+	.A1(n_33480),
+	.A2(n_2569),
+	.B1(n_33525),
+	.B2(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.X(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665482 (
+	.A1(n_33456),
+	.A2(n_315),
+	.B1(n_30413),
+	.B2(brqrv_top_brqrv_dec_decode_write_csr_data[16]),
+	.X(brqrv_top_brqrv_dec_dec_csr_wrdata_r[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665483 (
+	.A1(n_33467),
+	.A2(n_315),
+	.B1(n_30413),
+	.B2(brqrv_top_brqrv_dec_decode_write_csr_data[27]),
+	.X(brqrv_top_brqrv_dec_dec_csr_wrdata_r[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665484 (
+	.A_N(n_30159),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [55]),
+	.Y(n_3731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665485 (
+	.A1(n_2696),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[4]),
+	.B1(n_2588),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[100]),
+	.C1(n_3565),
+	.Y(n_3730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665486 (
+	.A1(n_2698),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[37]),
+	.B1(n_2587),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[69]),
+	.C1(n_3564),
+	.Y(n_3729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665487 (
+	.A1(n_2696),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[0]),
+	.B1(n_2588),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[96]),
+	.C1(n_3566),
+	.Y(n_3728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g665488 (
+	.A1(n_2591),
+	.A2(brqrv_top_brqrv_lsu_store_data_lo_r[3]),
+	.B1(n_2589),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[3]),
+	.C1(n_3568),
+	.X(n_3727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665489 (
+	.A1(n_2696),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[1]),
+	.B1(n_2588),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[97]),
+	.C1(n_3563),
+	.Y(n_3726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665490 (
+	.A1(n_2698),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[34]),
+	.B1(n_2587),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[66]),
+	.C1(n_3576),
+	.Y(n_3725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665491 (
+	.A1(n_33458),
+	.A2(n_315),
+	.B1(n_30413),
+	.B2(brqrv_top_brqrv_dec_decode_write_csr_data[18]),
+	.X(brqrv_top_brqrv_dec_dec_csr_wrdata_r[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g665492 (
+	.A1_N(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.A2_N(n_33552),
+	.B1(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.B2(n_3423),
+	.Y(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g665493 (
+	.A1_N(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.A2_N(n_33551),
+	.B1(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.B2(n_3424),
+	.Y(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g665494 (
+	.A1_N(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.A2_N(n_33550),
+	.B1(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.B2(n_3425),
+	.Y(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g665495 (
+	.A1_N(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.A2_N(n_33548),
+	.B1(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.B2(n_3426),
+	.Y(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g665496 (
+	.A1_N(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.A2_N(n_33547),
+	.B1(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.B2(n_3427),
+	.Y(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g665497 (
+	.A1_N(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.A2_N(n_33546),
+	.B1(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.B2(n_3428),
+	.Y(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g665498 (
+	.A1_N(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.A2_N(n_33545),
+	.B1(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.B2(n_3429),
+	.Y(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g665499 (
+	.A1_N(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.A2_N(n_33544),
+	.B1(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.B2(n_3430),
+	.Y(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g665500 (
+	.A1_N(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.A2_N(n_33543),
+	.B1(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.B2(n_3431),
+	.Y(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g665501 (
+	.A1_N(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.A2_N(n_33542),
+	.B1(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.B2(n_3432),
+	.Y(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g665502 (
+	.A1_N(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.A2_N(n_33541),
+	.B1(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.B2(n_3433),
+	.Y(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g665503 (
+	.A1_N(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.A2_N(n_33540),
+	.B1(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.B2(n_3434),
+	.Y(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g665504 (
+	.A1_N(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.A2_N(n_33539),
+	.B1(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.B2(n_3435),
+	.Y(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g665505 (
+	.A1_N(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.A2_N(n_33538),
+	.B1(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.B2(n_3421),
+	.Y(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g665506 (
+	.A1_N(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.A2_N(n_33537),
+	.B1(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.B2(n_3436),
+	.Y(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665507 (
+	.A1(n_33457),
+	.A2(n_315),
+	.B1(n_30413),
+	.B2(brqrv_top_brqrv_dec_decode_write_csr_data[17]),
+	.X(brqrv_top_brqrv_dec_dec_csr_wrdata_r[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 g665508 (
+	.A1(n_3186),
+	.A2(n_2683),
+	.A3(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U1_Plus1_0.lsu_ld_datafn_corr_r [7]),
+	.B1(n_29931),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[7]),
+	.Y(n_3724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g665509 (
+	.A(n_3147),
+	.B(n_2895),
+	.C(n_2797),
+	.D(n_2796),
+	.Y(n_3723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g665510 (
+	.A1(n_2720),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[108]),
+	.B1(n_2614),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[12]),
+	.C1(n_3417),
+	.X(n_30572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665511 (
+	.A1(n_2615),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[46]),
+	.B1(n_2719),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[78]),
+	.C1(n_3443),
+	.Y(n_3779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g665512 (
+	.A(n_3498),
+	.B(n_3499),
+	.C(n_33352),
+	.Y(n_3778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g665513 (
+	.A1(n_2610),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[74]),
+	.B1(n_2723),
+	.B2(n_33232),
+	.C1(n_3525),
+	.X(n_30533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g665514 (
+	.A1(n_2618),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[109]),
+	.B1(n_2619),
+	.B2(n_33238),
+	.C1(n_3517),
+	.X(n_30603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665515 (
+	.A(n_3561),
+	.B(n_3560),
+	.Y(n_607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g665516 (
+	.A1(n_30848),
+	.A2(n_3176),
+	.B1_N(n_3558),
+	.Y(n_33219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g665517 (
+	.A1(n_30847),
+	.A2(n_3180),
+	.B1_N(n_3556),
+	.Y(n_33250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665518 (
+	.A_N(n_3719),
+	.B(n_32907),
+	.Y(n_3776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g665519 (
+	.A(n_32908),
+	.B_N(n_3720),
+	.Y(n_3775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665520 (
+	.A(brqrv_top_brqrv_dec_dec_i0_waddr_r[4]),
+	.B(n_2543),
+	.Y(n_3774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665521 (
+	.A(n_2692),
+	.B(n_2555),
+	.Y(n_3773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665522 (
+	.A(n_2692),
+	.B(n_2543),
+	.Y(n_3772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g665523 (
+	.A(n_3722),
+	.B(n_2692),
+	.X(n_3771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665524 (
+	.A(n_2692),
+	.B(n_3718),
+	.Y(n_3770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665525 (
+	.A(brqrv_top_brqrv_dec_dec_i0_waddr_r[4]),
+	.B(n_2555),
+	.Y(n_3769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665526 (
+	.A(n_31287),
+	.B(n_2707),
+	.Y(n_31286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665527 (
+	.A(n_3722),
+	.B(brqrv_top_brqrv_dec_dec_i0_waddr_r[4]),
+	.Y(n_3766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665528 (
+	.A(brqrv_top_brqrv_dec_dec_i0_waddr_r[4]),
+	.B(n_3718),
+	.Y(n_3765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665529 (
+	.A_N(n_3721),
+	.B(n_3636),
+	.Y(n_30698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665530 (
+	.A(n_3637),
+	.B(n_2553),
+	.Y(n_30547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665531 (
+	.A(n_3637),
+	.B(n_2554),
+	.Y(n_30546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665532 (
+	.A(n_3637),
+	.B(n_3632),
+	.Y(n_30548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665533 (
+	.A(n_3637),
+	.B(n_3631),
+	.Y(n_30552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665534 (
+	.A(n_3717),
+	.Y(n_30195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665535 (
+	.A(n_3716),
+	.Y(n_30194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665536 (
+	.A(n_3715),
+	.Y(n_30193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665537 (
+	.A(n_3714),
+	.Y(n_30192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665538 (
+	.A(n_3713),
+	.Y(n_30189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665539 (
+	.A(n_3712),
+	.Y(n_30188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665540 (
+	.A(n_3711),
+	.Y(n_30181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665541 (
+	.A(n_3710),
+	.Y(n_30180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665542 (
+	.A(n_3709),
+	.Y(n_30187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665543 (
+	.A(n_3708),
+	.Y(n_30186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665544 (
+	.A(n_3707),
+	.Y(n_30184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665545 (
+	.A(n_3706),
+	.Y(n_30182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665546 (
+	.A(n_3705),
+	.Y(n_30167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665547 (
+	.A(n_3704),
+	.Y(n_30178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665548 (
+	.A(n_3703),
+	.Y(n_30172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665549 (
+	.A(n_3702),
+	.Y(n_30177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665550 (
+	.A(n_3701),
+	.Y(n_30176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665551 (
+	.A(n_3700),
+	.Y(n_30175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665552 (
+	.A(n_3699),
+	.Y(n_30174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665553 (
+	.A(n_3698),
+	.Y(n_30171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665554 (
+	.A(n_3697),
+	.Y(n_30165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665555 (
+	.A(n_3696),
+	.Y(n_30164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665556 (
+	.A(n_3695),
+	.Y(n_30166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665557 (
+	.A(n_3694),
+	.Y(n_30179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665558 (
+	.A(n_3693),
+	.Y(n_30169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665559 (
+	.A(n_3692),
+	.Y(n_30168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665560 (
+	.A(n_3691),
+	.Y(n_30183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665561 (
+	.A(n_3690),
+	.Y(n_30170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665562 (
+	.A(n_3689),
+	.Y(n_30301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665563 (
+	.A(n_3688),
+	.Y(n_30302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665564 (
+	.A(n_3687),
+	.Y(n_30307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665565 (
+	.A(n_3686),
+	.Y(n_30308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665566 (
+	.A(n_3685),
+	.Y(n_30303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665567 (
+	.A(n_3684),
+	.Y(n_30304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665568 (
+	.A(n_3683),
+	.Y(n_30305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665569 (
+	.A(n_3682),
+	.Y(n_30306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665570 (
+	.A(n_3681),
+	.Y(n_30173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665571 (
+	.A(n_3680),
+	.Y(n_30185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665572 (
+	.A(n_3679),
+	.Y(n_30191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665573 (
+	.A(n_3678),
+	.Y(n_30190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665574 (
+	.A(n_3677),
+	.Y(n_30228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665575 (
+	.A(n_3676),
+	.Y(n_30196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665576 (
+	.A(n_3675),
+	.Y(n_30197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665577 (
+	.A(n_3674),
+	.Y(n_30246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665578 (
+	.A(n_3673),
+	.Y(n_30244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665579 (
+	.A(n_3672),
+	.Y(n_30200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665580 (
+	.A(n_3671),
+	.Y(n_30250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665581 (
+	.A(n_3670),
+	.Y(n_30201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665582 (
+	.A(n_3669),
+	.Y(n_30199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665583 (
+	.A(n_3668),
+	.Y(n_30251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665584 (
+	.A(n_3667),
+	.Y(n_30202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665585 (
+	.A(n_3666),
+	.Y(n_30248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665586 (
+	.A(n_3665),
+	.Y(n_30153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665587 (
+	.A(n_3664),
+	.Y(n_30152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665588 (
+	.A(n_3663),
+	.Y(n_30149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665589 (
+	.A(n_3662),
+	.Y(n_30148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665590 (
+	.A(n_3661),
+	.Y(n_30155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665591 (
+	.A(n_3660),
+	.Y(n_30154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665592 (
+	.A(n_3659),
+	.Y(n_30151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665593 (
+	.A(n_3658),
+	.Y(n_30150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665594 (
+	.A(n_3657),
+	.Y(n_30229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665595 (
+	.A(n_3656),
+	.Y(n_30233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665596 (
+	.A(n_3655),
+	.Y(n_30232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665597 (
+	.A(n_3654),
+	.Y(n_30235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665598 (
+	.A(n_3653),
+	.Y(n_30234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665599 (
+	.A(n_3652),
+	.Y(n_30231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665600 (
+	.A(n_3651),
+	.Y(n_30230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665601 (
+	.A(n_3650),
+	.Y(n_30219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665602 (
+	.A(n_3649),
+	.Y(n_30217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665603 (
+	.A(n_3648),
+	.Y(n_30216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665604 (
+	.A(n_3647),
+	.Y(n_30215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665605 (
+	.A(n_3646),
+	.Y(n_30214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665606 (
+	.A(n_3645),
+	.Y(n_30212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665607 (
+	.A(n_3644),
+	.Y(n_30213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665608 (
+	.A(n_3643),
+	.Y(n_30203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665609 (
+	.A(n_3642),
+	.Y(n_30198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665610 (
+	.A(n_3641),
+	.Y(n_30249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665611 (
+	.A(n_3640),
+	.Y(n_30247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665612 (
+	.A(n_3639),
+	.Y(n_30245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665613 (
+	.A(n_3638),
+	.Y(n_30218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g665614 (
+	.A(n_3636),
+	.Y(n_3637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665615 (
+	.A(n_2553),
+	.Y(n_3635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665616 (
+	.A(n_2554),
+	.Y(n_3634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g665617 (
+	.A(n_3633),
+	.Y(n_3632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665618 (
+	.A(n_3631),
+	.Y(n_3630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665620 (
+	.A(n_31095),
+	.Y(n_476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665621 (
+	.A(n_31091),
+	.Y(n_3627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665622 (
+	.A(n_3626),
+	.Y(n_31089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665623 (
+	.A(n_3625),
+	.Y(n_31093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g665624 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[2]),
+	.B(brqrv_top_brqrv_dec_decode_last_br_immed_x[2]),
+	.CIN(n_39361),
+	.COUT(n_3624),
+	.SUM(brqrv_top_brqrv_pred_correct_npc_x[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665625 (
+	.A(n_2824),
+	.B(n_3422),
+	.Y(n_3623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665626 (
+	.A(n_32915),
+	.B(n_32817),
+	.Y(n_3622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665627 (
+	.A(n_33316),
+	.B(n_3445),
+	.Y(n_3621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665628 (
+	.A(n_33304),
+	.B(n_3450),
+	.Y(n_3620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665629 (
+	.A(n_33304),
+	.B(n_3446),
+	.Y(n_3619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665630 (
+	.A(n_33304),
+	.B(n_3445),
+	.Y(n_3618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665631 (
+	.A(n_33316),
+	.B(n_3450),
+	.Y(n_3617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665632 (
+	.A(brqrv_top_brqrv_dec_dec_i0_waddr_r[3]),
+	.B(n_3510),
+	.Y(n_3722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g665635 (
+	.A(n_3485),
+	.B_N(n_3500),
+	.Y(n_3721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665636 (
+	.A(n_32906),
+	.B(n_3484),
+	.Y(n_3720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665637 (
+	.A_N(n_32908),
+	.B(n_32906),
+	.Y(n_3719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665638 (
+	.A(n_2549),
+	.B(brqrv_top_brqrv_dec_dec_i0_waddr_r[3]),
+	.Y(n_3718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665639 (
+	.A(n_32977),
+	.B(n_3496),
+	.Y(n_3717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665640 (
+	.A(n_32977),
+	.B(n_3489),
+	.Y(n_3716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665641 (
+	.A(n_32977),
+	.B(n_3495),
+	.Y(n_3715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665642 (
+	.A(n_32977),
+	.B(n_3494),
+	.Y(n_3714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665643 (
+	.A(n_32977),
+	.B(n_3493),
+	.Y(n_3713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665644 (
+	.A(n_32977),
+	.B(n_3490),
+	.Y(n_3712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665645 (
+	.A(n_32976),
+	.B(n_3493),
+	.Y(n_3711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665646 (
+	.A(n_32976),
+	.B(n_3490),
+	.Y(n_3710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665647 (
+	.A(n_32976),
+	.B(n_3496),
+	.Y(n_3709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665648 (
+	.A(n_32976),
+	.B(n_3489),
+	.Y(n_3708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665649 (
+	.A(n_32976),
+	.B(n_3494),
+	.Y(n_3707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665650 (
+	.A(n_3458),
+	.B(n_59069_BAR),
+	.Y(n_30237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665651 (
+	.A(n_32976),
+	.B(n_3486),
+	.Y(n_3706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665652 (
+	.A(n_59069_BAR),
+	.B(n_3459),
+	.Y(n_30236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665653 (
+	.A(n_32974),
+	.B(n_3497),
+	.Y(n_3705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665654 (
+	.A(n_32975),
+	.B(n_3489),
+	.Y(n_3704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665655 (
+	.A(n_32975),
+	.B(n_3490),
+	.Y(n_3703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665656 (
+	.A(n_32975),
+	.B(n_3495),
+	.Y(n_3702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665657 (
+	.A(n_32975),
+	.B(n_3494),
+	.Y(n_3701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665658 (
+	.A(n_32975),
+	.B(n_3497),
+	.Y(n_3700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665659 (
+	.A(n_32975),
+	.B(n_3486),
+	.Y(n_3699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665660 (
+	.A(n_32974),
+	.B(n_3496),
+	.Y(n_3698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665661 (
+	.A(n_32974),
+	.B(n_3493),
+	.Y(n_3697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665662 (
+	.A(n_32974),
+	.B(n_3490),
+	.Y(n_3696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665663 (
+	.A(n_32974),
+	.B(n_3486),
+	.Y(n_3695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665664 (
+	.A(n_32975),
+	.B(n_3496),
+	.Y(n_3694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665665 (
+	.A(n_32974),
+	.B(n_3495),
+	.Y(n_3693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665666 (
+	.A(n_32974),
+	.B(n_3494),
+	.Y(n_3692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665667 (
+	.A_N(n_3284),
+	.B(n_31125),
+	.Y(n_31124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665668 (
+	.A(n_31127),
+	.B(n_3277),
+	.Y(n_31126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665669 (
+	.A(n_32976),
+	.B(n_3497),
+	.Y(n_3691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665670 (
+	.A(n_32974),
+	.B(n_3489),
+	.Y(n_3690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665671 (
+	.A(n_32349),
+	.B(n_3286),
+	.Y(n_32348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665672 (
+	.A(n_3459),
+	.B(n_59464_BAR),
+	.Y(n_30156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665673 (
+	.A(n_3458),
+	.B(n_59464_BAR),
+	.Y(n_30157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665674 (
+	.A(n_3463),
+	.B(n_59464_BAR),
+	.Y(n_30158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665675 (
+	.A(n_3249),
+	.B(n_3492),
+	.Y(n_3689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665676 (
+	.A(n_3249),
+	.B(n_3491),
+	.Y(n_3688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665677 (
+	.A(n_3251),
+	.B(n_3492),
+	.Y(n_3687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665678 (
+	.A(n_3251),
+	.B(n_3491),
+	.Y(n_3686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665679 (
+	.A(n_3247),
+	.B(n_3492),
+	.Y(n_3685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665680 (
+	.A(n_3247),
+	.B(n_3491),
+	.Y(n_3684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665681 (
+	.A(n_3253),
+	.B(n_3492),
+	.Y(n_3683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665682 (
+	.A(n_3253),
+	.B(n_3491),
+	.Y(n_3682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665683 (
+	.A(n_3460),
+	.B(n_59464_BAR),
+	.Y(n_30159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665684 (
+	.A(n_59464_BAR),
+	.B(n_3465),
+	.Y(n_30160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665685 (
+	.A(n_32975),
+	.B(n_3493),
+	.Y(n_3681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665686 (
+	.A(n_59464_BAR),
+	.B(n_3464),
+	.Y(n_30161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665687 (
+	.A(n_32976),
+	.B(n_3495),
+	.Y(n_3680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665688 (
+	.A(n_32977),
+	.B(n_3497),
+	.Y(n_3679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665689 (
+	.A(n_32977),
+	.B(n_3486),
+	.Y(n_3678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665690 (
+	.A(n_31129),
+	.B(n_3183),
+	.Y(n_31128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665691 (
+	.A(n_32347),
+	.B(n_2548),
+	.Y(n_32346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665692 (
+	.A(n_2689),
+	.B(n_3474),
+	.Y(n_3677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665693 (
+	.A(n_2688),
+	.B(n_3474),
+	.Y(n_3676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665694 (
+	.A(n_2688),
+	.B(n_3473),
+	.Y(n_3675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665695 (
+	.A(n_2687),
+	.B(n_3478),
+	.Y(n_3674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665696 (
+	.A(n_2687),
+	.B(n_3474),
+	.Y(n_3673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665697 (
+	.A(n_2688),
+	.B(n_3483),
+	.Y(n_3672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665698 (
+	.A(n_2687),
+	.B(n_3476),
+	.Y(n_3671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665699 (
+	.A(n_3461),
+	.B(n_59464_BAR),
+	.Y(n_30162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665700 (
+	.A(n_2688),
+	.B(n_3472),
+	.Y(n_3670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665701 (
+	.A(n_2688),
+	.B(n_3477),
+	.Y(n_3669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665702 (
+	.A(n_2687),
+	.B(n_3475),
+	.Y(n_3668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665703 (
+	.A(n_2688),
+	.B(n_3476),
+	.Y(n_3667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665704 (
+	.A(n_2687),
+	.B(n_3483),
+	.Y(n_3666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665705 (
+	.A(n_2686),
+	.B(n_3472),
+	.Y(n_3665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665706 (
+	.A(n_2686),
+	.B(n_3483),
+	.Y(n_3664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665707 (
+	.A(n_2686),
+	.B(n_3473),
+	.Y(n_3663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665708 (
+	.A(n_2686),
+	.B(n_3474),
+	.Y(n_3662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665709 (
+	.A(n_2686),
+	.B(n_3475),
+	.Y(n_3661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665710 (
+	.A(n_2686),
+	.B(n_3476),
+	.Y(n_3660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665711 (
+	.A(n_2686),
+	.B(n_3477),
+	.Y(n_3659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665712 (
+	.A(n_2686),
+	.B(n_3478),
+	.Y(n_3658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665713 (
+	.A(n_2689),
+	.B(n_3473),
+	.Y(n_3657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665714 (
+	.A(n_2689),
+	.B(n_3472),
+	.Y(n_3656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665715 (
+	.A(n_2689),
+	.B(n_3483),
+	.Y(n_3655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665716 (
+	.A(n_2689),
+	.B(n_3475),
+	.Y(n_3654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665717 (
+	.A(n_2689),
+	.B(n_3476),
+	.Y(n_3653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665718 (
+	.A(n_2689),
+	.B(n_3477),
+	.Y(n_3652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665719 (
+	.A(n_2689),
+	.B(n_3478),
+	.Y(n_3651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665720 (
+	.A(n_2690),
+	.B(n_3475),
+	.Y(n_3650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665721 (
+	.A(n_2690),
+	.B(n_3472),
+	.Y(n_3649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665722 (
+	.A(n_2690),
+	.B(n_3483),
+	.Y(n_3648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665723 (
+	.A(n_2690),
+	.B(n_3477),
+	.Y(n_3647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665724 (
+	.A(n_2690),
+	.B(n_3478),
+	.Y(n_3646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665725 (
+	.A(n_2690),
+	.B(n_3474),
+	.Y(n_3645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665726 (
+	.A(n_2690),
+	.B(n_3473),
+	.Y(n_3644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665727 (
+	.A(n_2688),
+	.B(n_3475),
+	.Y(n_3643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665728 (
+	.A(n_2688),
+	.B(n_3478),
+	.Y(n_3642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665729 (
+	.A(n_2687),
+	.B(n_3472),
+	.Y(n_3641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665730 (
+	.A(n_2687),
+	.B(n_3477),
+	.Y(n_3640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665731 (
+	.A(n_2687),
+	.B(n_3473),
+	.Y(n_3639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665732 (
+	.A(n_2690),
+	.B(n_3476),
+	.Y(n_3638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665733 (
+	.A(n_3463),
+	.B(n_59146_BAR),
+	.Y(n_30222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665734 (
+	.A(n_3458),
+	.B(n_58974_BAR),
+	.Y(n_30253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665735 (
+	.A(n_3461),
+	.B(n_59069_BAR),
+	.Y(n_30242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665736 (
+	.A(n_3461),
+	.B(n_59222_BAR),
+	.Y(n_30210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665737 (
+	.A(n_3463),
+	.B(n_59069_BAR),
+	.Y(n_30238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665738 (
+	.A(n_3458),
+	.B(n_59540_BAR),
+	.Y(n_30141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665739 (
+	.A(n_3460),
+	.B(n_58974_BAR),
+	.Y(n_30255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665740 (
+	.A(n_3463),
+	.B(n_58974_BAR),
+	.Y(n_30254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665741 (
+	.A(n_3465),
+	.B(n_58974_BAR),
+	.Y(n_30256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665742 (
+	.A(n_3462),
+	.B(n_59540_BAR),
+	.Y(n_30147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665743 (
+	.A(n_3461),
+	.B(n_59540_BAR),
+	.Y(n_30146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665744 (
+	.A(n_3460),
+	.B(n_59540_BAR),
+	.Y(n_30143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665745 (
+	.A(n_3464),
+	.B(n_59222_BAR),
+	.Y(n_30209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665746 (
+	.A(n_3463),
+	.B(n_59540_BAR),
+	.Y(n_30142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665747 (
+	.A(n_3464),
+	.B(n_59540_BAR),
+	.Y(n_30145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665748 (
+	.A(n_3465),
+	.B(n_59540_BAR),
+	.Y(n_30144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665749 (
+	.A(n_3459),
+	.B(n_59540_BAR),
+	.Y(n_30140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665750 (
+	.A_N(n_3500),
+	.B(n_3485),
+	.Y(n_3636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665753 (
+	.A(n_3487),
+	.B(n_3488),
+	.Y(n_3633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665754 (
+	.A(n_3487),
+	.B(n_3488),
+	.Y(n_3631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665755 (
+	.A(n_31129),
+	.B(n_3182),
+	.Y(n_32330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665756 (
+	.A(n_31125),
+	.B(n_3284),
+	.Y(n_31095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665757 (
+	.A(n_32349),
+	.B(n_2547),
+	.Y(n_31091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665758 (
+	.A(n_3277),
+	.B(n_3444),
+	.Y(n_3626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665759 (
+	.A(n_2548),
+	.B(n_3445),
+	.Y(n_3625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665760 (
+	.A(n_3611),
+	.Y(n_30594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665761 (
+	.A(n_3600),
+	.Y(n_30593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665762 (
+	.A(n_571),
+	.Y(n_30592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665763 (
+	.A(n_3598),
+	.Y(n_30553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665764 (
+	.A(n_3597),
+	.Y(n_30679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665765 (
+	.A(n_3596),
+	.Y(n_30680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665766 (
+	.A(n_3595),
+	.Y(n_30678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665767 (
+	.A(n_3592),
+	.Y(n_30602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665768 (
+	.A(n_3589),
+	.Y(n_30622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665769 (
+	.A(n_3588),
+	.Y(n_30619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665770 (
+	.A(n_3585),
+	.Y(n_30702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665771 (
+	.A(n_3584),
+	.Y(n_30540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665772 (
+	.A(n_3583),
+	.Y(n_30536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665773 (
+	.A(n_3580),
+	.Y(n_30701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g665775 (
+	.A(brqrv_top_brqrv_dec_dec_i0_waddr_r[1]),
+	.B(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[1]),
+	.Y(n_3577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665776 (
+	.A1(n_2699),
+	.A2(n_32876),
+	.B1(n_2586),
+	.B2(n_33319),
+	.X(n_3576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g665777 (
+	.A(brqrv_top_brqrv_dec_dec_i0_waddr_r[0]),
+	.B(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[0]),
+	.Y(n_3575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g665778 (
+	.A1(n_2692),
+	.A2(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[4]),
+	.B1(brqrv_top_brqrv_dec_dec_i0_waddr_r[4]),
+	.B2(n_3256),
+	.Y(n_3574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g665779 (
+	.A1(n_3187),
+	.A2(n_30775),
+	.B1(n_2979),
+	.B2(n_30790),
+	.Y(n_3573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g665780 (
+	.A1(n_3279),
+	.A2(n_30719),
+	.B1(n_2716),
+	.B2(n_30720),
+	.Y(n_3572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g665781 (
+	.A1(n_3272),
+	.A2(n_30770),
+	.B1(n_585),
+	.B2(n_30771),
+	.Y(n_3571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665782 (
+	.A1(n_30672),
+	.A2(n_537),
+	.B1(n_30671),
+	.B2(n_432),
+	.X(n_3570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g665783 (
+	.A1(n_3176),
+	.A2(n_38479),
+	.B1(n_578),
+	.B2(n_30814),
+	.Y(n_3569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665784 (
+	.A1(n_2699),
+	.A2(n_32877),
+	.B1(n_2586),
+	.B2(n_33320),
+	.X(n_3568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665785 (
+	.A1(n_3181),
+	.A2(n_537),
+	.B1(n_441),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[36]),
+	.X(n_3567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665786 (
+	.A1(n_2699),
+	.A2(n_32874),
+	.B1(n_2586),
+	.B2(n_33317),
+	.X(n_3566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665787 (
+	.A1(n_2699),
+	.A2(n_32878),
+	.B1(n_2586),
+	.B2(n_33321),
+	.X(n_3565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g665788 (
+	.A1(n_3274),
+	.A2(n_30757),
+	.B1(n_453),
+	.B2(n_30762),
+	.Y(n_3564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665789 (
+	.A1(n_2699),
+	.A2(n_32875),
+	.B1(n_2586),
+	.B2(n_33318),
+	.X(n_3563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g665790 (
+	.A1(n_3275),
+	.A2(n_30770),
+	.B1(n_443),
+	.B2(n_30771),
+	.Y(n_3562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g665791 (
+	.A1(n_30848),
+	.A2(n_3180),
+	.B1(n_30847),
+	.B2(n_3176),
+	.Y(n_3561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g665792 (
+	.A1(n_30846),
+	.A2(n_3269),
+	.B1(n_30831),
+	.B2(n_3261),
+	.Y(n_3560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g665793 (
+	.A1(n_3281),
+	.A2(n_30775),
+	.B1(n_2975),
+	.B2(n_30790),
+	.Y(n_3559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g665794 (
+	.A1(n_30846),
+	.A2(n_3180),
+	.B1(n_30831),
+	.B2(n_3269),
+	.Y(n_3558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665795 (
+	.A1(n_2699),
+	.A2(n_32880),
+	.B1(n_2586),
+	.B2(n_33323),
+	.X(n_3557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g665796 (
+	.A1(n_30848),
+	.A2(n_3269),
+	.B1(n_30846),
+	.B2(n_3261),
+	.Y(n_3556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g665797 (
+	.A(n_38534),
+	.B_N(n_3341),
+	.Y(n_3555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g665798 (
+	.A(n_3352),
+	.B_N(n_3315),
+	.Y(n_3554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g665799 (
+	.A(n_3315),
+	.B_N(n_3352),
+	.Y(n_3553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665800 (
+	.A_N(n_3320),
+	.B(n_3336),
+	.Y(n_3552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g665801 (
+	.A(n_3336),
+	.B_N(n_3320),
+	.Y(n_3551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g665802 (
+	.A(n_38541),
+	.B_N(n_3328),
+	.Y(n_3550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g665803 (
+	.A(n_3328),
+	.B_N(n_38541),
+	.Y(n_3549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g665804 (
+	.A1(n_2692),
+	.A2(brqrv_top_brqrv_dec_div_waddr_wb[4]),
+	.B1(n_3299),
+	.Y(n_3548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g665805 (
+	.A(n_38539),
+	.B_N(n_3334),
+	.Y(n_3547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g665806 (
+	.A(n_3334),
+	.B_N(n_38539),
+	.Y(n_3546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g665807 (
+	.A1(n_3273),
+	.A2(n_30562),
+	.B1(n_2639),
+	.B2(n_30567),
+	.Y(n_3545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g665808 (
+	.A(n_38536),
+	.B_N(n_3339),
+	.Y(n_3544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665809 (
+	.A_N(n_3339),
+	.B(n_38536),
+	.Y(n_3543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g665810 (
+	.A1(n_3280),
+	.A2(n_30558),
+	.B1(n_2746),
+	.B2(n_30559),
+	.Y(n_3542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g665811 (
+	.A(n_38533),
+	.B_N(n_3350),
+	.Y(n_3541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665812 (
+	.A_N(n_3350),
+	.B(n_38533),
+	.Y(n_3540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g665813 (
+	.A1(n_3271),
+	.A2(n_30562),
+	.B1(n_2755),
+	.B2(n_30567),
+	.Y(n_3539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g665814 (
+	.A(n_38531),
+	.B_N(n_3357),
+	.Y(n_3538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g665815 (
+	.A(n_3357),
+	.B_N(n_38531),
+	.Y(n_3537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g665816 (
+	.A1(n_3184),
+	.A2(n_30558),
+	.B1(n_2660),
+	.B2(n_30559),
+	.Y(n_3536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g665817 (
+	.A(n_3349),
+	.B_N(n_3348),
+	.Y(n_3535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g665818 (
+	.A(n_38530),
+	.B_N(n_3361),
+	.Y(n_3534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g665819 (
+	.A(n_3361),
+	.B_N(n_38530),
+	.Y(n_3533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g665820 (
+	.A1(n_3276),
+	.A2(n_30719),
+	.B1(n_2671),
+	.B2(n_30720),
+	.Y(n_3532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g665821 (
+	.A(n_38528),
+	.B_N(n_3367),
+	.Y(n_3531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665822 (
+	.A_N(n_3367),
+	.B(n_38528),
+	.Y(n_3530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g665823 (
+	.A1(n_3278),
+	.A2(n_30770),
+	.B1(n_2667),
+	.B2(n_30771),
+	.Y(n_3529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g665824 (
+	.A(n_3372),
+	.B_N(n_3324),
+	.Y(n_3528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g665825 (
+	.A(n_38540),
+	.B_N(n_3370),
+	.Y(n_3527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g665826 (
+	.A(n_3370),
+	.B_N(n_38540),
+	.Y(n_3526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g665827 (
+	.A1(n_2726),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[10]),
+	.B1(n_2609),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[42]),
+	.C1(n_3190),
+	.X(n_3525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g665828 (
+	.A(n_3324),
+	.B_N(n_3372),
+	.Y(n_3524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g665829 (
+	.A1(n_418),
+	.A2(n_30838),
+	.B1(n_30535),
+	.B2(n_548),
+	.C1(n_3418),
+	.X(n_3523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g665830 (
+	.A(n_3502),
+	.B_N(n_3343),
+	.Y(n_3522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665831 (
+	.A_N(n_3363),
+	.B(n_3362),
+	.Y(n_3521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665832 (
+	.A_N(n_3362),
+	.B(n_3363),
+	.Y(n_3520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g665833 (
+	.A(n_38543),
+	.B_N(n_3501),
+	.Y(n_3519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665834 (
+	.A_N(n_3501),
+	.B(n_38543),
+	.Y(n_3518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g665835 (
+	.A1(n_2659),
+	.A2(n_30810),
+	.B1(n_2608),
+	.B2(n_30808),
+	.C1(n_3135),
+	.Y(n_3517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665836 (
+	.A1(n_420),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[103]),
+	.B1(n_565),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[71]),
+	.C1(n_3289),
+	.Y(n_3516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665837 (
+	.A_N(n_3341),
+	.B(n_38534),
+	.Y(n_3515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g665838 (
+	.A(n_3343),
+	.B_N(n_3502),
+	.Y(n_3514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g665839 (
+	.A(n_38538),
+	.B_N(n_3332),
+	.Y(n_3513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g665840 (
+	.A(n_3332),
+	.B_N(n_38538),
+	.Y(n_3512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g665841 (
+	.A(n_3348),
+	.B_N(n_3349),
+	.Y(n_3511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665842 (
+	.A_N(n_3378),
+	.B(n_3381),
+	.Y(n_3616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g665843 (
+	.A1(n_2962),
+	.A2(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U1_Plus1_0.lsu_ld_datafn_corr_r [15]),
+	.B1(n_29931),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[15]),
+	.C1(n_2575),
+	.X(n_33455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g665844 (
+	.A1(n_2974),
+	.A2(n_3156),
+	.B1(n_31872),
+	.Y(n_3615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665845 (
+	.A_N(n_3409),
+	.B(n_38513),
+	.Y(n_3614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g665846 (
+	.A(n_3399),
+	.B_N(n_38520),
+	.Y(n_3613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665848 (
+	.A1(n_420),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[108]),
+	.B1(n_565),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[76]),
+	.C1(n_3311),
+	.Y(n_3611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g665849 (
+	.A(n_3412),
+	.B_N(n_38512),
+	.Y(n_3610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g665850 (
+	.A1(n_2571),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[47]),
+	.B1(n_565),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[79]),
+	.C1(n_3287),
+	.X(n_3609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665851 (
+	.A_N(n_3402),
+	.B(n_38515),
+	.Y(n_3608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g665852 (
+	.A(n_3415),
+	.B_N(n_38521),
+	.Y(n_3607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g665853 (
+	.A(n_3396),
+	.B_N(n_38519),
+	.Y(n_3606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665854 (
+	.A1(n_541),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[15]),
+	.B1(n_542),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[47]),
+	.C1(n_3290),
+	.Y(n_3605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g665855 (
+	.A(n_3387),
+	.B_N(n_38522),
+	.Y(n_3604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g665856 (
+	.A(n_3413),
+	.B_N(n_38524),
+	.Y(n_3603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665857 (
+	.A_N(n_3375),
+	.B(n_38526),
+	.Y(n_3602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665858 (
+	.A1(n_541),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[13]),
+	.B1(n_542),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[45]),
+	.C1(n_3308),
+	.Y(n_3601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g665859 (
+	.A1(n_420),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[118]),
+	.B1(n_565),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[86]),
+	.C1(n_3441),
+	.X(n_30613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665860 (
+	.A1(n_420),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[116]),
+	.B1(n_565),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[84]),
+	.C1(n_3296),
+	.Y(n_3600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665861 (
+	.A1(n_420),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[124]),
+	.B1(n_565),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[92]),
+	.C1(n_3300),
+	.Y(n_571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665862 (
+	.A1(n_541),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[4]),
+	.B1(n_542),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[36]),
+	.C1(n_3309),
+	.Y(n_3598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665863 (
+	.A1(n_420),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[113]),
+	.B1(n_565),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[81]),
+	.C1(n_3301),
+	.Y(n_3597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665864 (
+	.A1(n_420),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[105]),
+	.B1(n_565),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[73]),
+	.C1(n_3302),
+	.Y(n_3596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665865 (
+	.A1(n_420),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[121]),
+	.B1(n_565),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[89]),
+	.C1(n_3310),
+	.Y(n_3595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665866 (
+	.A_N(n_3414),
+	.B(n_38523),
+	.Y(n_3594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665867 (
+	.A1(n_420),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[104]),
+	.B1(n_565),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[72]),
+	.C1(n_3305),
+	.Y(n_3593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665868 (
+	.A1(n_420),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[109]),
+	.B1(n_565),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[77]),
+	.C1(n_3303),
+	.Y(n_3592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665869 (
+	.A_N(n_3392),
+	.B(n_38518),
+	.Y(n_3591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665870 (
+	.A1(n_541),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[14]),
+	.B1(n_542),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[46]),
+	.C1(n_3294),
+	.Y(n_3590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665871 (
+	.A1(n_541),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[1]),
+	.B1(n_542),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[33]),
+	.C1(n_3297),
+	.Y(n_3589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665872 (
+	.A1(n_541),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[0]),
+	.B1(n_542),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[32]),
+	.C1(n_3307),
+	.Y(n_3588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665873 (
+	.A_N(n_3404),
+	.B(n_38510),
+	.Y(n_3587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665874 (
+	.A(n_3458),
+	.B(n_59222_BAR),
+	.Y(n_30205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g665875 (
+	.A1(n_420),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[117]),
+	.B1(n_565),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[85]),
+	.C1(n_3442),
+	.X(n_30601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g665876 (
+	.A(n_2953),
+	.B(n_2931),
+	.C(n_2862),
+	.D(n_2814),
+	.Y(n_3586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665877 (
+	.A1(n_420),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[112]),
+	.B1(n_565),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[80]),
+	.C1(n_3304),
+	.Y(n_3585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665878 (
+	.A1(n_541),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[5]),
+	.B1(n_542),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[37]),
+	.C1(n_3416),
+	.Y(n_3584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665879 (
+	.A1(n_541),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[6]),
+	.B1(n_542),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[38]),
+	.C1(n_3293),
+	.Y(n_3583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g665880 (
+	.A1(n_420),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[126]),
+	.B1(n_565),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[94]),
+	.C1(n_3292),
+	.X(n_30612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665881 (
+	.A1(n_541),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[7]),
+	.B1(n_542),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[39]),
+	.C1(n_3298),
+	.Y(n_3582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665882 (
+	.A1(n_2571),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[55]),
+	.B1(n_565),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[87]),
+	.C1(n_3291),
+	.Y(n_3581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665883 (
+	.A(n_3465),
+	.B(n_59069_BAR),
+	.Y(n_30240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665884 (
+	.A1(n_420),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[120]),
+	.B1(n_565),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[88]),
+	.C1(n_3306),
+	.Y(n_3580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g665885 (
+	.A1(n_30831),
+	.A2(n_3180),
+	.B1(n_30846),
+	.B2(n_3176),
+	.Y(n_33235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665886 (
+	.A(n_3458),
+	.B(n_59146_BAR),
+	.Y(n_30221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665887 (
+	.A(n_3462),
+	.B(n_59464_BAR),
+	.Y(n_30163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665888 (
+	.A(n_3464),
+	.B(n_58974_BAR),
+	.Y(n_30257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665889 (
+	.A(n_3459),
+	.B(n_58974_BAR),
+	.Y(n_30252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665890 (
+	.A(n_58974_BAR),
+	.B(n_3461),
+	.Y(n_30258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665891 (
+	.A(n_58974_BAR),
+	.B(n_3462),
+	.Y(n_30259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665892 (
+	.A(n_3464),
+	.B(n_59069_BAR),
+	.Y(n_30241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665893 (
+	.A(n_3465),
+	.B(n_59222_BAR),
+	.Y(n_30208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665894 (
+	.A(n_3463),
+	.B(n_59222_BAR),
+	.Y(n_30206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665895 (
+	.A(n_3460),
+	.B(n_59222_BAR),
+	.Y(n_30207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g665896 (
+	.A1(n_30847),
+	.A2(n_3269),
+	.B1(n_30848),
+	.B2(n_3261),
+	.Y(n_33234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665897 (
+	.A(n_3462),
+	.B(n_59222_BAR),
+	.Y(n_30211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665898 (
+	.A(n_3459),
+	.B(n_59222_BAR),
+	.Y(n_30204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665899 (
+	.A(n_3459),
+	.B(n_59146_BAR),
+	.Y(n_30220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665900 (
+	.A(n_3461),
+	.B(n_59146_BAR),
+	.Y(n_30226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665901 (
+	.A(n_59146_BAR),
+	.B(n_3462),
+	.Y(n_30227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665902 (
+	.A(n_59146_BAR),
+	.B(n_3465),
+	.Y(n_30224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665903 (
+	.A(n_59146_BAR),
+	.B(n_3464),
+	.Y(n_30225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665904 (
+	.A(n_3460),
+	.B(n_59146_BAR),
+	.Y(n_30223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665905 (
+	.A(n_3460),
+	.B(n_59069_BAR),
+	.Y(n_30239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665906 (
+	.A(n_3462),
+	.B(n_59069_BAR),
+	.Y(n_30243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665907 (
+	.A1(n_2571),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[63]),
+	.B1(n_565),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[95]),
+	.C1(n_3288),
+	.Y(n_3579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g665908 (
+	.A1(n_420),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[125]),
+	.B1(n_565),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[93]),
+	.C1(n_3295),
+	.X(n_30600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g665909 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [0]),
+	.B(n_3438),
+	.Y(n_2563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g665911 (
+	.A(n_30667),
+	.Y(n_3506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665914 (
+	.A(n_3484),
+	.Y(n_32907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665918 (
+	.A(n_3457),
+	.Y(n_3456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665922 (
+	.A(n_33462),
+	.Y(n_3451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665923 (
+	.A(n_31125),
+	.Y(n_3450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g665924 (
+	.A(n_33463),
+	.Y(n_3449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g665925 (
+	.A(n_33461),
+	.Y(n_3448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g665926 (
+	.A(n_33471),
+	.Y(n_3447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665927 (
+	.A(n_32349),
+	.Y(n_3446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665928 (
+	.A(n_32347),
+	.Y(n_3445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g665929 (
+	.A(n_31127),
+	.Y(n_3444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g665930 (
+	.A1(n_2618),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[110]),
+	.B1(n_2606),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[14]),
+	.C1(n_3154),
+	.X(n_3443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665931 (
+	.A1(n_2995),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[21]),
+	.B1(n_2571),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[53]),
+	.X(n_3442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665932 (
+	.A1(n_2995),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[22]),
+	.B1(n_2571),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[54]),
+	.X(n_3441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665933 (
+	.A_N(n_2813),
+	.B(brqrv_top_brqrv_exu_i0_pc_x[15]),
+	.Y(n_3440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g665934 (
+	.A1(n_2637),
+	.A2(n_30715),
+	.B1(n_3145),
+	.Y(n_3439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g665935 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case_ff ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_valid_ff ),
+	.C(n_2984),
+	.X(n_3438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g665936 (
+	.A1(n_2664),
+	.A2(n_30715),
+	.B1(n_3133),
+	.Y(n_3437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665937 (
+	.A1(n_2848),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [94]),
+	.B1(n_39151),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [133]),
+	.C1(n_3116),
+	.Y(n_3436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665938 (
+	.A1(n_2849),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [57]),
+	.B1(n_39151),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [135]),
+	.C1(n_3114),
+	.Y(n_3435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665939 (
+	.A1(n_2849),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [58]),
+	.B1(n_39151),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [136]),
+	.C1(n_3107),
+	.Y(n_3434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665940 (
+	.A1(n_2849),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [59]),
+	.B1(n_39151),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [137]),
+	.C1(n_3098),
+	.Y(n_3433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665941 (
+	.A1(n_2849),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [60]),
+	.B1(n_39151),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [138]),
+	.C1(n_3088),
+	.Y(n_3432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665942 (
+	.A1(n_2849),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [61]),
+	.B1(n_39151),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [139]),
+	.C1(n_3089),
+	.Y(n_3431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665943 (
+	.A1(n_2849),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [62]),
+	.B1(n_39151),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [140]),
+	.C1(n_3091),
+	.Y(n_3430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665944 (
+	.A1(n_2849),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [63]),
+	.B1(n_39151),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [141]),
+	.C1(n_3092),
+	.Y(n_3429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665945 (
+	.A1(n_2849),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [64]),
+	.B1(n_39151),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [142]),
+	.C1(n_3093),
+	.Y(n_3428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665946 (
+	.A1(n_2849),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [65]),
+	.B1(n_39151),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [143]),
+	.C1(n_3143),
+	.Y(n_3427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665947 (
+	.A1(n_2849),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [66]),
+	.B1(n_39151),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [144]),
+	.C1(n_3105),
+	.Y(n_3426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665948 (
+	.A1(n_2849),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [68]),
+	.B1(n_39151),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [146]),
+	.C1(n_3095),
+	.Y(n_3425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665949 (
+	.A1(n_2848),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [108]),
+	.B1(n_39151),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [147]),
+	.C1(n_3096),
+	.Y(n_3424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665950 (
+	.A1(n_2847),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [31]),
+	.B1(n_39151),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [148]),
+	.C1(n_3097),
+	.Y(n_3423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g665951 (
+	.A1(n_2959),
+	.A2(n_2839),
+	.B1(brqrv_top_brqrv_dec_tlu_flush_lower_r),
+	.Y(n_3422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665952 (
+	.A1(n_2848),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [95]),
+	.B1(n_39151),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [134]),
+	.C1(n_3115),
+	.Y(n_3421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g665953 (
+	.A1(n_5457),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_rpend[1]),
+	.B1(n_465),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_rpend[2]),
+	.C1(n_3193),
+	.X(n_3420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g665954 (
+	.A1(n_418),
+	.A2(n_30685),
+	.B1(n_2607),
+	.B2(n_33215),
+	.C1(n_2904),
+	.X(n_3419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g665955 (
+	.A1(n_2736),
+	.A2(n_30580),
+	.B1(n_3151),
+	.Y(n_3418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g665956 (
+	.A1(n_2723),
+	.A2(n_33236),
+	.B1(n_2726),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[12]),
+	.C1(n_3191),
+	.X(n_3417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g665957 (
+	.A1(n_385),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[101]),
+	.B1(n_377),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[69]),
+	.X(n_3416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665958 (
+	.A(n_3136),
+	.B(n_32234),
+	.Y(n_33456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665959 (
+	.A(n_3144),
+	.B(n_32234),
+	.Y(n_33467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665960 (
+	.A(n_3282),
+	.B(brqrv_top_brqrv_dec_dec_i0_waddr_r[2]),
+	.Y(n_3510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665962 (
+	.A(n_3137),
+	.B(n_32234),
+	.Y(n_33458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665963 (
+	.A(n_3124),
+	.B(n_3094),
+	.Y(n_33488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665964 (
+	.A(n_3171),
+	.B(n_3122),
+	.Y(n_33479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665965 (
+	.A(n_3121),
+	.B(n_3170),
+	.Y(n_33482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665966 (
+	.A1(n_2857),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_unsign[0]),
+	.B1(n_2811),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_unsign[3]),
+	.C1(n_39322),
+	.Y(n_3509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665967 (
+	.A(n_3127),
+	.B(n_3102),
+	.Y(n_33483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665968 (
+	.A(n_3099),
+	.B(n_3100),
+	.Y(n_33489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665969 (
+	.A(n_3101),
+	.B(n_3106),
+	.Y(n_33490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665970 (
+	.A(n_3149),
+	.B(n_3140),
+	.Y(n_33487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665971 (
+	.A(n_3169),
+	.B(n_3162),
+	.Y(n_33485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665972 (
+	.A(n_3104),
+	.B(n_3164),
+	.Y(n_33484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665973 (
+	.A(n_3108),
+	.B(n_3109),
+	.Y(n_33477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665974 (
+	.A(n_3110),
+	.B(n_3113),
+	.Y(n_33478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g665975 (
+	.A1(n_5457),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[32]),
+	.B1(n_465),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[64]),
+	.C1(n_3195),
+	.X(n_32914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665978 (
+	.A(n_3165),
+	.B(n_3123),
+	.Y(n_33480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665979 (
+	.A(n_3245),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [0]),
+	.Y(n_32193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665980 (
+	.A(n_3168),
+	.B(n_3112),
+	.Y(n_33491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665981 (
+	.A(n_3153),
+	.B(n_3167),
+	.Y(n_33486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g665982 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mfdc_int[15]),
+	.A2_N(brqrv_top_brqrv_dma_ctrl_dma_nack_count[2]),
+	.B1(n_2913),
+	.B2(n_2914),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665983 (
+	.A(n_3117),
+	.B(n_3125),
+	.Y(n_33481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665984 (
+	.A(n_3130),
+	.B(n_32234),
+	.Y(n_33457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g665985 (
+	.A1(n_603),
+	.A2(n_32646),
+	.B1(n_598),
+	.B2(n_31786),
+	.C1(n_3134),
+	.Y(n_30667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g665987 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[4]),
+	.A2_N(n_3033),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[4]),
+	.B2(n_3002),
+	.Y(n_3504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g665988 (
+	.A_N(brqrv_top_brqrv_exu_i0_pc_x[15]),
+	.B(n_2813),
+	.Y(n_3503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g665989 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[34]),
+	.A2_N(n_3030),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[34]),
+	.B2(n_3051),
+	.Y(n_3502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g665990 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[46]),
+	.A2_N(n_3010),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[46]),
+	.B2(n_3049),
+	.Y(n_3501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665991 (
+	.A1(n_2857),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[1]),
+	.B1(n_2811),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[7]),
+	.C1(n_39320),
+	.Y(n_3500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g665992 (
+	.A1(n_5457),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_valid[1]),
+	.B1(n_465),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_valid[2]),
+	.C1(n_3197),
+	.Y(n_3499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g665993 (
+	.A1(n_5457),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_done[1]),
+	.B1(n_465),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_done[2]),
+	.C1(n_3189),
+	.X(n_3498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g665994 (
+	.A1(n_5457),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_sz[5]),
+	.B1(n_465),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_sz[8]),
+	.C1(n_3188),
+	.X(n_32908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g665995 (
+	.A1(n_5457),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[33]),
+	.B1(n_465),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[65]),
+	.C1(n_3196),
+	.X(n_32915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g665996 (
+	.A1(n_5457),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_sz[3]),
+	.B1(n_465),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_sz[6]),
+	.C1(n_3192),
+	.X(n_32906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665997 (
+	.A(n_3252),
+	.B(n_3266),
+	.Y(n_3497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665998 (
+	.A(n_3248),
+	.B(n_3266),
+	.Y(n_3496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g665999 (
+	.A(n_3246),
+	.B(n_3266),
+	.Y(n_3495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666000 (
+	.A(n_3246),
+	.B(n_3268),
+	.Y(n_3494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666001 (
+	.A(n_3250),
+	.B(n_3266),
+	.Y(n_3493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666002 (
+	.A(n_59540_BAR),
+	.B(n_3257),
+	.Y(n_3492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666003 (
+	.A(n_59540_BAR),
+	.B(n_3258),
+	.Y(n_3491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666004 (
+	.A(n_3250),
+	.B(n_3268),
+	.Y(n_3490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666005 (
+	.A(n_3248),
+	.B(n_3268),
+	.Y(n_3489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g666006 (
+	.A1(n_2857),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[1]),
+	.B1(n_2811),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[97]),
+	.C1(n_39321),
+	.Y(n_3488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g666007 (
+	.A1(n_2857),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[0]),
+	.B1(n_2811),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[96]),
+	.C1(n_39319),
+	.Y(n_3487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666008 (
+	.A(n_3252),
+	.B(n_3268),
+	.Y(n_3486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g666009 (
+	.A1(n_2857),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[0]),
+	.B1(n_2811),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[6]),
+	.C1(n_39323),
+	.Y(n_3485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g666010 (
+	.A1(n_5457),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_sz[4]),
+	.B1(n_465),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_sz[7]),
+	.C1(n_3194),
+	.Y(n_3484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666011 (
+	.A(n_3120),
+	.B(n_3081),
+	.Y(n_32325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666012 (
+	.A(n_3118),
+	.B(n_3078),
+	.Y(n_31112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666013 (
+	.A(n_3258),
+	.B(n_3246),
+	.Y(n_3483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666014 (
+	.A(n_3166),
+	.B(n_3085),
+	.Y(n_32324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666015 (
+	.A(n_3240),
+	.B(n_3081),
+	.Y(n_3482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666016 (
+	.A(n_3119),
+	.B(n_3082),
+	.Y(n_31114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666017 (
+	.A(n_3239),
+	.B(n_3085),
+	.Y(n_3481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666018 (
+	.A(n_3111),
+	.B(n_3087),
+	.Y(n_31113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666019 (
+	.A(n_3132),
+	.B(n_3084),
+	.Y(n_31115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666020 (
+	.A(n_3131),
+	.B(n_3083),
+	.Y(n_31116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666021 (
+	.A(n_3126),
+	.B(n_3086),
+	.Y(n_3480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666022 (
+	.A(n_3233),
+	.B(n_3078),
+	.Y(n_3479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666023 (
+	.A(n_3258),
+	.B(n_3252),
+	.Y(n_3478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666024 (
+	.A(n_3257),
+	.B(n_3252),
+	.Y(n_3477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666025 (
+	.A(n_3258),
+	.B(n_3248),
+	.Y(n_3476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666026 (
+	.A(n_3257),
+	.B(n_3248),
+	.Y(n_3475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666027 (
+	.A(n_3258),
+	.B(n_3250),
+	.Y(n_3474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666028 (
+	.A(n_3257),
+	.B(n_3250),
+	.Y(n_3473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666029 (
+	.A(n_3257),
+	.B(n_3246),
+	.Y(n_3472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666030 (
+	.A(n_3238),
+	.B(n_3083),
+	.Y(n_3471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666033 (
+	.A(n_3223),
+	.B(n_3087),
+	.Y(n_3469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666034 (
+	.A(n_3234),
+	.B(n_3082),
+	.Y(n_3468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666035 (
+	.A(n_3237),
+	.B(n_3086),
+	.Y(n_3467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666036 (
+	.A(n_3236),
+	.B(n_3084),
+	.Y(n_3466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666037 (
+	.A(n_3247),
+	.B(n_3267),
+	.Y(n_3465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666038 (
+	.A(n_3247),
+	.B(n_3265),
+	.Y(n_3464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666039 (
+	.A(n_3253),
+	.B(n_3267),
+	.Y(n_3463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666040 (
+	.A(n_3249),
+	.B(n_3265),
+	.Y(n_3462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666041 (
+	.A(n_3249),
+	.B(n_3267),
+	.Y(n_3461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666042 (
+	.A(n_3253),
+	.B(n_3265),
+	.Y(n_3460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666043 (
+	.A(n_3251),
+	.B(n_3267),
+	.Y(n_3459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666044 (
+	.A(n_3251),
+	.B(n_3265),
+	.Y(n_3458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666045 (
+	.A(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[0]),
+	.B(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[1]),
+	.Y(n_3457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666046 (
+	.A(n_3174),
+	.B(n_429),
+	.Y(n_3455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666047 (
+	.A(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[1]),
+	.B(n_3174),
+	.Y(n_3454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666048 (
+	.A(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[0]),
+	.B(n_429),
+	.Y(n_3453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g666049 (
+	.A(brqrv_top_brqrv_dccm_dma_rtag[1]),
+	.B(brqrv_top_brqrv_dccm_dma_rtag[0]),
+	.C(n_2960),
+	.X(n_31129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666050 (
+	.A(n_3146),
+	.B(n_32234),
+	.Y(n_33462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g666051 (
+	.A(brqrv_top_brqrv_dccm_dma_rtag[0]),
+	.B(n_2546),
+	.X(n_31125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666052 (
+	.A(n_3139),
+	.B(n_32234),
+	.Y(n_33463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666053 (
+	.A(n_3161),
+	.B(n_32234),
+	.Y(n_33461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666054 (
+	.A(n_3150),
+	.B(n_32234),
+	.Y(n_33471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666055 (
+	.A(n_3283),
+	.B(n_2600),
+	.Y(n_32349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666056 (
+	.A(n_3285),
+	.B(brqrv_top_brqrv_dccm_dma_rtag[0]),
+	.Y(n_32347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666057 (
+	.A(n_3283),
+	.B(brqrv_top_brqrv_dccm_dma_rtag[0]),
+	.Y(n_31127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666058 (
+	.A1(n_2995),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[12]),
+	.B1(n_2571),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[44]),
+	.X(n_3311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666059 (
+	.A1(n_2995),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[25]),
+	.B1(n_2571),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[57]),
+	.X(n_3310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666060 (
+	.A1(n_385),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[100]),
+	.B1(n_377),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[68]),
+	.X(n_3309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666061 (
+	.A1(n_385),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[109]),
+	.B1(n_377),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[77]),
+	.X(n_3308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666062 (
+	.A1(n_385),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[96]),
+	.B1(n_377),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[64]),
+	.X(n_3307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666063 (
+	.A1(n_2995),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[24]),
+	.B1(n_2571),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[56]),
+	.X(n_3306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666064 (
+	.A1(n_2995),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[8]),
+	.B1(n_2571),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[40]),
+	.X(n_3305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g666065 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[48]),
+	.A2_N(n_2571),
+	.B1(n_2736),
+	.B2(n_30703),
+	.Y(n_3304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g666066 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[45]),
+	.A2_N(n_2571),
+	.B1(n_2608),
+	.B2(n_30703),
+	.Y(n_3303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666067 (
+	.A1(n_2995),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[9]),
+	.B1(n_2571),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[41]),
+	.X(n_3302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666068 (
+	.A1(n_2995),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[17]),
+	.B1(n_2571),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[49]),
+	.X(n_3301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666069 (
+	.A1(n_2995),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[28]),
+	.B1(n_2571),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[60]),
+	.X(n_3300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g666070 (
+	.A(n_2880),
+	.B(n_2879),
+	.C(n_2881),
+	.D(n_2919),
+	.Y(n_3299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666071 (
+	.A1(n_385),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[103]),
+	.B1(n_377),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[71]),
+	.X(n_3298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666072 (
+	.A1(n_385),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[97]),
+	.B1(n_377),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[65]),
+	.X(n_3297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666073 (
+	.A1(n_2995),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[20]),
+	.B1(n_2571),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[52]),
+	.X(n_3296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666074 (
+	.A1(n_2995),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[29]),
+	.B1(n_2571),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[61]),
+	.X(n_3295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666075 (
+	.A1(n_385),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[110]),
+	.B1(n_377),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[78]),
+	.X(n_3294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g666076 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[102]),
+	.A2_N(n_385),
+	.B1(n_2732),
+	.B2(n_30634),
+	.Y(n_3293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666077 (
+	.A1(n_2995),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[30]),
+	.B1(n_2571),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[62]),
+	.X(n_3292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666078 (
+	.A1(n_2995),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[23]),
+	.B1(n_420),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[119]),
+	.X(n_3291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666079 (
+	.A1(n_385),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[111]),
+	.B1(n_377),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[79]),
+	.X(n_3290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666080 (
+	.A1(n_2995),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[7]),
+	.B1(n_2571),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[39]),
+	.X(n_3289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666081 (
+	.A1(n_2995),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[31]),
+	.B1(n_420),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[127]),
+	.X(n_3288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666082 (
+	.A1(n_2995),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[15]),
+	.B1(n_420),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[111]),
+	.X(n_3287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g666083 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[20]),
+	.A2_N(n_3024),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[20]),
+	.B2(n_3046),
+	.Y(n_3415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g666084 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[28]),
+	.A2_N(n_3005),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[28]),
+	.B2(n_3045),
+	.Y(n_3414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g666085 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[124]),
+	.A2_N(n_3003),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[124]),
+	.B2(n_3028),
+	.Y(n_3413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g666086 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[92]),
+	.A2_N(n_3021),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[92]),
+	.B2(n_3068),
+	.Y(n_3412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g666088 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[93]),
+	.A2_N(n_3021),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[93]),
+	.B2(n_3068),
+	.Y(n_3410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g666089 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[68]),
+	.A2_N(n_3027),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[68]),
+	.B2(n_3036),
+	.Y(n_3409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g666092 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[72]),
+	.A2_N(n_3015),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[72]),
+	.B2(n_3075),
+	.Y(n_3406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g666093 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[76]),
+	.A2_N(n_3077),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[76]),
+	.B2(n_3076),
+	.Y(n_3405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g666094 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[12]),
+	.A2_N(n_3007),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[12]),
+	.B2(n_3039),
+	.Y(n_3404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g666095 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[21]),
+	.A2_N(n_3024),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[21]),
+	.B2(n_3046),
+	.Y(n_3403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g666096 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[108]),
+	.A2_N(n_3018),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[108]),
+	.B2(n_3063),
+	.Y(n_3402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g666099 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[84]),
+	.A2_N(n_3023),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[84]),
+	.B2(n_3071),
+	.Y(n_3399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g666102 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[100]),
+	.A2_N(n_3016),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[100]),
+	.B2(n_3040),
+	.Y(n_3396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g666104 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[101]),
+	.A2_N(n_3016),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[101]),
+	.B2(n_3040),
+	.Y(n_3394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g666106 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[44]),
+	.A2_N(n_3010),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[44]),
+	.B2(n_3049),
+	.Y(n_3392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g666107 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[32]),
+	.A2_N(n_3030),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[32]),
+	.B2(n_3051),
+	.Y(n_3391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g666108 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[36]),
+	.A2_N(n_3001),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[36]),
+	.B2(n_3052),
+	.Y(n_3390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g666110 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[85]),
+	.A2_N(n_3023),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[85]),
+	.B2(n_3071),
+	.Y(n_3388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g666111 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[116]),
+	.A2_N(n_3014),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[116]),
+	.B2(n_3060),
+	.Y(n_3387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g666113 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[117]),
+	.A2_N(n_3014),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[117]),
+	.B2(n_3060),
+	.Y(n_3385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g666115 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[55]),
+	.A2_N(n_3053),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[55]),
+	.B2(n_3008),
+	.Y(n_3383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g666116 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[49]),
+	.A2_N(n_3011),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[49]),
+	.B2(n_3054),
+	.Y(n_3382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g666117 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[48]),
+	.A2_N(n_3011),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[48]),
+	.B2(n_3054),
+	.Y(n_3381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g666119 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[125]),
+	.A2_N(n_3003),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[125]),
+	.B2(n_3028),
+	.Y(n_3379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g666120 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[52]),
+	.A2_N(n_3008),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[52]),
+	.B2(n_3053),
+	.Y(n_3378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g666123 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[60]),
+	.A2_N(n_3013),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[60]),
+	.B2(n_3057),
+	.Y(n_3375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g666124 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[79]),
+	.A2_N(n_3076),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[79]),
+	.B2(n_3077),
+	.Y(n_3374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g666125 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[51]),
+	.A2_N(n_3011),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[51]),
+	.B2(n_3054),
+	.Y(n_3373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g666126 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[54]),
+	.A2_N(n_3008),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[54]),
+	.B2(n_3053),
+	.Y(n_3372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g666127 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[53]),
+	.A2_N(n_3008),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[53]),
+	.B2(n_3053),
+	.Y(n_3371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g666128 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[62]),
+	.A2_N(n_3013),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[62]),
+	.B2(n_3057),
+	.Y(n_3370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g666129 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[63]),
+	.A2_N(n_3057),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[63]),
+	.B2(n_3013),
+	.Y(n_3369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g666131 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[126]),
+	.A2_N(n_3003),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[126]),
+	.B2(n_3028),
+	.Y(n_3367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g666133 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[127]),
+	.A2_N(n_3028),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[127]),
+	.B2(n_3003),
+	.Y(n_3365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g666135 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[37]),
+	.A2_N(n_3001),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[37]),
+	.B2(n_3052),
+	.Y(n_3363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g666136 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[33]),
+	.A2_N(n_3030),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[33]),
+	.B2(n_3051),
+	.Y(n_3362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g666137 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[118]),
+	.A2_N(n_3014),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[118]),
+	.B2(n_3060),
+	.Y(n_3361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g666139 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[119]),
+	.A2_N(n_3060),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[119]),
+	.B2(n_3014),
+	.Y(n_3359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g666140 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[29]),
+	.A2_N(n_3005),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[29]),
+	.B2(n_3045),
+	.Y(n_3358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g666141 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[102]),
+	.A2_N(n_3016),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[102]),
+	.B2(n_3040),
+	.Y(n_3357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g666142 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[103]),
+	.A2_N(n_3040),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[103]),
+	.B2(n_3016),
+	.Y(n_3356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g666144 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[61]),
+	.A2_N(n_3013),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[61]),
+	.B2(n_3057),
+	.Y(n_3354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g666145 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[109]),
+	.A2_N(n_3018),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[109]),
+	.B2(n_3063),
+	.Y(n_3353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g666146 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[74]),
+	.A2_N(n_3015),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[74]),
+	.B2(n_3075),
+	.Y(n_3352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g666147 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[15]),
+	.A2_N(n_3039),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[15]),
+	.B2(n_3007),
+	.Y(n_3351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g666148 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[110]),
+	.A2_N(n_3018),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[110]),
+	.B2(n_3063),
+	.Y(n_3350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_2 g666149 (
+	.A0(n_3029),
+	.A1(n_3044),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[10]),
+	.X(n_3349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g666150 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[14]),
+	.A2_N(n_3039),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[14]),
+	.B2(n_3007),
+	.Y(n_3348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g666152 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[23]),
+	.A2_N(n_3046),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[23]),
+	.B2(n_3024),
+	.Y(n_3346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g666153 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[111]),
+	.A2_N(n_3063),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[111]),
+	.B2(n_3018),
+	.Y(n_3345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g666155 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[38]),
+	.A2_N(n_3001),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[38]),
+	.B2(n_3052),
+	.Y(n_3343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g666157 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[22]),
+	.A2_N(n_3024),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[22]),
+	.B2(n_3046),
+	.Y(n_3341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g666159 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[94]),
+	.A2_N(n_3021),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[94]),
+	.B2(n_3068),
+	.Y(n_3339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g666161 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[95]),
+	.A2_N(n_3068),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[95]),
+	.B2(n_3021),
+	.Y(n_3337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g666162 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[73]),
+	.A2_N(n_3015),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[73]),
+	.B2(n_3075),
+	.Y(n_3336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g666164 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[86]),
+	.A2_N(n_3023),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[86]),
+	.B2(n_3071),
+	.Y(n_3334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g666166 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[30]),
+	.A2_N(n_3005),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[30]),
+	.B2(n_3045),
+	.Y(n_3332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g666168 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[87]),
+	.A2_N(n_3071),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[87]),
+	.B2(n_3023),
+	.Y(n_3330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g666169 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[69]),
+	.A2_N(n_3027),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[69]),
+	.B2(n_3036),
+	.Y(n_3329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g666170 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[70]),
+	.A2_N(n_3027),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[70]),
+	.B2(n_3036),
+	.Y(n_3328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g666171 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[13]),
+	.A2_N(n_3007),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[13]),
+	.B2(n_3039),
+	.Y(n_3327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g666173 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[39]),
+	.A2_N(n_3052),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[39]),
+	.B2(n_3001),
+	.Y(n_3325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g666174 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[50]),
+	.A2_N(n_3011),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[50]),
+	.B2(n_3054),
+	.Y(n_3324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g666176 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[71]),
+	.A2_N(n_3036),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[71]),
+	.B2(n_3027),
+	.Y(n_3322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g666177 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[31]),
+	.A2_N(n_3045),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[31]),
+	.B2(n_3005),
+	.Y(n_3321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g666178 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[77]),
+	.A2_N(n_3077),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[77]),
+	.B2(n_3076),
+	.Y(n_3320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g666180 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[45]),
+	.A2_N(n_3010),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[45]),
+	.B2(n_3049),
+	.Y(n_3318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g666181 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[47]),
+	.A2_N(n_3049),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[47]),
+	.B2(n_3010),
+	.Y(n_3317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g666183 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[78]),
+	.A2_N(n_3077),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[78]),
+	.B2(n_3076),
+	.Y(n_3315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g666184 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[5]),
+	.A2_N(n_3002),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[5]),
+	.B2(n_3033),
+	.Y(n_3314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g666185 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[7]),
+	.A2_N(n_3002),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[7]),
+	.B2(n_3033),
+	.Y(n_3313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g666186 (
+	.A1_N(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[6]),
+	.A2_N(n_3002),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[6]),
+	.B2(n_3033),
+	.Y(n_3312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666187 (
+	.A(n_2547),
+	.Y(n_3286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666188 (
+	.A(n_2546),
+	.Y(n_3285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666190 (
+	.A(n_3281),
+	.Y(n_32895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666191 (
+	.A(n_3280),
+	.Y(n_32859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666192 (
+	.A(n_3279),
+	.Y(n_32901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666193 (
+	.A(n_3278),
+	.Y(n_32888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666194 (
+	.A(n_3276),
+	.Y(n_32903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666195 (
+	.A(n_3275),
+	.Y(n_32887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666196 (
+	.A(n_3274),
+	.Y(n_32879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666198 (
+	.A(n_3272),
+	.Y(n_32885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666201 (
+	.A(n_30666),
+	.Y(n_3261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666202 (
+	.A(n_31118),
+	.Y(n_3259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666203 (
+	.A(n_3256),
+	.Y(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666204 (
+	.A(n_31117),
+	.Y(n_3255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666205 (
+	.A(n_3254),
+	.Y(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666206 (
+	.A(n_3253),
+	.Y(n_3252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666207 (
+	.A(n_3251),
+	.Y(n_3250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666208 (
+	.A(n_3249),
+	.Y(n_3248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666209 (
+	.A(n_3247),
+	.Y(n_3246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666210 (
+	.A(n_31487),
+	.Y(n_3245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666213 (
+	.A(n_2999),
+	.B(n_2808),
+	.Y(n_31657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666216 (
+	.A(n_2998),
+	.B(brqrv_top_brqrv_dbg_cmd_wrdata[15]),
+	.Y(n_3240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666217 (
+	.A(n_2998),
+	.B(brqrv_top_brqrv_dbg_cmd_wrdata[14]),
+	.Y(n_3239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666218 (
+	.A(n_2998),
+	.B(brqrv_top_brqrv_dbg_cmd_wrdata[13]),
+	.Y(n_3238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666219 (
+	.A(n_3000),
+	.B(n_2808),
+	.Y(n_31664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666220 (
+	.A(n_2998),
+	.B(brqrv_top_brqrv_dbg_cmd_wrdata[12]),
+	.Y(n_3237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666221 (
+	.A(n_2998),
+	.B(brqrv_top_brqrv_dbg_cmd_wrdata[11]),
+	.Y(n_3236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g666222 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[75]),
+	.B_N(n_3015),
+	.Y(n_3235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666223 (
+	.A(n_2998),
+	.B(brqrv_top_brqrv_dbg_cmd_wrdata[10]),
+	.Y(n_3234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666224 (
+	.A(n_2998),
+	.B(brqrv_top_brqrv_dbg_cmd_wrdata[8]),
+	.Y(n_3233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g666225 (
+	.A(n_39127),
+	.B(n_31662),
+	.X(n_31652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666226 (
+	.A(n_3032),
+	.B(n_2800),
+	.Y(n_31672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666228 (
+	.A(n_2544),
+	.B(n_2585),
+	.Y(n_31677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666229 (
+	.A(n_3032),
+	.B(n_2585),
+	.Y(n_31679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666232 (
+	.A(n_3032),
+	.B(n_2590),
+	.Y(n_31678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666240 (
+	.A(n_2998),
+	.B(brqrv_top_brqrv_dbg_cmd_wrdata[9]),
+	.Y(n_3223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g666247 (
+	.A(n_31724),
+	.B(n_31662),
+	.X(n_31661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g666253 (
+	.A(n_32631),
+	.B(n_31662),
+	.X(n_31660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g666254 (
+	.A(n_39127),
+	.B(n_31665),
+	.X(n_31656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g666257 (
+	.A(n_31724),
+	.B(n_31665),
+	.X(n_31659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666258 (
+	.A(n_2544),
+	.B(n_2808),
+	.Y(n_31680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666262 (
+	.A(n_3032),
+	.B(n_2808),
+	.Y(n_31674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g666266 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[35]),
+	.B_N(n_3030),
+	.Y(n_3204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666271 (
+	.A(n_2974),
+	.B(n_39123),
+	.Y(n_3199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g666273 (
+	.A(n_32631),
+	.B(n_31665),
+	.X(n_31658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g666274 (
+	.A1(n_399607_BAR),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [6]),
+	.B1(n_39133),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [45]),
+	.C1(n_2883),
+	.X(n_32880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666278 (
+	.A(brqrv_top_brqrv_iccm_dma_rtag[0]),
+	.B(n_2983),
+	.Y(n_3284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666279 (
+	.A(brqrv_top_brqrv_dccm_dma_rtag[1]),
+	.B(n_2976),
+	.Y(n_3283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g666280 (
+	.A1(brqrv_top_brqrv_dec_decode_r_d[3]),
+	.A2(brqrv_top_brqrv_dec_decode_nonblock_load_valid_m_delay),
+	.B1(brqrv_top_brqrv_dec_decode_r_d[23]),
+	.C1(n_30711),
+	.Y(n_3282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g666281 (
+	.A1(n_2605),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [80]),
+	.B1(n_2722),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [2]),
+	.C1(n_2930),
+	.X(n_33523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g666282 (
+	.A1(n_399607_BAR),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [21]),
+	.B1(n_39133),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [60]),
+	.C1(n_2902),
+	.Y(n_3281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g666283 (
+	.A1(n_344),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [48]),
+	.B1(n_488),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [9]),
+	.C1(n_2885),
+	.Y(n_3280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g666284 (
+	.A1(n_399607_BAR),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [27]),
+	.B1(n_39133),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [66]),
+	.C1(n_2920),
+	.Y(n_3279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g666285 (
+	.A1(n_399607_BAR),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [14]),
+	.B1(n_39133),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [53]),
+	.C1(n_2921),
+	.Y(n_3278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g666286 (
+	.A1(n_2617),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [39]),
+	.B1(n_2722),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [0]),
+	.C1(n_2934),
+	.X(n_33521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666287 (
+	.A(n_2978),
+	.B(brqrv_top_brqrv_iccm_dma_rtag[0]),
+	.Y(n_3277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g666288 (
+	.A1(n_399607_BAR),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [2]),
+	.B1(n_39133),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [41]),
+	.C1(n_2886),
+	.X(n_32876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g666289 (
+	.A1(n_399607_BAR),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [29]),
+	.B1(n_39133),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [68]),
+	.C1(n_2891),
+	.Y(n_3276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g666290 (
+	.A1(n_399607_BAR),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [13]),
+	.B1(n_39133),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [52]),
+	.C1(n_2892),
+	.Y(n_3275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g666291 (
+	.A1(n_399607_BAR),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [5]),
+	.B1(n_39133),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [44]),
+	.C1(n_2917),
+	.Y(n_3274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g666292 (
+	.A1(n_399607_BAR),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [0]),
+	.B1(n_39133),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [39]),
+	.C1(n_2906),
+	.X(n_32874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g666293 (
+	.A1(n_399607_BAR),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [4]),
+	.B1(n_39133),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [43]),
+	.C1(n_2905),
+	.X(n_32878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g666294 (
+	.A1(n_2605),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [82]),
+	.B1(n_2722),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [4]),
+	.C1(n_2935),
+	.X(n_33525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g666295 (
+	.A1(n_399607_BAR),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [3]),
+	.B1(n_39133),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [42]),
+	.C1(n_2899),
+	.X(n_32877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g666296 (
+	.A1(n_344),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [55]),
+	.B1(n_488),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [16]),
+	.C1(n_2882),
+	.Y(n_3273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g666297 (
+	.A1(n_399607_BAR),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [11]),
+	.B1(n_39133),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [50]),
+	.C1(n_2922),
+	.Y(n_3272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g666298 (
+	.A1(n_344),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [56]),
+	.B1(n_488),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [17]),
+	.C1(n_2894),
+	.Y(n_3271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g666299 (
+	.A1(n_39160),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [145]),
+	.B1(n_488),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [28]),
+	.C1(n_2915),
+	.Y(n_3270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g666300 (
+	.A1(n_2633),
+	.A2(brqrv_top_brqrv_lsu_store_data_hi_r[11]),
+	.B1(n_2632),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[3]),
+	.C1(n_2936),
+	.Y(n_3269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666301 (
+	.A(n_2967),
+	.B(n_32979),
+	.Y(n_3268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666302 (
+	.A(n_32978),
+	.B(n_2966),
+	.Y(n_3267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666303 (
+	.A(n_32979),
+	.B(n_2966),
+	.Y(n_3266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666304 (
+	.A(n_32978),
+	.B(n_2967),
+	.Y(n_3265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g666305 (
+	.A(n_31120),
+	.B(brqrv_top_brqrv_dbg_cmd_wrdata[3]),
+	.X(n_3264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g666306 (
+	.A(n_31120),
+	.B(brqrv_top_brqrv_dbg_cmd_wrdata[5]),
+	.X(n_3263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g666307 (
+	.A(n_31120),
+	.B(brqrv_top_brqrv_dbg_cmd_wrdata[6]),
+	.X(n_3262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g666308 (
+	.A1(n_618),
+	.A2(n_30839),
+	.B1(n_2716),
+	.B2(n_30843),
+	.C1(n_2950),
+	.Y(n_30666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g666309 (
+	.A(n_31120),
+	.B(brqrv_top_brqrv_dbg_cmd_wrdata[7]),
+	.X(n_3260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666310 (
+	.A(n_31120),
+	.B(brqrv_top_brqrv_dbg_cmd_wrdata[1]),
+	.Y(n_31118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666311 (
+	.A(n_2967),
+	.B(n_32978),
+	.Y(n_3258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666312 (
+	.A(n_2966),
+	.B(n_32978),
+	.Y(n_3257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g666313 (
+	.A1(n_2705),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[24]),
+	.B1(n_2700),
+	.B2(brqrv_top_brqrv_dec_decode_cam_raw[34]),
+	.C1(n_2898),
+	.Y(n_3256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666314 (
+	.A(n_31120),
+	.B(brqrv_top_brqrv_dbg_cmd_wrdata[0]),
+	.Y(n_31117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g666315 (
+	.A1(n_2705),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[22]),
+	.B1(n_2700),
+	.B2(brqrv_top_brqrv_dec_decode_cam_raw[32]),
+	.C1(n_2887),
+	.Y(n_3254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666316 (
+	.A(n_2972),
+	.B(n_2968),
+	.Y(n_3253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666317 (
+	.A(n_2972),
+	.B(n_2969),
+	.Y(n_3251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666318 (
+	.A(n_2971),
+	.B(n_2968),
+	.Y(n_3249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666319 (
+	.A(n_2969),
+	.B(n_2971),
+	.Y(n_3247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666320 (
+	.A(n_2984),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_valid_ff ),
+	.Y(n_31487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666321 (
+	.A(n_3160),
+	.Y(n_3197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666322 (
+	.A(n_3159),
+	.Y(n_3196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666323 (
+	.A(n_3158),
+	.Y(n_3195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666324 (
+	.A(n_3157),
+	.Y(n_3194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666325 (
+	.A(n_3155),
+	.Y(n_3193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666326 (
+	.A(n_3152),
+	.Y(n_3192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666327 (
+	.A(n_3148),
+	.Y(n_3191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666328 (
+	.A(n_3138),
+	.Y(n_3190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666329 (
+	.A(n_3129),
+	.Y(n_3189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666330 (
+	.A(n_3128),
+	.Y(n_3188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666331 (
+	.A(n_3187),
+	.Y(n_32893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666332 (
+	.A(n_38544),
+	.Y(n_3186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666333 (
+	.A(n_3184),
+	.Y(n_32858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666334 (
+	.A(n_3182),
+	.Y(n_3183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666335 (
+	.A(n_30665),
+	.Y(n_3176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666336 (
+	.A(n_429),
+	.Y(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666337 (
+	.A(n_3174),
+	.Y(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666338 (
+	.A(n_3173),
+	.Y(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g666339 (
+	.A(n_31729),
+	.B(n_32636),
+	.C(n_32633),
+	.D(n_32637),
+	.Y(n_3172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666340 (
+	.A1(n_2847),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [3]),
+	.B1(n_2848),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [81]),
+	.Y(n_3171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666341 (
+	.A1(n_2849),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [45]),
+	.B1(n_39151),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [123]),
+	.Y(n_3170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666342 (
+	.A1(n_2847),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [9]),
+	.B1(n_2848),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [87]),
+	.Y(n_3169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666343 (
+	.A1(n_2847),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [15]),
+	.B1(n_2848),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [93]),
+	.Y(n_3168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666344 (
+	.A1(n_2849),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [49]),
+	.B1(n_39151),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [127]),
+	.Y(n_3167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666345 (
+	.A1(n_2799),
+	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[30]),
+	.B1(n_2850),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[14]),
+	.Y(n_3166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666346 (
+	.A1(n_2847),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [4]),
+	.B1(n_2848),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [82]),
+	.Y(n_3165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666347 (
+	.A1(n_2849),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [47]),
+	.B1(n_39151),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [125]),
+	.Y(n_3164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666349 (
+	.A1(n_2849),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [48]),
+	.B1(n_39151),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [126]),
+	.Y(n_3162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666350 (
+	.A1(brqrv_top_brqrv_dec_i0_result_r[21]),
+	.A2(n_29931),
+	.B1(n_570),
+	.B2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[21]),
+	.C1(n_567),
+	.C2(brqrv_top_brqrv_lsu_fir_addr[21]),
+	.Y(n_3161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666351 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_valid[4]),
+	.A2(n_5424),
+	.B1(n_463),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_valid[0]),
+	.C1(n_464),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_valid[3]),
+	.Y(n_3160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666352 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[129]),
+	.A2(n_5424),
+	.B1(n_463),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_583),
+	.C1(n_464),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_addr[97]),
+	.Y(n_3159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666353 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[128]),
+	.A2(n_5424),
+	.B1(n_463),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_578),
+	.C1(n_464),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_addr[96]),
+	.Y(n_3158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666354 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_sz[13]),
+	.A2(n_5424),
+	.B1(n_463),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_747),
+	.C1(n_464),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_sz[10]),
+	.Y(n_3157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g666355 (
+	.A(n_32912),
+	.B(n_32910),
+	.C(n_32913),
+	.D(n_32911),
+	.X(n_3156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666356 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_rpend[4]),
+	.A2(n_5424),
+	.B1(n_463),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_rpend[0]),
+	.C1(n_464),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_rpend[3]),
+	.Y(n_3155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g666357 (
+	.A1(n_2619),
+	.A2(n_33240),
+	.B1(n_2725),
+	.B2(n_33241),
+	.C1(n_2837),
+	.X(n_3154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666358 (
+	.A1(n_2847),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [10]),
+	.B1(n_2848),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [88]),
+	.Y(n_3153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666359 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_sz[12]),
+	.A2(n_5424),
+	.B1(n_463),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_742),
+	.C1(n_464),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_sz[9]),
+	.Y(n_3152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666360 (
+	.A1(n_33213),
+	.A2(n_2607),
+	.B1(n_416),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[16]),
+	.C1(n_30620),
+	.C2(n_31863),
+	.Y(n_3151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666361 (
+	.A1(brqrv_top_brqrv_dec_i0_result_r[31]),
+	.A2(n_29931),
+	.B1(n_570),
+	.B2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[31]),
+	.C1(n_567),
+	.C2(brqrv_top_brqrv_lsu_fir_addr[31]),
+	.Y(n_3150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666362 (
+	.A1(n_2847),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [11]),
+	.B1(n_2848),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [89]),
+	.Y(n_3149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666363 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[76]),
+	.A2(n_2610),
+	.B1(n_2609),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[44]),
+	.C1(n_2718),
+	.C2(n_33237),
+	.Y(n_3148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666364 (
+	.A1(n_33246),
+	.A2(n_2713),
+	.B1(n_30681),
+	.B2(n_432),
+	.C1(n_30682),
+	.C2(n_537),
+	.Y(n_3147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666365 (
+	.A1(brqrv_top_brqrv_dec_i0_result_r[22]),
+	.A2(n_29931),
+	.B1(n_570),
+	.B2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[22]),
+	.C1(n_567),
+	.C2(brqrv_top_brqrv_lsu_fir_addr[22]),
+	.Y(n_3146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666366 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[27]),
+	.A2(n_2737),
+	.B1(n_2730),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[59]),
+	.C1(n_2626),
+	.C2(brqrv_top_brqrv_lsu_store_data_hi_r[27]),
+	.Y(n_3145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666367 (
+	.A1(brqrv_top_brqrv_dec_i0_result_r[27]),
+	.A2(n_29931),
+	.B1(n_570),
+	.B2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[27]),
+	.C1(n_567),
+	.C2(brqrv_top_brqrv_lsu_fir_addr[27]),
+	.Y(n_3144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666368 (
+	.A1(n_2847),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [26]),
+	.B1(n_2848),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [104]),
+	.X(n_3143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666371 (
+	.A1(n_2849),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [50]),
+	.B1(n_39151),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [128]),
+	.Y(n_3140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666372 (
+	.A1(brqrv_top_brqrv_dec_i0_result_r[23]),
+	.A2(n_29931),
+	.B1(n_570),
+	.B2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[23]),
+	.C1(n_567),
+	.C2(brqrv_top_brqrv_lsu_fir_addr[23]),
+	.Y(n_3139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666373 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[10]),
+	.A2(n_2614),
+	.B1(n_2718),
+	.B2(n_33233),
+	.C1(n_2720),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[106]),
+	.Y(n_3138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666374 (
+	.A1(brqrv_top_brqrv_dec_i0_result_r[18]),
+	.A2(n_29931),
+	.B1(n_570),
+	.B2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[18]),
+	.C1(n_567),
+	.C2(brqrv_top_brqrv_lsu_fir_addr[18]),
+	.Y(n_3137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666375 (
+	.A1(brqrv_top_brqrv_dec_i0_result_r[16]),
+	.A2(n_29931),
+	.B1(n_570),
+	.B2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[16]),
+	.C1(n_567),
+	.C2(brqrv_top_brqrv_lsu_fir_addr[16]),
+	.Y(n_3136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666376 (
+	.A1(n_33239),
+	.A2(n_2725),
+	.B1(n_2615),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[45]),
+	.C1(n_2719),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[77]),
+	.Y(n_3135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666377 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[27]),
+	.A2(n_2678),
+	.B1(n_2749),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[123]),
+	.C1(n_2677),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[91]),
+	.Y(n_3134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666378 (
+	.A1(brqrv_top_brqrv_lsu_stbuf_stbuf_data[61]),
+	.A2(n_2730),
+	.B1(n_2626),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[29]),
+	.C1(n_2729),
+	.C2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[29]),
+	.Y(n_3133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666379 (
+	.A1(n_2799),
+	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[27]),
+	.B1(n_2850),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[11]),
+	.Y(n_3132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666380 (
+	.A1(n_2799),
+	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[29]),
+	.B1(n_2850),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[13]),
+	.Y(n_3131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666381 (
+	.A1(brqrv_top_brqrv_dec_i0_result_r[17]),
+	.A2(n_29931),
+	.B1(n_570),
+	.B2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[17]),
+	.C1(n_567),
+	.C2(brqrv_top_brqrv_lsu_fir_addr[17]),
+	.Y(n_3130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666382 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_done[4]),
+	.A2(n_5424),
+	.B1(n_463),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_done[0]),
+	.C1(n_464),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_done[3]),
+	.Y(n_3129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g666383 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_sz[14]),
+	.A2(n_5424),
+	.B1(n_463),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_752),
+	.C1(n_464),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_sz[11]),
+	.Y(n_3128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666384 (
+	.A1(n_2847),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [7]),
+	.B1(n_2848),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [85]),
+	.Y(n_3127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666385 (
+	.A1(n_2799),
+	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[28]),
+	.B1(n_2850),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[12]),
+	.Y(n_3126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666386 (
+	.A1(n_2849),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [44]),
+	.B1(n_39151),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [122]),
+	.Y(n_3125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666387 (
+	.A1(n_2847),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [12]),
+	.B1(n_2848),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [90]),
+	.Y(n_3124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666388 (
+	.A1(n_2849),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [43]),
+	.B1(n_39151),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [121]),
+	.Y(n_3123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666389 (
+	.A1(n_2849),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [42]),
+	.B1(n_39151),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [120]),
+	.Y(n_3122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666390 (
+	.A1(n_2847),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [6]),
+	.B1(n_2848),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [84]),
+	.Y(n_3121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666391 (
+	.A1(n_2799),
+	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[31]),
+	.B1(n_2850),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[15]),
+	.Y(n_3120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666392 (
+	.A1(n_2799),
+	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[26]),
+	.B1(n_2850),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[10]),
+	.Y(n_3119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666393 (
+	.A1(n_2799),
+	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[24]),
+	.B1(n_2850),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[8]),
+	.Y(n_3118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666394 (
+	.A1(n_2847),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [5]),
+	.B1(n_2848),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [83]),
+	.Y(n_3117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666395 (
+	.A1(n_2847),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [16]),
+	.B1(n_2849),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [55]),
+	.X(n_3116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666396 (
+	.A1(n_2847),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [17]),
+	.B1(n_2849),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [56]),
+	.X(n_3115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666397 (
+	.A1(n_2847),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [18]),
+	.B1(n_2848),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [96]),
+	.X(n_3114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666398 (
+	.A1(n_2849),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [41]),
+	.B1(n_39151),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [119]),
+	.Y(n_3113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666399 (
+	.A1(n_2849),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [54]),
+	.B1(n_39151),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [132]),
+	.Y(n_3112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666400 (
+	.A1(n_2799),
+	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[25]),
+	.B1(n_2850),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[9]),
+	.Y(n_3111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666401 (
+	.A1(n_2847),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [2]),
+	.B1(n_2848),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [80]),
+	.Y(n_3110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666402 (
+	.A1(n_2848),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [78]),
+	.B1(n_39151),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [117]),
+	.Y(n_3109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666403 (
+	.A1(n_2847),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [0]),
+	.B1(n_2849),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [39]),
+	.Y(n_3108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666404 (
+	.A1(n_2847),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [19]),
+	.B1(n_2848),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [97]),
+	.X(n_3107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666405 (
+	.A1(n_2849),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [53]),
+	.B1(n_39151),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [131]),
+	.Y(n_3106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666406 (
+	.A1(n_2847),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [27]),
+	.B1(n_2848),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [105]),
+	.X(n_3105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666407 (
+	.A1(n_2847),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [8]),
+	.B1(n_2848),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [86]),
+	.Y(n_3104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666409 (
+	.A1(n_2849),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [46]),
+	.B1(n_39151),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [124]),
+	.Y(n_3102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666410 (
+	.A1(n_2847),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [14]),
+	.B1(n_2848),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [92]),
+	.Y(n_3101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666411 (
+	.A1(n_2849),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [52]),
+	.B1(n_39151),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [130]),
+	.Y(n_3100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666412 (
+	.A1(n_2847),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [13]),
+	.B1(n_2848),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [91]),
+	.Y(n_3099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666413 (
+	.A1(n_2847),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [20]),
+	.B1(n_2848),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [98]),
+	.X(n_3098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666414 (
+	.A1(n_2848),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [109]),
+	.B1(n_2849),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [70]),
+	.X(n_3097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666415 (
+	.A1(n_2847),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [30]),
+	.B1(n_2849),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [69]),
+	.X(n_3096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666416 (
+	.A1(n_2847),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [29]),
+	.B1(n_2848),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [107]),
+	.X(n_3095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666417 (
+	.A1(n_2849),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [51]),
+	.B1(n_39151),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [129]),
+	.Y(n_3094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666418 (
+	.A1(n_2847),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [25]),
+	.B1(n_2848),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [103]),
+	.X(n_3093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666419 (
+	.A1(n_2847),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [24]),
+	.B1(n_2848),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [102]),
+	.X(n_3092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666420 (
+	.A1(n_2847),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [23]),
+	.B1(n_2848),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [101]),
+	.X(n_3091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666422 (
+	.A1(n_2847),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [22]),
+	.B1(n_2848),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [100]),
+	.X(n_3089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666423 (
+	.A1(n_2847),
+	.A2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [21]),
+	.B1(n_2848),
+	.B2(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [99]),
+	.X(n_3088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g666424 (
+	.A1(n_30673),
+	.A2(n_31862),
+	.B1(n_2611),
+	.B2(n_33262),
+	.C1(n_2838),
+	.X(n_30672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g666425 (
+	.A1(n_399607_BAR),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [19]),
+	.B1(n_39133),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [58]),
+	.C1(n_2923),
+	.Y(n_3187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g666427 (
+	.A1(n_399607_BAR),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [1]),
+	.B1(n_39133),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [40]),
+	.C1(n_2896),
+	.X(n_32875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g666428 (
+	.A1(n_344),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [47]),
+	.B1(n_488),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [8]),
+	.C1(n_2900),
+	.Y(n_3184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4bb_1 g666429 (
+	.A(brqrv_top_brqrv_iccm_dma_rtag[1]),
+	.B(brqrv_top_brqrv_iccm_dma_rtag[0]),
+	.C_N(brqrv_top_brqrv_iccm_dma_rtag[2]),
+	.D_N(brqrv_top_brqrv_iccm_dma_rvalid),
+	.Y(n_3182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g666430 (
+	.A1(n_30543),
+	.A2(n_2710),
+	.B1(n_2738),
+	.B2(n_33265),
+	.C1(n_2846),
+	.X(n_30542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g666431 (
+	.A1(n_32619),
+	.A2(n_31862),
+	.B1(n_2611),
+	.B2(n_33264),
+	.C1(n_2845),
+	.X(n_3181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g666432 (
+	.A1(n_30539),
+	.A2(n_2710),
+	.B1(n_2738),
+	.B2(n_33266),
+	.C1(n_2794),
+	.X(n_30538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g666433 (
+	.A1(n_30705),
+	.A2(n_2665),
+	.B1(n_30704),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2439),
+	.C1(n_2792),
+	.Y(n_33145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g666434 (
+	.A1(n_30705),
+	.A2(n_2645),
+	.B1(n_30704),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2445),
+	.C1(n_2795),
+	.Y(n_33147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g666435 (
+	.A1(n_30705),
+	.A2(n_2775),
+	.B1(n_30704),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2442),
+	.C1(n_2793),
+	.Y(n_33146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g666436 (
+	.A1(n_30705),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2165),
+	.B1(n_30704),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2451),
+	.C1(n_2791),
+	.Y(n_33149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_2 g666437 (
+	.A1(n_618),
+	.A2(n_30837),
+	.B1(n_2716),
+	.B2(n_32651),
+	.C1(n_2955),
+	.X(n_3180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666438 (
+	.A1(n_2799),
+	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[21]),
+	.B1(n_2573),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[5]),
+	.X(n_32328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666439 (
+	.A1(n_2799),
+	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[20]),
+	.B1(n_2573),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[4]),
+	.X(n_32327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666440 (
+	.A1(n_2799),
+	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[16]),
+	.B1(n_2573),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[0]),
+	.X(n_3179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666441 (
+	.A1(n_2799),
+	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[19]),
+	.B1(n_2573),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[3]),
+	.X(n_3178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666442 (
+	.A1(n_2799),
+	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[23]),
+	.B1(n_2573),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[7]),
+	.X(n_32332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666443 (
+	.A1(n_2799),
+	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[18]),
+	.B1(n_2573),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[2]),
+	.X(n_32326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666444 (
+	.A1(n_2799),
+	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[22]),
+	.B1(n_2573),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[6]),
+	.X(n_32329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666445 (
+	.A1(n_2799),
+	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[17]),
+	.B1(n_2573),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[1]),
+	.X(n_3177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g666446 (
+	.A1(n_2717),
+	.A2(n_30828),
+	.B1(n_2716),
+	.B2(n_30830),
+	.C1(n_2952),
+	.Y(n_30665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g666447 (
+	.A1(n_2705),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[21]),
+	.B1(n_2700),
+	.B2(brqrv_top_brqrv_dec_decode_cam_raw[31]),
+	.C1(n_2889),
+	.Y(n_429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g666448 (
+	.A1(n_2705),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[20]),
+	.B1(n_2700),
+	.B2(brqrv_top_brqrv_dec_decode_cam_raw[30]),
+	.C1(n_2918),
+	.Y(n_3174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g666449 (
+	.A1(n_2705),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[23]),
+	.B1(n_2700),
+	.B2(brqrv_top_brqrv_dec_decode_cam_raw[33]),
+	.C1(n_2890),
+	.Y(n_3173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666452 (
+	.A(n_3075),
+	.Y(n_3074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666453 (
+	.A(n_3073),
+	.Y(n_3072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666454 (
+	.A(n_3069),
+	.Y(n_3070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666455 (
+	.A(n_3067),
+	.Y(n_3066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666456 (
+	.A(n_3065),
+	.Y(n_3064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666457 (
+	.A(n_3061),
+	.Y(n_3062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666458 (
+	.A(n_3059),
+	.Y(n_3058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666459 (
+	.A(n_3056),
+	.Y(n_3055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666460 (
+	.A(n_3051),
+	.Y(n_3050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666461 (
+	.A(n_3048),
+	.Y(n_3047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666462 (
+	.A(n_3044),
+	.Y(n_3043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666463 (
+	.A(n_3042),
+	.Y(n_3041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666464 (
+	.A(n_3038),
+	.Y(n_3037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666465 (
+	.A(n_3035),
+	.Y(n_3034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666466 (
+	.A(n_31665),
+	.Y(n_3000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666467 (
+	.A(n_31662),
+	.Y(n_2999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666468 (
+	.A(n_30634),
+	.Y(n_377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666470 (
+	.A(n_2995),
+	.Y(n_30703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666478 (
+	.A(n_2860),
+	.B(n_2800),
+	.Y(n_31663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g666482 (
+	.A(n_39127),
+	.B(n_31743),
+	.X(n_31654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666484 (
+	.A(n_2812),
+	.B(n_2800),
+	.Y(n_31648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666486 (
+	.A(n_2860),
+	.B(n_2808),
+	.Y(n_31666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666488 (
+	.A(n_2861),
+	.B(n_2585),
+	.Y(n_31668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666489 (
+	.A(n_2812),
+	.B(n_2585),
+	.Y(n_31650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666490 (
+	.A(n_2860),
+	.B(n_2590),
+	.Y(n_31670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666491 (
+	.A(n_2812),
+	.B(n_2590),
+	.Y(n_31649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666492 (
+	.A(n_2861),
+	.B(n_2590),
+	.Y(n_31669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666493 (
+	.A(n_2861),
+	.B(n_2800),
+	.Y(n_31667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666494 (
+	.A(n_2851),
+	.B(brqrv_top_brqrv_dbg_cmd_wrdata[1]),
+	.Y(n_3087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666495 (
+	.A(n_2851),
+	.B(brqrv_top_brqrv_dbg_cmd_wrdata[4]),
+	.Y(n_3086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666496 (
+	.A(n_2851),
+	.B(brqrv_top_brqrv_dbg_cmd_wrdata[6]),
+	.Y(n_3085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666497 (
+	.A(n_2851),
+	.B(brqrv_top_brqrv_dbg_cmd_wrdata[3]),
+	.Y(n_3084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666498 (
+	.A(n_2851),
+	.B(brqrv_top_brqrv_dbg_cmd_wrdata[5]),
+	.Y(n_3083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666499 (
+	.A(n_2851),
+	.B(brqrv_top_brqrv_dbg_cmd_wrdata[2]),
+	.Y(n_3082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666500 (
+	.A(n_2851),
+	.B(brqrv_top_brqrv_dbg_cmd_wrdata[7]),
+	.Y(n_3081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666503 (
+	.A(n_2851),
+	.B(brqrv_top_brqrv_dbg_cmd_wrdata[0]),
+	.Y(n_3078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g666504 (
+	.A_N(n_2875),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.Y(n_3077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666505 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.B(n_2875),
+	.Y(n_3076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666506 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.B(n_2874),
+	.Y(n_3075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666507 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.B(n_2872),
+	.Y(n_3073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666508 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.B(n_2868),
+	.Y(n_3071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666509 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.B(n_2870),
+	.Y(n_3069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666510 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.B(n_2825),
+	.Y(n_3068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666511 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.B(n_2865),
+	.Y(n_3067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666512 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.B(n_2876),
+	.Y(n_3065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666513 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.B(n_2867),
+	.Y(n_3063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666514 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.B(n_2869),
+	.Y(n_3061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666515 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.B(n_2816),
+	.Y(n_3060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666516 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.B(n_2818),
+	.Y(n_3059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666517 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.B(n_2834),
+	.Y(n_3057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666518 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.B(n_2831),
+	.Y(n_3056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666519 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.B(n_2828),
+	.Y(n_3054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666520 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.B(n_2821),
+	.Y(n_3053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666521 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.B(n_2873),
+	.Y(n_3052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666522 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.B(n_2826),
+	.Y(n_3051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666523 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.B(n_2827),
+	.Y(n_3049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666524 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.B(n_2822),
+	.Y(n_3048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666525 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.B(n_2823),
+	.Y(n_3046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666526 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.B(n_2819),
+	.Y(n_3045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666527 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.B(n_2832),
+	.Y(n_3044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666528 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.B(n_2829),
+	.Y(n_3042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666529 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.B(n_2863),
+	.Y(n_3040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666530 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.B(n_2835),
+	.Y(n_3039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666531 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.B(n_2815),
+	.Y(n_3038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666532 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.B(n_2878),
+	.Y(n_3036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666533 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.B(n_2817),
+	.Y(n_3035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666534 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.B(n_2820),
+	.Y(n_3033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666535 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[24]),
+	.B(n_2871),
+	.Y(n_3032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666536 (
+	.A(n_2568),
+	.B(n_2817),
+	.Y(n_3031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g666537 (
+	.A_N(n_2826),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.Y(n_3030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666538 (
+	.A(n_2568),
+	.B(n_2832),
+	.Y(n_3029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666539 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.B(n_2830),
+	.Y(n_3028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g666540 (
+	.A_N(n_2878),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.Y(n_3027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666541 (
+	.A(n_2568),
+	.B(n_2872),
+	.Y(n_3026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666542 (
+	.A(n_2568),
+	.B(n_2829),
+	.Y(n_3025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g666543 (
+	.A_N(n_2823),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.Y(n_3024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g666544 (
+	.A_N(n_2868),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.Y(n_3023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666545 (
+	.A(n_2568),
+	.B(n_2870),
+	.Y(n_3022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g666546 (
+	.A_N(n_2825),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.Y(n_3021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666547 (
+	.A(n_2568),
+	.B(n_2865),
+	.Y(n_3020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666548 (
+	.A(n_2568),
+	.B(n_2876),
+	.Y(n_3019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g666549 (
+	.A_N(n_2867),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.Y(n_3018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666550 (
+	.A(n_2568),
+	.B(n_2869),
+	.Y(n_3017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g666551 (
+	.A_N(n_2863),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.Y(n_3016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g666552 (
+	.A_N(n_2874),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.Y(n_3015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g666553 (
+	.A_N(n_2816),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.Y(n_3014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g666554 (
+	.A_N(n_2834),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.Y(n_3013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666555 (
+	.A(n_2568),
+	.B(n_2831),
+	.Y(n_3012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g666556 (
+	.A_N(n_2828),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.Y(n_3011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g666557 (
+	.A_N(n_2827),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.Y(n_3010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666558 (
+	.A(n_2568),
+	.B(n_2822),
+	.Y(n_3009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g666559 (
+	.A_N(n_2821),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.Y(n_3008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g666560 (
+	.A_N(n_2835),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.Y(n_3007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666561 (
+	.A(n_2568),
+	.B(n_2818),
+	.Y(n_3006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g666562 (
+	.A_N(n_2819),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.Y(n_3005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666563 (
+	.A(n_2568),
+	.B(n_2815),
+	.Y(n_3004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g666564 (
+	.A_N(n_2830),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.Y(n_3003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g666565 (
+	.A_N(n_2820),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.Y(n_3002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g666566 (
+	.A_N(n_2873),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.Y(n_3001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666568 (
+	.A(n_2864),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[24]),
+	.Y(n_31665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g666569 (
+	.A_N(n_2871),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[24]),
+	.Y(n_31662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666570 (
+	.A(brqrv_top_brqrv_dma_ctrl_n_3254),
+	.B(brqrv_top_brqrv_dbg_cmd_size[1]),
+	.Y(n_31120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666571 (
+	.A(brqrv_top_brqrv_dma_ctrl_n_3254),
+	.B(brqrv_top_brqrv_dma_ctrl_n_3251),
+	.Y(n_2998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g666572 (
+	.A_N(n_29920),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dual[2]),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualhi[2]),
+	.Y(n_30634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666573 (
+	.A(n_2833),
+	.B(n_29921),
+	.Y(n_541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g666574 (
+	.A(n_29921),
+	.B_N(n_2833),
+	.Y(n_2995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666576 (
+	.A(n_585),
+	.Y(n_33328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666577 (
+	.A(n_443),
+	.Y(n_33330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666578 (
+	.A(n_2980),
+	.Y(n_33345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666579 (
+	.A(n_2979),
+	.Y(n_33336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666581 (
+	.A(n_453),
+	.Y(n_33322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666583 (
+	.A(n_2975),
+	.Y(n_33338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666585 (
+	.A(n_2971),
+	.Y(n_2972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666586 (
+	.A(n_2970),
+	.Y(n_30711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666587 (
+	.A(n_2968),
+	.Y(n_2969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666588 (
+	.A(n_2967),
+	.Y(n_2966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666589 (
+	.A(n_30633),
+	.Y(n_385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666590 (
+	.A(n_30635),
+	.Y(n_542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g666591 (
+	.A1(brqrv_top_brqrv_exu_i0_pc_x[14]),
+	.A2(brqrv_top_brqrv_exu_i0_pc_x[13]),
+	.B1(n_2813),
+	.Y(n_2963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g666592 (
+	.A1(n_29909),
+	.A2(n_29931),
+	.B1(n_32228),
+	.Y(n_2962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g666593 (
+	.A1(brqrv_top_brqrv_dec_tlu_flush_lower_r),
+	.A2(brqrv_top_brqrv_dec_tlu_i0_kill_writeb_r),
+	.B1(n_31384),
+	.Y(n_2961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g666595 (
+	.A(brqrv_top_brqrv_lsu_dccm_ctl_n_1137),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_m[4]),
+	.C(brqrv_top_brqrv_dccm_dma_rtag[2]),
+	.Y(n_2960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g666596 (
+	.A(brqrv_top_brqrv_dec_decode_x_d[7]),
+	.B(brqrv_top_brqrv_dec_decode_x_d[8]),
+	.C(brqrv_top_brqrv_dec_decode_x_d[4]),
+	.Y(n_2959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g666597 (
+	.A1(n_2752),
+	.A2(n_30714),
+	.B1(n_2754),
+	.B2(n_30717),
+	.Y(n_2958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g666598 (
+	.A1(n_2648),
+	.A2(n_30768),
+	.B1(n_2663),
+	.B2(n_30769),
+	.Y(n_2957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g666599 (
+	.A1(n_2672),
+	.A2(n_30569),
+	.B1(n_2772),
+	.B2(n_30568),
+	.Y(n_2956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g666600 (
+	.A1(n_615),
+	.A2(n_30836),
+	.B1(n_2717),
+	.B2(n_30835),
+	.X(n_2955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g666601 (
+	.A1(n_2679),
+	.A2(n_30776),
+	.B1(n_2768),
+	.B2(n_30777),
+	.Y(n_2954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g666602 (
+	.A1(n_2638),
+	.A2(n_30645),
+	.B1(n_2759),
+	.B2(n_30643),
+	.Y(n_2953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666603 (
+	.A1(n_39134),
+	.A2(brqrv_top_brqrv_lsu_store_data_lo_r[3]),
+	.B1(n_2650),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[11]),
+	.Y(n_2952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g666604 (
+	.A1(n_2739),
+	.A2(n_30584),
+	.B1(n_2735),
+	.B2(n_30583),
+	.Y(n_2951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666605 (
+	.A1(n_2633),
+	.A2(brqrv_top_brqrv_lsu_store_data_hi_r[19]),
+	.B1(n_2632),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[11]),
+	.Y(n_2950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g666606 (
+	.A1(n_605),
+	.A2(n_30772),
+	.B1(n_439),
+	.B2(n_30774),
+	.Y(n_2949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g666607 (
+	.A1(n_613),
+	.A2(n_30766),
+	.B1(n_597),
+	.B2(n_30767),
+	.Y(n_2948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g666608 (
+	.A1(n_2779),
+	.A2(n_30556),
+	.B1(n_2647),
+	.B2(n_30557),
+	.Y(n_2947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g666609 (
+	.A1(n_2717),
+	.A2(n_30799),
+	.B1(n_2731),
+	.B2(n_30795),
+	.Y(n_2946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g666610 (
+	.A1(n_2627),
+	.A2(n_30584),
+	.B1(n_2624),
+	.B2(n_30583),
+	.Y(n_2945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g666611 (
+	.A1(n_2750),
+	.A2(n_30766),
+	.B1(n_2675),
+	.B2(n_30767),
+	.Y(n_2944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g666612 (
+	.A1(n_615),
+	.A2(n_30772),
+	.B1(n_587),
+	.B2(n_30774),
+	.Y(n_2943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g666613 (
+	.A1(n_591),
+	.A2(n_30768),
+	.B1(n_588),
+	.B2(n_30769),
+	.Y(n_2942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g666614 (
+	.A1(n_2658),
+	.A2(n_30565),
+	.B1(n_2656),
+	.B2(n_30566),
+	.Y(n_2941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g666615 (
+	.A1(n_2646),
+	.A2(n_30714),
+	.B1(n_2767),
+	.B2(n_30717),
+	.Y(n_2940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g666616 (
+	.A1(n_2740),
+	.A2(n_30776),
+	.B1(n_2744),
+	.B2(n_30777),
+	.Y(n_2939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g666617 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[17]),
+	.A2_N(n_416),
+	.B1(n_2628),
+	.B2(n_30580),
+	.Y(n_2938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g666618 (
+	.A1(n_2674),
+	.A2(n_30778),
+	.B1(n_2673),
+	.B2(n_30779),
+	.Y(n_2937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g666619 (
+	.A1(n_2716),
+	.A2(n_30839),
+	.B1(n_2717),
+	.B2(n_30843),
+	.Y(n_2936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g666620 (
+	.A1_N(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [43]),
+	.A2_N(n_2617),
+	.B1(n_2734),
+	.B2(n_32521),
+	.Y(n_2935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g666621 (
+	.A1_N(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [78]),
+	.A2_N(n_2605),
+	.B1(n_2631),
+	.B2(n_32521),
+	.Y(n_2934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g666622 (
+	.A1(n_2784),
+	.A2(n_30560),
+	.B1(n_2763),
+	.B2(n_30561),
+	.Y(n_2933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g666623 (
+	.A1(n_2781),
+	.A2(n_30565),
+	.B1(n_2651),
+	.B2(n_30566),
+	.Y(n_2932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g666624 (
+	.A1(n_2745),
+	.A2(n_30642),
+	.B1(n_2657),
+	.B2(n_30644),
+	.Y(n_2931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g666625 (
+	.A1_N(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [41]),
+	.A2_N(n_2617),
+	.B1(n_2634),
+	.B2(n_32521),
+	.Y(n_2930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g666626 (
+	.A1(n_2643),
+	.A2(n_30556),
+	.B1(n_2640),
+	.B2(n_30557),
+	.Y(n_2929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g666627 (
+	.A1(n_2761),
+	.A2(n_30560),
+	.B1(n_2748),
+	.B2(n_30561),
+	.Y(n_2928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g666628 (
+	.A1(n_2757),
+	.A2(n_30569),
+	.B1(n_2644),
+	.B2(n_30568),
+	.Y(n_2927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g666629 (
+	.A1(n_2642),
+	.A2(n_30778),
+	.B1(n_2670),
+	.B2(n_30779),
+	.Y(n_2926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g666630 (
+	.A1(n_451),
+	.A2(n_30766),
+	.B1(n_442),
+	.B2(n_30767),
+	.Y(n_2925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g666631 (
+	.A1(n_2780),
+	.A2(n_30772),
+	.B1(n_2777),
+	.B2(n_30774),
+	.Y(n_2924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666632 (
+	.A1(n_39132),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [97]),
+	.B1(n_399608_BAR),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [136]),
+	.X(n_2923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666633 (
+	.A1(n_39132),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [89]),
+	.B1(n_399608_BAR),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [128]),
+	.X(n_2922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666634 (
+	.A1(n_39132),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [92]),
+	.B1(n_399608_BAR),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [131]),
+	.X(n_2921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666635 (
+	.A1(n_39132),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [105]),
+	.B1(n_399608_BAR),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [144]),
+	.X(n_2920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g666636 (
+	.A(brqrv_top_brqrv_dec_div_waddr_wb[2]),
+	.B(brqrv_top_brqrv_dec_dec_i0_waddr_r[2]),
+	.Y(n_2919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666637 (
+	.A1(n_2706),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[10]),
+	.B1(n_2704),
+	.B2(brqrv_top_brqrv_dec_decode_cam_raw[0]),
+	.X(n_2918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666638 (
+	.A1(n_39132),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [83]),
+	.B1(n_399608_BAR),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [122]),
+	.X(n_2917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666639 (
+	.A1(n_2696),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[2]),
+	.B1(n_2588),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[98]),
+	.Y(n_2916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666640 (
+	.A1(n_344),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [67]),
+	.B1(n_345),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [106]),
+	.X(n_2915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g666641 (
+	.A1(brqrv_top_brqrv_dec_tlu_mfdc_int[14]),
+	.A2(brqrv_top_brqrv_dma_ctrl_dma_nack_count[1]),
+	.B1(brqrv_top_brqrv_dec_tlu_mfdc_int[13]),
+	.C1(brqrv_top_brqrv_dma_ctrl_dma_nack_count[0]),
+	.Y(n_2914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g666642 (
+	.A1(brqrv_top_brqrv_dma_ctrl_dma_nack_count[1]),
+	.A2(brqrv_top_brqrv_dec_tlu_mfdc_int[14]),
+	.B1(brqrv_top_brqrv_dma_ctrl_dma_nack_count[2]),
+	.B2(brqrv_top_brqrv_dec_tlu_mfdc_int[15]),
+	.Y(n_2913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666643 (
+	.A1(n_2591),
+	.A2(brqrv_top_brqrv_lsu_store_data_lo_r[4]),
+	.B1(n_2589),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[4]),
+	.Y(n_2912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666644 (
+	.A1(n_2698),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[36]),
+	.B1(n_2587),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[68]),
+	.Y(n_2911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666645 (
+	.A1(n_2591),
+	.A2(brqrv_top_brqrv_lsu_store_data_lo_r[5]),
+	.B1(n_2589),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[5]),
+	.Y(n_2910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666646 (
+	.A1(n_2696),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[5]),
+	.B1(n_2588),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[101]),
+	.Y(n_2909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666647 (
+	.A1(n_2698),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[32]),
+	.B1(n_2587),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[64]),
+	.Y(n_2908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666648 (
+	.A1(n_2591),
+	.A2(brqrv_top_brqrv_lsu_store_data_lo_r[0]),
+	.B1(n_2589),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[0]),
+	.Y(n_2907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666649 (
+	.A1(n_39132),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [78]),
+	.B1(n_399608_BAR),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [117]),
+	.X(n_2906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666650 (
+	.A1(n_39132),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [82]),
+	.B1(n_399608_BAR),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [121]),
+	.X(n_2905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666651 (
+	.A1(n_30534),
+	.A2(n_548),
+	.B1(n_32623),
+	.B2(n_31863),
+	.X(n_2904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666652 (
+	.A1(n_2715),
+	.A2(n_30616),
+	.B1(n_441),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[38]),
+	.Y(n_2903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666653 (
+	.A1(n_39132),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [99]),
+	.B1(n_399608_BAR),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [138]),
+	.X(n_2902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666654 (
+	.A1(n_2715),
+	.A2(n_30596),
+	.B1(n_30595),
+	.B2(n_432),
+	.Y(n_2901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666655 (
+	.A1(n_345),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [86]),
+	.B1(n_39160),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [125]),
+	.X(n_2900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666656 (
+	.A1(n_39132),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [81]),
+	.B1(n_399608_BAR),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [120]),
+	.X(n_2899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666657 (
+	.A1(n_2706),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[14]),
+	.B1(n_2704),
+	.B2(brqrv_top_brqrv_dec_decode_cam_raw[4]),
+	.X(n_2898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666658 (
+	.A1(n_2598),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[66]),
+	.B1(n_441),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[34]),
+	.Y(n_2897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666659 (
+	.A1(n_39132),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [79]),
+	.B1(n_399608_BAR),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [118]),
+	.X(n_2896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666660 (
+	.A1(n_2598),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[65]),
+	.B1(n_441),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[33]),
+	.Y(n_2895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666661 (
+	.A1(n_345),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [95]),
+	.B1(n_39160),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [134]),
+	.X(n_2894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666662 (
+	.A1(n_2698),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[33]),
+	.B1(n_2587),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[65]),
+	.Y(n_2893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666663 (
+	.A1(n_39132),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [91]),
+	.B1(n_399608_BAR),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [130]),
+	.X(n_2892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666664 (
+	.A1(n_39132),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [107]),
+	.B1(n_399608_BAR),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [146]),
+	.X(n_2891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666665 (
+	.A1(n_2706),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[13]),
+	.B1(n_2704),
+	.B2(brqrv_top_brqrv_dec_decode_cam_raw[3]),
+	.X(n_2890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666666 (
+	.A1(n_2706),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[11]),
+	.B1(n_2704),
+	.B2(brqrv_top_brqrv_dec_decode_cam_raw[1]),
+	.X(n_2889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666667 (
+	.A1(n_2591),
+	.A2(brqrv_top_brqrv_lsu_store_data_lo_r[2]),
+	.B1(n_2589),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[2]),
+	.Y(n_2888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666668 (
+	.A1(n_2706),
+	.A2(brqrv_top_brqrv_dec_decode_cam_raw[12]),
+	.B1(n_2704),
+	.B2(brqrv_top_brqrv_dec_decode_cam_raw[2]),
+	.X(n_2887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666669 (
+	.A1(n_39132),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [80]),
+	.B1(n_399608_BAR),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [119]),
+	.X(n_2886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_2 g666670 (
+	.A0(n_34860),
+	.A1(n_34829),
+	.S(brqrv_top_brqrv_ifu_aln_q0ptr),
+	.X(brqrv_top_brqrv_ifu_i0_pc[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666671 (
+	.A1(n_345),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [87]),
+	.B1(n_39160),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [126]),
+	.X(n_2885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666672 (
+	.A1(n_2591),
+	.A2(brqrv_top_brqrv_lsu_store_data_lo_r[1]),
+	.B1(n_2589),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[1]),
+	.Y(n_2884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666673 (
+	.A1(n_39132),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [84]),
+	.B1(n_399608_BAR),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [123]),
+	.X(n_2883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g666674 (
+	.A1(n_345),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [94]),
+	.B1(n_39160),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [133]),
+	.X(n_2882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g666675 (
+	.A1_N(brqrv_top_brqrv_dec_div_waddr_wb[1]),
+	.A2_N(brqrv_top_brqrv_dec_dec_i0_waddr_r[1]),
+	.B1(brqrv_top_brqrv_dec_div_waddr_wb[1]),
+	.B2(brqrv_top_brqrv_dec_dec_i0_waddr_r[1]),
+	.Y(n_2881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g666676 (
+	.A(brqrv_top_brqrv_dec_div_waddr_wb[0]),
+	.B(brqrv_top_brqrv_dec_dec_i0_waddr_r[0]),
+	.Y(n_2880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g666677 (
+	.A(brqrv_top_brqrv_dec_div_waddr_wb[3]),
+	.B(brqrv_top_brqrv_dec_dec_i0_waddr_r[3]),
+	.Y(n_2879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g666678 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [1]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.Y(n_2984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g666679 (
+	.A_N(brqrv_top_brqrv_iccm_dma_rtag[2]),
+	.B(brqrv_top_brqrv_iccm_dma_rvalid),
+	.C(brqrv_top_brqrv_iccm_dma_rtag[1]),
+	.Y(n_2983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666680 (
+	.A1(n_534),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[11]),
+	.B1(n_544),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[11]),
+	.Y(n_585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666681 (
+	.A1(n_534),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[13]),
+	.B1(n_544),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[13]),
+	.Y(n_443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666682 (
+	.A1(n_534),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[28]),
+	.B1(n_544),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[28]),
+	.Y(n_2980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666683 (
+	.A1(n_534),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[19]),
+	.B1(n_544),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[19]),
+	.Y(n_2979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g666684 (
+	.A(brqrv_top_brqrv_iccm_dma_rtag[1]),
+	.B(brqrv_top_brqrv_iccm_dma_rtag[2]),
+	.C_N(brqrv_top_brqrv_iccm_dma_rvalid),
+	.Y(n_2978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666685 (
+	.A1(n_534),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[5]),
+	.B1(n_544),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[5]),
+	.Y(n_453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g666686 (
+	.A_N(brqrv_top_brqrv_dccm_dma_rtag[2]),
+	.B(brqrv_top_brqrv_lsu_dccm_ctl_n_1137),
+	.C(brqrv_top_brqrv_lsu_lsu_pkt_m[4]),
+	.Y(n_2976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g666687 (
+	.A1(n_534),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[21]),
+	.B1(n_544),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[21]),
+	.Y(n_2975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g666688 (
+	.A(n_32844),
+	.B(n_32909),
+	.C(n_32846),
+	.D(n_32845),
+	.Y(n_2974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g666690 (
+	.A(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[2]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [4]),
+	.X(n_2971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g666691 (
+	.A(brqrv_top_brqrv_dec_tlu_flush_lower_wb),
+	.B(brqrv_top_brqrv_dec_tlu_i0_kill_writeb_r),
+	.C_N(brqrv_top_brqrv_dec_decode_r_d[1]),
+	.Y(n_2970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g666692 (
+	.A(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[1]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [3]),
+	.X(n_2968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g666693 (
+	.A(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[0]),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_rd_addr_f [2]),
+	.X(n_2967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g666694 (
+	.A_N(n_29922),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dual[3]),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualhi[3]),
+	.Y(n_30633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g666695 (
+	.A_N(n_29919),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dual[1]),
+	.C(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualhi[1]),
+	.Y(n_30635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666696 (
+	.A(n_2877),
+	.Y(n_31735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666697 (
+	.A(n_2866),
+	.Y(n_31764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666699 (
+	.A(n_30851),
+	.Y(n_2859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666700 (
+	.A(n_30700),
+	.Y(n_2858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666701 (
+	.A(n_2857),
+	.Y(n_30891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666702 (
+	.A(n_2854),
+	.Y(n_2855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666703 (
+	.A(n_2853),
+	.Y(n_2852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666705 (
+	.A(brqrv_top_brqrv_dma_ctrl_n_3251),
+	.Y(n_2850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666707 (
+	.A(n_2848),
+	.Y(n_31455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g666709 (
+	.A(n_30657),
+	.B_N(n_30609),
+	.Y(n_2846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g666710 (
+	.A(n_30832),
+	.B_N(n_30598),
+	.Y(n_2845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g666712 (
+	.A(n_30713),
+	.B_N(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[29]),
+	.Y(n_2844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666714 (
+	.A(n_2712),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[100]),
+	.Y(n_2842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g666715 (
+	.A(brqrv_top_brqrv_lsu_nonblock_load_data_error),
+	.B_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_lsu_nonblock_load_data_ready),
+	.Y(n_2841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666716 (
+	.A(n_2709),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[6]),
+	.Y(n_2840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666717 (
+	.A(brqrv_top_brqrv_dec_decode_x_d[5]),
+	.B(brqrv_top_brqrv_dec_decode_x_d[6]),
+	.Y(n_2839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g666718 (
+	.A(n_30832),
+	.B_N(n_30676),
+	.Y(n_2838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g666719 (
+	.A(n_30808),
+	.B_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[14]),
+	.Y(n_2837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666720 (
+	.A(n_2713),
+	.B(n_33248),
+	.Y(n_2836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666721 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[17]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[17]),
+	.Y(n_2878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666722 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[5]),
+	.B(n_31723),
+	.Y(n_2877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666723 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[26]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[26]),
+	.Y(n_2876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666724 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[19]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[19]),
+	.Y(n_2875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666725 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[18]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[18]),
+	.Y(n_2874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666726 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[9]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[9]),
+	.Y(n_2873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666727 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[16]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[16]),
+	.Y(n_2872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666728 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[22]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[23]),
+	.Y(n_2871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666729 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[20]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[20]),
+	.Y(n_2870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666730 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[24]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[24]),
+	.Y(n_2869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666731 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[21]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[21]),
+	.Y(n_2868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666732 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[27]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[27]),
+	.Y(n_2867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666733 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[4]),
+	.B(n_32643),
+	.Y(n_2866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666734 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[22]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[22]),
+	.Y(n_2865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g666735 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[22]),
+	.B_N(brqrv_top_brqrv_dec_dec_i0_instr_d[23]),
+	.Y(n_2864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666738 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[25]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[25]),
+	.Y(n_2863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g666739 (
+	.A(n_30649),
+	.B_N(n_33349),
+	.Y(n_2862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666740 (
+	.A(n_513),
+	.B(n_31877),
+	.Y(n_2861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666741 (
+	.A(n_513),
+	.B(n_31823),
+	.Y(n_2860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g666742 (
+	.A_N(brqrv_top_brqrv_lsu_addr_external_m),
+	.B(n_30853),
+	.Y(n_30851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g666743 (
+	.A_N(n_33439),
+	.B(n_33438),
+	.Y(n_30700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666744 (
+	.A(n_33438),
+	.B(n_33439),
+	.Y(n_2857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g666745 (
+	.A(n_29931),
+	.B(n_39315),
+	.X(n_31710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666746 (
+	.A(brqrv_top_brqrv_dec_dec_i0_waddr_r[1]),
+	.B(brqrv_top_brqrv_dec_dec_i0_waddr_r[0]),
+	.Y(n_2856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666747 (
+	.A(brqrv_top_brqrv_dec_dec_i0_waddr_r[0]),
+	.B(brqrv_top_brqrv_dec_dec_i0_waddr_r[1]),
+	.Y(n_2854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666748 (
+	.A(brqrv_top_brqrv_dec_div_waddr_wb[0]),
+	.B(brqrv_top_brqrv_dec_div_waddr_wb[1]),
+	.Y(n_2853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666749 (
+	.A(brqrv_top_brqrv_dbg_cmd_size[0]),
+	.B(brqrv_top_brqrv_dbg_cmd_size[1]),
+	.Y(n_2851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666750 (
+	.A(n_2573),
+	.B(brqrv_top_brqrv_dbg_cmd_size[0]),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_3251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g666751 (
+	.A(\brqrv_top_mem_iccm.iccm_n_371 ),
+	.B_N(\brqrv_top_mem_iccm.iccm_n_370 ),
+	.Y(n_2849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g666752 (
+	.A(\brqrv_top_mem_iccm.iccm_n_370 ),
+	.B_N(\brqrv_top_mem_iccm.iccm_n_371 ),
+	.Y(n_2848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666753 (
+	.A(\brqrv_top_mem_iccm.iccm_n_370 ),
+	.B(\brqrv_top_mem_iccm.iccm_n_371 ),
+	.Y(n_2847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666754 (
+	.A(n_30852),
+	.Y(n_2810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666755 (
+	.A(n_30699),
+	.Y(n_2809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666756 (
+	.A(n_2805),
+	.Y(n_2806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666757 (
+	.A(n_39127),
+	.Y(n_2800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666758 (
+	.A(n_2799),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_3254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666760 (
+	.A(n_2715),
+	.B(n_30683),
+	.Y(n_2797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666761 (
+	.A(n_2712),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[97]),
+	.Y(n_2796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g666762 (
+	.A_N(brqrv_top_brqrv_exu_mul_valid_x),
+	.B(brqrv_top_brqrv_exu_alu_result_x[4]),
+	.Y(n_2795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g666763 (
+	.A(n_30657),
+	.B_N(n_30618),
+	.Y(n_2794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g666764 (
+	.A_N(brqrv_top_brqrv_exu_mul_valid_x),
+	.B(brqrv_top_brqrv_exu_alu_result_x[3]),
+	.Y(n_2793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g666765 (
+	.A_N(brqrv_top_brqrv_exu_mul_valid_x),
+	.B(brqrv_top_brqrv_exu_alu_result_x[2]),
+	.Y(n_2792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g666766 (
+	.A_N(brqrv_top_brqrv_exu_mul_valid_x),
+	.B(brqrv_top_brqrv_exu_alu_result_x[6]),
+	.Y(n_2791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666767 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[3]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[3]),
+	.Y(n_2835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666768 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[15]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[15]),
+	.Y(n_2834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666769 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dual[0]),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualhi[0]),
+	.Y(n_2833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666770 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[2]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[2]),
+	.Y(n_2832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666771 (
+	.A(brqrv_top_brqrv_dma_ctrl_dma_mem_addr_in_pic),
+	.B(brqrv_top_brqrv_dma_ctrl_dma_mem_addr_in_iccm),
+	.Y(n_2790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666772 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[14]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[14]),
+	.Y(n_2831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666773 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[31]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[31]),
+	.Y(n_2830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666774 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[28]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[28]),
+	.Y(n_2829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666775 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[12]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[12]),
+	.Y(n_2828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666776 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[11]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[11]),
+	.Y(n_2827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666777 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[8]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[8]),
+	.Y(n_2826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666778 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[23]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[23]),
+	.Y(n_2825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666779 (
+	.A(brqrv_top_brqrv_dec_decode_x_d[0]),
+	.B(brqrv_top_brqrv_dec_decode_x_d[23]),
+	.Y(n_2824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666780 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[5]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[5]),
+	.Y(n_2823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666781 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[10]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[10]),
+	.Y(n_2822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666782 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[13]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[13]),
+	.Y(n_2821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666783 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[1]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[1]),
+	.Y(n_2820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666784 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[7]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[7]),
+	.Y(n_2819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666785 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[30]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[30]),
+	.Y(n_2818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666786 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[4]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[4]),
+	.Y(n_2817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666787 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[29]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[29]),
+	.Y(n_2816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666788 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[6]),
+	.B(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[6]),
+	.Y(n_2815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g666789 (
+	.A(n_30647),
+	.B_N(brqrv_top_brqrv_lsu_lsu_pkt_r[8]),
+	.Y(n_2814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666790 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[13]),
+	.B(brqrv_top_brqrv_exu_i0_pc_x[14]),
+	.Y(n_2813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g666792 (
+	.A(n_31942),
+	.B_N(brqrv_top_brqrv_dec_dec_i0_instr_d[22]),
+	.Y(n_2812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g666793 (
+	.A(n_33439),
+	.B(n_33438),
+	.X(n_2811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666794 (
+	.A(n_30853),
+	.B(brqrv_top_brqrv_lsu_addr_external_m),
+	.Y(n_30852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g666795 (
+	.A_N(n_33438),
+	.B(n_33439),
+	.Y(n_30699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666796 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[20]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[21]),
+	.Y(n_2808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666797 (
+	.A(brqrv_top_brqrv_dec_div_waddr_wb[1]),
+	.B(brqrv_top_brqrv_dec_div_waddr_wb[0]),
+	.Y(n_2807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g666798 (
+	.A(n_2592),
+	.B(brqrv_top_brqrv_dec_div_waddr_wb[0]),
+	.Y(n_2805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666799 (
+	.A(brqrv_top_brqrv_dec_div_waddr_wb[0]),
+	.B(n_2592),
+	.Y(n_2804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666800 (
+	.A(brqrv_top_brqrv_dec_dec_i0_waddr_r[0]),
+	.B(n_2580),
+	.Y(n_2803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666801 (
+	.A(brqrv_top_brqrv_dec_dec_i0_waddr_r[1]),
+	.B(n_2581),
+	.Y(n_2802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g666803 (
+	.A(brqrv_top_brqrv_dbg_cmd_size[0]),
+	.B(n_2573),
+	.Y(n_2799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666805 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_data[113]),
+	.Y(n_2788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666806 (
+	.A(n_33217),
+	.Y(n_2787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666808 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_data[104]),
+	.Y(n_2785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666811 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[18]),
+	.Y(n_2782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666812 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_data[48]),
+	.Y(n_2781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666813 (
+	.A(brqrv_top_brqrv_lsu_store_data_lo_r[14]),
+	.Y(n_2780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666814 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_data[41]),
+	.Y(n_2779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666816 (
+	.A(brqrv_top_brqrv_lsu_store_data_hi_r[14]),
+	.Y(n_2777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666818 (
+	.A(n_34742),
+	.Y(n_2775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666819 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_data[81]),
+	.Y(n_2774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666821 (
+	.A(brqrv_top_brqrv_lsu_store_data_hi_r[17]),
+	.Y(n_2772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666823 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_data[80]),
+	.Y(n_2770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666825 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_data[19]),
+	.Y(n_2768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666826 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_data[123]),
+	.Y(n_2767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666827 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[82]),
+	.Y(n_2766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666829 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_data[105]),
+	.Y(n_2764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666830 (
+	.A(brqrv_top_brqrv_lsu_store_data_hi_r[9]),
+	.Y(n_2763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666832 (
+	.A(brqrv_top_brqrv_lsu_store_data_lo_r[8]),
+	.Y(n_2761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666834 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[15]),
+	.Y(n_2759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666838 (
+	.A(n_33334),
+	.Y(n_2755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666839 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_data[125]),
+	.Y(n_2754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666840 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_data[72]),
+	.Y(n_2753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666841 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[29]),
+	.Y(n_2752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666843 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_data[110]),
+	.Y(n_2750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666845 (
+	.A(brqrv_top_brqrv_lsu_store_data_hi_r[8]),
+	.Y(n_2748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666846 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[18]),
+	.Y(n_2747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666847 (
+	.A(n_33326),
+	.Y(n_2746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666848 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[3]),
+	.Y(n_2745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666849 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_data[21]),
+	.Y(n_2744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666850 (
+	.A(brqrv_top_brqrv_lsu_store_data_hi_r[21]),
+	.Y(n_2743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666853 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_data[117]),
+	.Y(n_2740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666854 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[48]),
+	.Y(n_2739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666857 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[16]),
+	.Y(n_2736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666858 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[80]),
+	.Y(n_2735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666859 (
+	.A(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [121]),
+	.Y(n_2734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666860 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[3]),
+	.Y(n_2733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666861 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[70]),
+	.Y(n_2732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666862 (
+	.A(brqrv_top_brqrv_lsu_store_data_hi_r[19]),
+	.Y(n_2731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666865 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[67]),
+	.Y(n_578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666869 (
+	.A(n_32817),
+	.Y(n_2724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666876 (
+	.A(brqrv_top_brqrv_lsu_store_data_lo_r[19]),
+	.Y(n_2717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666877 (
+	.A(brqrv_top_brqrv_lsu_store_data_lo_r[27]),
+	.Y(n_2716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666878 (
+	.A(n_38479),
+	.Y(n_2715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666880 (
+	.A(n_30849),
+	.Y(n_2713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666886 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [0]),
+	.Y(n_2707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666887 (
+	.A(n_32637),
+	.Y(n_2706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666888 (
+	.A(n_32636),
+	.Y(n_2705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666889 (
+	.A(n_31729),
+	.Y(n_2704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666892 (
+	.A(n_30787),
+	.Y(n_534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666893 (
+	.A(n_32633),
+	.Y(n_2700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666895 (
+	.A(n_30761),
+	.Y(n_2698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666896 (
+	.A(n_30850),
+	.Y(n_432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666897 (
+	.A(n_30759),
+	.Y(n_2696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666902 (
+	.A(brqrv_top_brqrv_dec_dec_i0_waddr_r[4]),
+	.Y(n_2692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666904 (
+	.A(n_59146_BAR),
+	.Y(n_2690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666905 (
+	.A(n_59069_BAR),
+	.Y(n_2689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666906 (
+	.A(n_59222_BAR),
+	.Y(n_2688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666907 (
+	.A(n_58974_BAR),
+	.Y(n_2687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666908 (
+	.A(n_59464_BAR),
+	.Y(n_2686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666910 (
+	.A(n_31761),
+	.Y(n_420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666912 (
+	.A(n_33469),
+	.Y(n_2682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666913 (
+	.A(n_33440),
+	.Y(n_2681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666914 (
+	.A(n_33470),
+	.Y(n_2680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666915 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_data[115]),
+	.Y(n_2679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666919 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_data[14]),
+	.Y(n_2675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666920 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_data[83]),
+	.Y(n_2674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666921 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_data[51]),
+	.Y(n_2673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666923 (
+	.A(brqrv_top_brqrv_lsu_store_data_lo_r[29]),
+	.Y(n_2671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666924 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_data[53]),
+	.Y(n_2670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666927 (
+	.A(n_33331),
+	.Y(n_2667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666929 (
+	.A(n_34740),
+	.Y(n_2665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666930 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_data[93]),
+	.Y(n_2664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666931 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_data[46]),
+	.Y(n_2663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666932 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_data[73]),
+	.Y(n_2662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666934 (
+	.A(n_33325),
+	.Y(n_2660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666936 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_data[49]),
+	.Y(n_2658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666937 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[7]),
+	.Y(n_2657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666938 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_data[17]),
+	.Y(n_2656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666940 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[50]),
+	.Y(n_2654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666942 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_data[112]),
+	.Y(n_2652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666943 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_data[16]),
+	.Y(n_2651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666946 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_data[78]),
+	.Y(n_2648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666947 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_data[9]),
+	.Y(n_2647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666948 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[27]),
+	.Y(n_2646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666949 (
+	.A(n_34744),
+	.Y(n_2645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666950 (
+	.A(brqrv_top_brqrv_lsu_store_data_hi_r[16]),
+	.Y(n_2644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666951 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_data[40]),
+	.Y(n_2643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666952 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_data[85]),
+	.Y(n_2642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666954 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_data[8]),
+	.Y(n_2640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666955 (
+	.A(n_33333),
+	.Y(n_2639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666956 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[11]),
+	.Y(n_2638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666957 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_data[91]),
+	.Y(n_2637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666960 (
+	.A(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [119]),
+	.Y(n_2634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666963 (
+	.A(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [117]),
+	.Y(n_2631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666964 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[75]),
+	.Y(n_582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666965 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[11]),
+	.Y(n_426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666966 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[17]),
+	.Y(n_2628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666967 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[49]),
+	.Y(n_2627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666970 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[81]),
+	.Y(n_2624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666972 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[107]),
+	.Y(n_2622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666978 (
+	.A(brqrv_top_brqrv_lsu_store_data_lo_r[11]),
+	.Y(n_615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g666986 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[13]),
+	.Y(n_2608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666987 (
+	.A(n_30588),
+	.Y(n_2607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g666990 (
+	.A(brqrv_top_brqrv_lsu_store_data_hi_r[3]),
+	.Y(n_618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666993 (
+	.A(n_30589),
+	.Y(n_548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g666994 (
+	.A(brqrv_top_brqrv_dccm_dma_rtag[0]),
+	.Y(n_2600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g667000 (
+	.A(n_30803),
+	.Y(n_491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g667002 (
+	.A(brqrv_top_brqrv_dec_div_waddr_wb[1]),
+	.Y(n_2592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g667003 (
+	.A(n_30764),
+	.Y(n_2591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g667004 (
+	.A(n_32631),
+	.Y(n_2590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g667005 (
+	.A(n_30763),
+	.Y(n_2589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g667006 (
+	.A(n_30758),
+	.Y(n_2588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g667007 (
+	.A(n_30760),
+	.Y(n_2587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g667008 (
+	.A(n_30762),
+	.Y(n_2586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g667009 (
+	.A(n_31724),
+	.Y(n_2585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g667019 (
+	.A(n_32234),
+	.Y(n_2575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g667020 (
+	.A(brqrv_top_brqrv_dec_div_waddr_wb[4]),
+	.Y(n_2574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g667021 (
+	.A(brqrv_top_brqrv_dbg_cmd_size[1]),
+	.Y(n_2573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g667023 (
+	.A(n_31733),
+	.Y(n_2571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g667024 (
+	.A(n_31734),
+	.Y(n_565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g667025 (
+	.A(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.Y(n_2569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g667026 (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.Y(n_2568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 drc_bufs667047 (
+	.A(n_2563),
+	.Y(n_31287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g850747 (
+	.A1(n_31305),
+	.A2(n_5192),
+	.B1_N(n_31306),
+	.Y(n_31061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g667048 (
+	.A_N(n_5096),
+	.B(n_4646),
+	.Y(n_2562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g667049 (
+	.A_N(n_4914),
+	.B(brqrv_top_brqrv_exu_i0_pc_x[21]),
+	.Y(n_2561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g667050 (
+	.A_N(n_2552),
+	.B(n_3972),
+	.C(n_4924),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g667051 (
+	.A1(n_3499),
+	.A2(n_4364),
+	.B1_N(brqrv_top_brqrv_dma_ctrl_n_1834),
+	.Y(n_33351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g667052 (
+	.A(brqrv_top_brqrv_dec_div_waddr_wb[4]),
+	.B_N(n_4680),
+	.Y(n_2560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g667053 (
+	.A(n_3456),
+	.B_N(n_4664),
+	.Y(n_2559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g667054 (
+	.A_N(n_2556),
+	.B(brqrv_top_brqrv_dec_div_waddr_wb[3]),
+	.Y(n_2558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g667055 (
+	.A_N(n_354404_BAR),
+	.B(n_31285),
+	.Y(n_2557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g667056 (
+	.A_N(n_31382),
+	.B(brqrv_top_brqrv_dec_div_waddr_wb[2]),
+	.Y(n_2556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g667057 (
+	.A_N(n_3510),
+	.B(brqrv_top_brqrv_dec_dec_i0_waddr_r[3]),
+	.Y(n_2555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g667058 (
+	.A(n_3487),
+	.B_N(n_3488),
+	.Y(n_2554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g667059 (
+	.A(n_3488),
+	.B_N(n_3487),
+	.Y(n_2553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g667060 (
+	.A(n_31090),
+	.B_N(n_3480),
+	.Y(n_2552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g667061 (
+	.A(n_4663),
+	.B_N(n_3454),
+	.Y(n_2551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g667062 (
+	.A(n_4663),
+	.B_N(n_3453),
+	.Y(n_2550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g667063 (
+	.A(brqrv_top_brqrv_dec_dec_i0_waddr_r[2]),
+	.B_N(n_3282),
+	.Y(n_2549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g667064 (
+	.A_N(n_2983),
+	.B(brqrv_top_brqrv_iccm_dma_rtag[0]),
+	.Y(n_2548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g667065 (
+	.A(brqrv_top_brqrv_iccm_dma_rtag[0]),
+	.B_N(n_2978),
+	.Y(n_2547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g667066 (
+	.A_N(n_2976),
+	.B(brqrv_top_brqrv_dccm_dma_rtag[1]),
+	.Y(n_2546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g667067 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addr_external_r),
+	.B(n_29931),
+	.C_N(n_39122),
+	.Y(n_579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g667068 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[24]),
+	.B_N(n_2864),
+	.Y(n_2544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g667069 (
+	.A_N(brqrv_top_brqrv_dec_dec_i0_waddr_r[3]),
+	.B(n_2549),
+	.Y(n_2543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g850748 (
+	.A(n_31614),
+	.B(n_2542),
+	.Y(n_33086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g850749 (
+	.A1(n_2541),
+	.A2(n_2457),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[31]),
+	.B2(n_2476),
+	.X(n_32590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g850750 (
+	.A1(n_2538),
+	.A2(n_2539),
+	.B1(n_2495),
+	.X(n_261110_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g850751 (
+	.A1(n_2537),
+	.A2(n_512),
+	.A3(brqrv_top_brqrv_dec_dec_i0_instr_d[12]),
+	.B1(n_2540),
+	.Y(n_2542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g850752 (
+	.A1_N(n_2538),
+	.A2_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[31]),
+	.B1(n_2538),
+	.B2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[31]),
+	.Y(n_2541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g850753 (
+	.A(n_31878),
+	.B(n_2537),
+	.Y(n_2540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g850754 (
+	.A1(n_2476),
+	.A2(n_2535),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[30]),
+	.Y(n_2539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g850755 (
+	.A1_N(n_2476),
+	.A2_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[29]),
+	.B1(n_2474),
+	.B2(n_2536),
+	.Y(n_32589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g850756 (
+	.A(n_2535),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[30]),
+	.X(n_2538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g850757 (
+	.A(n_33057),
+	.B(n_33058),
+	.C(n_33059),
+	.D(n_2533),
+	.X(n_2537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g850758 (
+	.A1(n_2532),
+	.A2(n_2531),
+	.B1(n_2457),
+	.B2(n_2484),
+	.Y(n_264876_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g850759 (
+	.A1(n_2530),
+	.A2(n_2529),
+	.B1(n_2485),
+	.B2(n_2474),
+	.X(n_264886_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g850760 (
+	.A(n_2535),
+	.B(n_2534),
+	.X(n_2536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g850761 (
+	.A_N(n_2531),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[29]),
+	.Y(n_2534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g850762 (
+	.A_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[29]),
+	.B(n_2531),
+	.Y(n_2535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g850763 (
+	.A(n_33055),
+	.B(n_33054),
+	.C(n_33056),
+	.D(n_2528),
+	.X(n_2533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g850764 (
+	.A1(n_2476),
+	.A2(n_2529),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[28]),
+	.X(n_2532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g850765 (
+	.A(n_2529),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[28]),
+	.Y(n_2531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g850766 (
+	.A1(n_2526),
+	.A2(n_2476),
+	.B1(n_34712),
+	.Y(n_2530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g850767 (
+	.A1_N(n_34711),
+	.A2_N(n_2476),
+	.B1(n_2474),
+	.B2(n_2527),
+	.Y(n_32587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g850768 (
+	.A(n_2526),
+	.B(n_34712),
+	.X(n_2529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g850769 (
+	.A1_N(n_2474),
+	.A2_N(n_2487),
+	.B1(n_2524),
+	.B2(n_2525),
+	.Y(n_264892_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g850770 (
+	.A(n_33051),
+	.B(n_33053),
+	.C(n_33052),
+	.D(n_2523),
+	.X(n_2528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g850771 (
+	.A1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_9),
+	.A2(n_2524),
+	.B1(n_2526),
+	.X(n_2527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850772 (
+	.A(n_2524),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_9),
+	.Y(n_2526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g850773 (
+	.A1(n_2475),
+	.A2(n_2520),
+	.B1_N(n_34710),
+	.Y(n_2525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g850774 (
+	.A1_N(n_34709),
+	.A2_N(n_2476),
+	.B1(n_2521),
+	.B2(n_2474),
+	.Y(n_32593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850775 (
+	.A(brqrv_top_brqrv_exu_i_alu_pcout[31]),
+	.B(n_31642),
+	.Y(n_32810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g850776 (
+	.A(n_33049),
+	.B(n_33050),
+	.C(n_33047),
+	.D(n_2519),
+	.X(n_2523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g850777 (
+	.A(n_34710),
+	.B_N(n_2520),
+	.Y(n_2524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g850778 (
+	.A(n_2522),
+	.Y(brqrv_top_brqrv_exu_i_alu_pcout[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g850779 (
+	.A1(brqrv_top_brqrv_ifu_i0_pc[31]),
+	.A2(n_2463),
+	.B1(n_2454),
+	.B2(n_2518),
+	.C1(n_2460),
+	.C2(n_34059),
+	.Y(n_2522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g850781 (
+	.A1(n_2515),
+	.A2(n_34709),
+	.B1(n_2520),
+	.Y(n_2521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g850782 (
+	.A1(n_2457),
+	.A2(n_2516),
+	.B1(n_2476),
+	.B2(n_34708),
+	.X(n_32594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g850783 (
+	.A(n_34709),
+	.B(n_2515),
+	.Y(n_2520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g850784 (
+	.A(n_33044),
+	.B(n_33045),
+	.C(n_33046),
+	.D(n_2514),
+	.X(n_2519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g850785 (
+	.A1(n_2463),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[30]),
+	.B1(n_2460),
+	.B2(n_34058),
+	.C1(n_2517),
+	.X(brqrv_top_brqrv_exu_i_alu_pcout[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g850786 (
+	.A1(n_2511),
+	.A2(n_2513),
+	.B1(n_2457),
+	.B2(n_2483),
+	.Y(n_264882_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g850787 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[31]),
+	.B(n_2509),
+	.X(n_2518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g850789 (
+	.A(n_2510),
+	.B(n_2461),
+	.Y(n_2517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g850790 (
+	.A1(n_2511),
+	.A2(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_4),
+	.B1(n_2515),
+	.Y(n_2516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g850791 (
+	.A(n_33048),
+	.B(n_33061),
+	.C(n_33062),
+	.D(n_2508),
+	.X(n_2514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850792 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_4),
+	.B(n_2511),
+	.Y(n_2515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g850793 (
+	.A(n_2512),
+	.Y(brqrv_top_brqrv_exu_i_alu_pcout[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g850794 (
+	.A1(n_2506),
+	.A2(n_2476),
+	.B1(n_34707),
+	.X(n_2513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g850795 (
+	.A1(n_2457),
+	.A2(n_2507),
+	.B1(n_2476),
+	.B2(n_34706),
+	.X(n_32595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g850796 (
+	.A1(n_2504),
+	.A2(n_2454),
+	.B1(n_2460),
+	.B2(n_34057),
+	.C1(n_2463),
+	.C2(brqrv_top_brqrv_ifu_i0_pc[29]),
+	.Y(n_2512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g850797 (
+	.A(n_34707),
+	.B(n_2506),
+	.Y(n_2511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g850798 (
+	.A1(n_2499),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[30]),
+	.B1(n_2509),
+	.Y(n_2510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g850799 (
+	.A1(n_2503),
+	.A2(n_2500),
+	.B1(n_2488),
+	.B2(n_2474),
+	.X(n_264870_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g850801 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[30]),
+	.B(n_2499),
+	.Y(n_2509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g850804 (
+	.A(n_2494),
+	.B(n_33073),
+	.C(n_33074),
+	.D(n_33075),
+	.X(n_2508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850805 (
+	.A(n_2506),
+	.B(n_2505),
+	.Y(n_2507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g850806 (
+	.A1(n_2463),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[28]),
+	.B1(n_2460),
+	.B2(n_34056),
+	.C1(n_2497),
+	.X(brqrv_top_brqrv_exu_i_alu_pcout[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g850807 (
+	.A1_N(n_2474),
+	.A2_N(n_2486),
+	.B1(n_2459),
+	.B2(n_2496),
+	.Y(n_264899_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g850808 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_298),
+	.B(n_2498),
+	.Y(brqrv_top_brqrv_dec_tlu_event_r[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850811 (
+	.A(n_2500),
+	.B(n_34706),
+	.Y(n_2505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g850812 (
+	.A(n_1295),
+	.B(n_2498),
+	.Y(brqrv_top_brqrv_dec_tlu_event_r[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g850813 (
+	.A(n_1302),
+	.B(n_2498),
+	.Y(brqrv_top_brqrv_dec_tlu_event_r[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g850814 (
+	.A(n_34706),
+	.B(n_2500),
+	.X(n_2506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g850815 (
+	.A(n_2502),
+	.Y(brqrv_top_brqrv_exu_i_alu_pcout[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g850816 (
+	.A(n_2501),
+	.Y(brqrv_top_brqrv_exu_i_alu_pcout[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g850817 (
+	.A1(n_523),
+	.A2(n_2473),
+	.B1(n_2499),
+	.Y(n_2504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g850818 (
+	.A(n_1702),
+	.B(n_2498),
+	.Y(brqrv_top_brqrv_dec_tlu_event_r[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g850819 (
+	.A(n_1703),
+	.B(n_2498),
+	.Y(brqrv_top_brqrv_dec_tlu_event_r[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g850820 (
+	.A(n_1704),
+	.B(n_2498),
+	.Y(brqrv_top_brqrv_dec_tlu_event_r[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g850821 (
+	.A1(n_2472),
+	.A2(n_2476),
+	.B1(n_34705),
+	.Y(n_2503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g850822 (
+	.A(n_1701),
+	.B(n_2498),
+	.Y(brqrv_top_brqrv_dec_tlu_event_r[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g850823 (
+	.A1_N(n_34704),
+	.A2_N(n_2476),
+	.B1(n_2479),
+	.B2(n_2474),
+	.Y(n_32806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g850824 (
+	.A1(n_34051),
+	.A2(n_2460),
+	.B1(n_2482),
+	.B2(brqrv_top_brqrv_ifu_i0_pc[23]),
+	.C1(n_2454),
+	.C2(n_2429),
+	.Y(n_2502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g850825 (
+	.A1(n_34043),
+	.A2(n_2460),
+	.B1(n_2481),
+	.B2(brqrv_top_brqrv_ifu_i0_pc[15]),
+	.C1(n_2454),
+	.C2(n_1620),
+	.Y(n_2501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g850833 (
+	.A(n_34705),
+	.B(n_2472),
+	.X(n_2500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g850837 (
+	.A(n_2478),
+	.B(n_2461),
+	.Y(n_2497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g850838 (
+	.A1(n_2480),
+	.A2(n_2476),
+	.B1(n_34699),
+	.B2(n_2477),
+	.Y(n_264878_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g850839 (
+	.A1(n_2482),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[24]),
+	.B1(n_2460),
+	.B2(n_34052),
+	.C1(n_2470),
+	.X(brqrv_top_brqrv_exu_i_alu_pcout[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g850840 (
+	.A1(n_2481),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[16]),
+	.B1(n_2460),
+	.B2(n_34044),
+	.C1(n_2471),
+	.X(brqrv_top_brqrv_exu_i_alu_pcout[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850841 (
+	.A(n_2473),
+	.B(n_523),
+	.Y(n_2499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g850842 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[26]),
+	.B(brqrv_top_brqrv_dec_dec_csr_wrdata_r[27]),
+	.C(brqrv_top_brqrv_dec_dec_csr_wrdata_r[6]),
+	.D(n_2464),
+	.X(n_2498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g850843 (
+	.A(n_2493),
+	.Y(brqrv_top_brqrv_exu_i_alu_pcout[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g850844 (
+	.A(n_2492),
+	.Y(brqrv_top_brqrv_exu_i_alu_pcout[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g850845 (
+	.A(n_2491),
+	.Y(brqrv_top_brqrv_exu_i_alu_pcout[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g850846 (
+	.A(n_2490),
+	.Y(brqrv_top_brqrv_exu_i_alu_pcout[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g850847 (
+	.A(n_2489),
+	.Y(brqrv_top_brqrv_exu_i_alu_pcout[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g850848 (
+	.A1(n_2449),
+	.A2(n_2476),
+	.B1(n_34703),
+	.X(n_2496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g850849 (
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[30]),
+	.A2(n_2476),
+	.B1(n_2457),
+	.Y(n_2495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g850850 (
+	.A(n_2458),
+	.B(n_33070),
+	.C(n_33071),
+	.D(n_33072),
+	.X(n_2494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g850852 (
+	.A1(brqrv_top_brqrv_ifu_i0_pc[27]),
+	.A2(n_2463),
+	.B1(n_2454),
+	.B2(n_2456),
+	.C1(n_2460),
+	.C2(n_34055),
+	.Y(n_2493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g850853 (
+	.A1(n_2253),
+	.A2(n_2454),
+	.B1(n_2460),
+	.B2(n_34047),
+	.C1(n_2463),
+	.C2(brqrv_top_brqrv_ifu_i0_pc[19]),
+	.Y(n_2492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g850854 (
+	.A1(brqrv_top_brqrv_ifu_i0_pc[17]),
+	.A2(n_2463),
+	.B1(n_2454),
+	.B2(n_1912),
+	.C1(n_2460),
+	.C2(n_34045),
+	.Y(n_2491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g850855 (
+	.A1(brqrv_top_brqrv_ifu_i0_pc[21]),
+	.A2(n_2463),
+	.B1(n_2454),
+	.B2(n_2341),
+	.C1(n_2460),
+	.C2(n_34049),
+	.Y(n_2490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g850856 (
+	.A1(n_2438),
+	.A2(n_2454),
+	.B1(n_2460),
+	.B2(n_34053),
+	.C1(n_2463),
+	.C2(brqrv_top_brqrv_ifu_i0_pc[25]),
+	.Y(n_2489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g850857 (
+	.A1(n_2476),
+	.A2(n_34698),
+	.B1(n_2457),
+	.B2(n_2391),
+	.X(n_32592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g850858 (
+	.A1(n_2476),
+	.A2(n_34702),
+	.B1(n_2457),
+	.B2(n_2452),
+	.X(n_32588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g850859 (
+	.A1_N(n_34701),
+	.A2_N(n_2476),
+	.B1(n_2443),
+	.B2(n_2474),
+	.Y(n_32808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g850860 (
+	.A1_N(n_34697),
+	.A2_N(n_2476),
+	.B1(n_34697),
+	.B2(n_2476),
+	.Y(brqrv_top_brqrv_picm_rdaddr[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g850861 (
+	.A1_N(n_34700),
+	.A2_N(n_2476),
+	.B1(n_2434),
+	.B2(n_2474),
+	.Y(n_32591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g850862 (
+	.A1(n_2463),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[18]),
+	.B1(n_2460),
+	.B2(n_34046),
+	.C1(n_2466),
+	.X(brqrv_top_brqrv_exu_i_alu_pcout[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g850863 (
+	.A1(n_2463),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[14]),
+	.B1(n_2460),
+	.B2(n_34042),
+	.C1(n_2469),
+	.X(brqrv_top_brqrv_exu_i_alu_pcout[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g850864 (
+	.A1(n_2463),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[20]),
+	.B1(n_2460),
+	.B2(n_34048),
+	.C1(n_2467),
+	.X(brqrv_top_brqrv_exu_i_alu_pcout[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g850865 (
+	.A1(n_2463),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[26]),
+	.B1(n_2460),
+	.B2(n_34054),
+	.C1(n_2468),
+	.X(brqrv_top_brqrv_exu_i_alu_pcout[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g850866 (
+	.A1(n_2463),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[22]),
+	.B1(n_2460),
+	.B2(n_34050),
+	.C1(n_2465),
+	.X(brqrv_top_brqrv_exu_i_alu_pcout[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850867 (
+	.A(n_2476),
+	.B(n_34705),
+	.Y(n_2488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850868 (
+	.A(n_2476),
+	.B(n_34710),
+	.Y(n_2487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850869 (
+	.A(n_2476),
+	.B(n_34703),
+	.Y(n_2486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850870 (
+	.A(n_34712),
+	.B(n_2476),
+	.Y(n_2485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g850871 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[28]),
+	.B(n_2476),
+	.X(n_2484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g850872 (
+	.A(n_2475),
+	.B_N(n_34707),
+	.Y(n_2483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g850873 (
+	.A1(n_2426),
+	.A2(n_2388),
+	.B1(n_2474),
+	.Y(n_2480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g850874 (
+	.A1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_0),
+	.A2(n_2459),
+	.B1(n_2472),
+	.X(n_2479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g850875 (
+	.A1(n_2455),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[28]),
+	.B1(n_2473),
+	.Y(n_2478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g850876 (
+	.A(n_2426),
+	.B(n_2474),
+	.Y(n_2477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g850877 (
+	.A1(brqrv_top_brqrv_ifu_i0_pc[13]),
+	.A2(n_2463),
+	.B1(n_520),
+	.B2(n_2462),
+	.Y(brqrv_top_brqrv_exu_i_alu_pcout[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g850878 (
+	.A1(n_2389),
+	.A2(n_2461),
+	.B1(n_2462),
+	.Y(n_2482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g850879 (
+	.A1(n_675),
+	.A2(n_2461),
+	.B1(n_2462),
+	.Y(n_2481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g850880 (
+	.A(n_2476),
+	.Y(n_2475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g850881 (
+	.A(n_2457),
+	.Y(n_2474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g850882 (
+	.A(n_1298),
+	.B(n_2453),
+	.COUT(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_26),
+	.SUM(n_2476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g850883 (
+	.A(n_1865),
+	.B(n_2461),
+	.Y(n_2471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g850884 (
+	.A(n_2433),
+	.B(n_2461),
+	.Y(n_2470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g850885 (
+	.A(n_1239),
+	.B(n_2461),
+	.Y(n_2469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g850886 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[28]),
+	.B(n_2455),
+	.Y(n_2473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850887 (
+	.A(n_2459),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_0),
+	.Y(n_2472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g850888 (
+	.A(n_2446),
+	.B(n_2461),
+	.Y(n_2468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g850889 (
+	.A(n_2303),
+	.B(n_2461),
+	.Y(n_2467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g850890 (
+	.A(n_2164),
+	.B(n_2461),
+	.Y(n_2466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g850891 (
+	.A(n_2390),
+	.B(n_2461),
+	.Y(n_2465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g850892 (
+	.A(n_2451),
+	.B(n_1303),
+	.C(n_1304),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_n_308),
+	.Y(n_2464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g850893 (
+	.A(n_2463),
+	.Y(n_2462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g850894 (
+	.A(n_2454),
+	.Y(n_2461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g850895 (
+	.A(n_1297),
+	.B(n_2450),
+	.COUT(n_2460),
+	.SUM(n_2463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g850896 (
+	.A(n_34703),
+	.B(n_2449),
+	.Y(n_2459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g850897 (
+	.A(n_2447),
+	.B(n_33067),
+	.C(n_33068),
+	.D(n_33069),
+	.X(n_2458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g850898 (
+	.A(n_1298),
+	.B(n_2453),
+	.Y(n_2457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g850899 (
+	.A1(n_533),
+	.A2(n_2445),
+	.B1(n_2455),
+	.Y(n_2456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850900 (
+	.A(n_2445),
+	.B(n_533),
+	.Y(n_2455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g850901 (
+	.A(n_1297),
+	.B(n_2450),
+	.Y(n_2454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 g850902 (
+	.A1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_10),
+	.A2(n_2441),
+	.B1(n_2449),
+	.Y(n_2452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g850903 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[19]),
+	.B(brqrv_top_brqrv_dec_dec_csr_wrdata_r[21]),
+	.C(brqrv_top_brqrv_dec_dec_csr_wrdata_r[12]),
+	.D(n_2444),
+	.Y(n_2451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850904 (
+	.A(n_2448),
+	.B(n_32831),
+	.Y(n_2453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g850905 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[12]),
+	.B(n_1296),
+	.CIN(n_2432),
+	.COUT(n_2450),
+	.SUM(brqrv_top_brqrv_exu_i_alu_pcout[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850906 (
+	.A(n_2441),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_10),
+	.Y(n_2449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g850907 (
+	.A1(n_2276),
+	.A2(n_2442),
+	.B1(n_30524),
+	.Y(n_2448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g850908 (
+	.A(n_2440),
+	.B(n_33064),
+	.C(n_33065),
+	.D(n_33066),
+	.X(n_2447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g850909 (
+	.A1(n_2437),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[26]),
+	.B1(n_2445),
+	.Y(n_2446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g850910 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[31]),
+	.B(n_34187),
+	.Y(n_33089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g850911 (
+	.A(n_34187),
+	.B(brqrv_top_brqrv_exu_i0_rs1_d[31]),
+	.X(n_33088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g850912 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[26]),
+	.B(n_2437),
+	.Y(n_2445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g850913 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[11]),
+	.B(brqrv_top_brqrv_dec_dec_csr_wrdata_r[23]),
+	.C(brqrv_top_brqrv_dec_dec_csr_wrdata_r[17]),
+	.D(n_2435),
+	.X(n_2444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g850914 (
+	.A1(n_2430),
+	.A2(n_34701),
+	.B1(n_2441),
+	.Y(n_2443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g850915 (
+	.A1(n_32221),
+	.A2(n_32828),
+	.B1_N(n_30623),
+	.Y(n_2442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g850917 (
+	.A(brqrv_top_brqrv_i0_ap[7]),
+	.B(n_33119),
+	.X(n_34182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g850918 (
+	.A(n_33060),
+	.B(n_33063),
+	.X(n_2440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g850919 (
+	.A(brqrv_top_brqrv_i0_ap[7]),
+	.B(n_33126),
+	.X(n_34185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g850920 (
+	.A(brqrv_top_brqrv_i0_ap[7]),
+	.B(n_33123),
+	.X(n_34184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g850921 (
+	.A(brqrv_top_brqrv_i0_ap[7]),
+	.B(n_33122),
+	.X(n_34183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g850923 (
+	.A(brqrv_top_brqrv_i0_ap[7]),
+	.B(n_33118),
+	.X(n_34181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g850924 (
+	.A(brqrv_top_brqrv_i0_ap[7]),
+	.B(n_33117),
+	.X(n_34180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g850925 (
+	.A(brqrv_top_brqrv_i0_ap[7]),
+	.B(n_33116),
+	.X(n_34179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g850926 (
+	.A(brqrv_top_brqrv_i0_ap[7]),
+	.B(n_33115),
+	.X(n_34178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g850927 (
+	.A(brqrv_top_brqrv_i0_ap[7]),
+	.B(n_33127),
+	.X(n_34186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g850928 (
+	.A(n_34701),
+	.B(n_2430),
+	.Y(n_2441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g850929 (
+	.A1(n_2085),
+	.A2(brqrv_top_brqrv_exu_i0_rs2_d[31]),
+	.B1(brqrv_top_brqrv_i0_ap[7]),
+	.B2(n_2436),
+	.Y(n_34187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g850930 (
+	.A1_N(n_2085),
+	.A2_N(n_33113),
+	.B1(n_2085),
+	.B2(n_33113),
+	.Y(n_34176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g850931 (
+	.A1_N(n_2085),
+	.A2_N(n_33114),
+	.B1(n_2085),
+	.B2(n_33114),
+	.Y(n_34177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g850932 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[24]),
+	.B(n_33117),
+	.X(n_33068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g850933 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[30]),
+	.B(n_33127),
+	.X(n_33074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g850934 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[25]),
+	.B(n_33118),
+	.X(n_33069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g850935 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[23]),
+	.B(n_33116),
+	.X(n_33067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g850936 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[20]),
+	.B(n_33113),
+	.X(n_33064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g850937 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[29]),
+	.B(n_33126),
+	.X(n_33073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g850938 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[22]),
+	.B(n_33115),
+	.X(n_33066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g850939 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[21]),
+	.B(n_33114),
+	.X(n_33065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g850940 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[27]),
+	.B(n_33122),
+	.X(n_33071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g850941 (
+	.A1_N(brqrv_top_brqrv_exu_i0_rs1_d[31]),
+	.A2_N(n_2436),
+	.B1(brqrv_top_brqrv_exu_i0_rs1_d[31]),
+	.B2(n_2436),
+	.Y(n_33075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g850942 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[28]),
+	.B(n_33123),
+	.X(n_33072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g850943 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[26]),
+	.B(n_33119),
+	.X(n_33070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g850944 (
+	.A1_N(n_2085),
+	.A2_N(n_33106),
+	.B1(n_2085),
+	.B2(n_33106),
+	.Y(n_34169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g850945 (
+	.A1(n_530),
+	.A2(n_2431),
+	.B1(n_2437),
+	.Y(n_2438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g850946 (
+	.A1_N(n_2085),
+	.A2_N(n_33107),
+	.B1(n_2085),
+	.B2(n_33107),
+	.Y(n_34170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g850947 (
+	.A1_N(n_2085),
+	.A2_N(n_33110),
+	.B1(n_2085),
+	.B2(n_33110),
+	.Y(n_34173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g850948 (
+	.A1_N(n_2085),
+	.A2_N(n_33109),
+	.B1(n_2085),
+	.B2(n_33109),
+	.Y(n_34172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g850949 (
+	.A1_N(n_2085),
+	.A2_N(n_33108),
+	.B1(n_2085),
+	.B2(n_33108),
+	.Y(n_34171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g850951 (
+	.A(n_32826),
+	.B(n_30621),
+	.C(n_32613),
+	.Y(n_32221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g850952 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[14]),
+	.B(n_33107),
+	.X(n_33058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g850953 (
+	.A_N(brqrv_top_brqrv_i0_ap[5]),
+	.B(brqrv_top_brqrv_exu_i0_rs2_d[31]),
+	.Y(n_32209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g850954 (
+	.A1_N(n_2085),
+	.A2_N(n_33105),
+	.B1(n_2085),
+	.B2(n_33105),
+	.Y(n_34168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g850955 (
+	.A1_N(n_2085),
+	.A2_N(n_33112),
+	.B1(n_2085),
+	.B2(n_33112),
+	.Y(n_34175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g850956 (
+	.A1_N(n_2085),
+	.A2_N(n_33111),
+	.B1(n_2085),
+	.B2(n_33111),
+	.Y(n_34174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g850957 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[12]),
+	.B(n_33105),
+	.X(n_33056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g850958 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[13]),
+	.B(n_33106),
+	.X(n_33057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g850959 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[18]),
+	.B(n_33111),
+	.X(n_33062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g850960 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[17]),
+	.B(n_33110),
+	.X(n_33061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g850961 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[16]),
+	.B(n_33109),
+	.X(n_33060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g850962 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[19]),
+	.B(n_33112),
+	.X(n_33063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g850963 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[15]),
+	.B(n_33108),
+	.X(n_33059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g850964 (
+	.A(brqrv_top_brqrv_exu_i0_rs2_d[31]),
+	.Y(n_2436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g850965 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[24]),
+	.B(brqrv_top_brqrv_dec_dec_csr_wrdata_r[25]),
+	.C(brqrv_top_brqrv_dec_dec_csr_wrdata_r[20]),
+	.D(n_2415),
+	.X(n_2435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850966 (
+	.A(n_2431),
+	.B(n_530),
+	.Y(n_2437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g850967 (
+	.A1(n_2227),
+	.A2(n_2378),
+	.B1(n_2394),
+	.C1(n_2420),
+	.D1(n_2360),
+	.Y(n_33126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g850968 (
+	.A(n_2395),
+	.B(n_2425),
+	.C(n_33124),
+	.X(n_33123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g850969 (
+	.A1(n_2217),
+	.A2(n_2378),
+	.B1(n_2394),
+	.C1(n_2421),
+	.D1(n_2344),
+	.Y(n_33122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g850970 (
+	.A(n_2395),
+	.B(n_2424),
+	.C(n_33120),
+	.X(n_33119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g850971 (
+	.A1(n_2214),
+	.A2(n_2378),
+	.B1(n_2394),
+	.C1(n_2414),
+	.D1(n_2352),
+	.Y(n_33118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g850972 (
+	.A1(n_2197),
+	.A2(n_2378),
+	.B1(n_2394),
+	.C1(n_2412),
+	.D1(n_2351),
+	.Y(n_33117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g850973 (
+	.A1(n_2200),
+	.A2(n_2378),
+	.B1(n_2394),
+	.C1(n_2413),
+	.D1(n_2347),
+	.Y(n_33127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g850974 (
+	.A1(n_2213),
+	.A2(n_2378),
+	.B1(n_2394),
+	.C1(n_2417),
+	.D1(n_2366),
+	.Y(n_33116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g850975 (
+	.A1(n_2201),
+	.A2(n_2378),
+	.B1(n_2394),
+	.C1(n_2419),
+	.D1(n_2350),
+	.Y(n_33115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g850976 (
+	.A1(n_2212),
+	.A2(n_2378),
+	.B1(n_2394),
+	.C1(n_2418),
+	.D1(n_2349),
+	.Y(n_33114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g850977 (
+	.A1(n_2180),
+	.A2(n_2378),
+	.B1(n_2394),
+	.C1(n_2416),
+	.D1(n_2348),
+	.Y(n_33113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g850978 (
+	.A1(n_2202),
+	.A2(n_2378),
+	.B1(n_2394),
+	.C1(n_2422),
+	.D1(n_2365),
+	.Y(brqrv_top_brqrv_exu_i0_rs2_d[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g850979 (
+	.A1_N(n_2085),
+	.A2_N(brqrv_top_brqrv_exu_i0_rs2_d[0]),
+	.B1(n_2085),
+	.B2(brqrv_top_brqrv_exu_i0_rs2_d[0]),
+	.Y(n_34156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g850980 (
+	.A1(n_2426),
+	.A2(n_34700),
+	.B1_N(n_2430),
+	.Y(n_2434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g850981 (
+	.A1_N(n_2085),
+	.A2_N(brqrv_top_brqrv_exu_i0_rs2_d[4]),
+	.B1(n_2085),
+	.B2(brqrv_top_brqrv_exu_i0_rs2_d[4]),
+	.Y(n_34160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g850982 (
+	.A1_N(n_2085),
+	.A2_N(brqrv_top_brqrv_exu_i0_rs2_d[3]),
+	.B1(n_2085),
+	.B2(brqrv_top_brqrv_exu_i0_rs2_d[3]),
+	.Y(n_34159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g850983 (
+	.A1_N(n_2085),
+	.A2_N(brqrv_top_brqrv_exu_i0_rs2_d[2]),
+	.B1(n_2085),
+	.B2(brqrv_top_brqrv_exu_i0_rs2_d[2]),
+	.Y(n_34158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g850984 (
+	.A1_N(n_2085),
+	.A2_N(brqrv_top_brqrv_exu_i0_rs2_d[1]),
+	.B1(n_2085),
+	.B2(brqrv_top_brqrv_exu_i0_rs2_d[1]),
+	.Y(n_34157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g850985 (
+	.A1(brqrv_top_brqrv_ifu_i0_pc[24]),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[23]),
+	.B1(n_2431),
+	.Y(n_2433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g850986 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[4]),
+	.B(brqrv_top_brqrv_exu_i0_rs2_d[4]),
+	.X(n_33048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g850987 (
+	.A(brqrv_top_brqrv_exu_i0_rs2_d[0]),
+	.B(brqrv_top_brqrv_exu_i0_rs1_d[0]),
+	.X(n_33044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g850988 (
+	.A(n_1590),
+	.B(n_2427),
+	.X(n_31466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g850989 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[3]),
+	.B(brqrv_top_brqrv_exu_i0_rs2_d[3]),
+	.X(n_33047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g850990 (
+	.A(brqrv_top_brqrv_exu_i0_rs2_d[2]),
+	.B(brqrv_top_brqrv_exu_i0_rs1_d[2]),
+	.X(n_33046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g850991 (
+	.A(brqrv_top_brqrv_exu_i0_rs2_d[1]),
+	.B(brqrv_top_brqrv_exu_i0_rs1_d[1]),
+	.X(n_33045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g850992 (
+	.A1(n_1521),
+	.A2(n_2428),
+	.B1(n_1330),
+	.Y(n_2432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g850994 (
+	.A(n_2423),
+	.B(n_32835),
+	.Y(n_32826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g850995 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[9]),
+	.B(n_33101),
+	.X(n_33053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g850996 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[24]),
+	.B_N(n_2429),
+	.Y(n_2431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g850997 (
+	.A(n_34700),
+	.B(n_2426),
+	.X(n_2430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g850998 (
+	.A1(n_2225),
+	.A2(n_2378),
+	.B1(n_2380),
+	.C1(n_2400),
+	.D1(n_2356),
+	.Y(n_33106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g850999 (
+	.A1(n_2228),
+	.A2(n_2378),
+	.B1(n_2380),
+	.C1(n_2402),
+	.D1(n_2358),
+	.Y(n_33108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g851000 (
+	.A1(n_2211),
+	.A2(n_2378),
+	.B1(n_2380),
+	.C1(n_2401),
+	.D1(n_2346),
+	.Y(n_33112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g851001 (
+	.A1(n_2229),
+	.A2(n_2378),
+	.B1(n_2380),
+	.C1(n_2399),
+	.D1(n_2345),
+	.Y(n_33111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g851002 (
+	.A1(n_2199),
+	.A2(n_2378),
+	.B1(n_2380),
+	.C1(n_2403),
+	.D1(n_2359),
+	.Y(n_33109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g851003 (
+	.A1(n_2223),
+	.A2(n_2378),
+	.B1(n_2380),
+	.C1(n_2405),
+	.D1(n_2372),
+	.Y(n_33105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g851004 (
+	.A1(n_2210),
+	.A2(n_2378),
+	.B1(n_2380),
+	.C1(n_2404),
+	.D1(n_2361),
+	.Y(n_33110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g851005 (
+	.A1(n_2226),
+	.A2(n_2378),
+	.B1(n_2380),
+	.C1(n_2392),
+	.D1(n_2357),
+	.Y(n_33107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g851006 (
+	.A(n_2085),
+	.B(n_33104),
+	.Y(n_34167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g851007 (
+	.A1_N(n_2085),
+	.A2_N(n_33100),
+	.B1(n_2085),
+	.B2(n_33100),
+	.Y(n_34164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g851008 (
+	.A1_N(n_2085),
+	.A2_N(n_33098),
+	.B1(n_2085),
+	.B2(n_33098),
+	.Y(n_34163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g851009 (
+	.A1_N(n_2085),
+	.A2_N(n_33097),
+	.B1(n_2085),
+	.B2(n_33097),
+	.Y(n_34162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g851010 (
+	.A1_N(n_2085),
+	.A2_N(n_33096),
+	.B1(n_2085),
+	.B2(n_33096),
+	.Y(n_34161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g851011 (
+	.A1_N(n_2085),
+	.A2_N(n_33103),
+	.B1(n_2085),
+	.B2(n_33103),
+	.Y(n_34166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g851012 (
+	.A1_N(n_2085),
+	.A2_N(n_33101),
+	.B1(n_2085),
+	.B2(n_33101),
+	.Y(n_34165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g851013 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[5]),
+	.B(n_33096),
+	.X(n_33049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g851014 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[7]),
+	.B(n_33098),
+	.X(n_33051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g851015 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[10]),
+	.B(n_33103),
+	.X(n_33054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g851016 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[6]),
+	.B(n_33097),
+	.X(n_33050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g851017 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[8]),
+	.B(n_33100),
+	.X(n_33052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g851018 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[11]),
+	.B(n_33104),
+	.X(n_33055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g851019 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[23]),
+	.B_N(n_2389),
+	.Y(n_2429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g851020 (
+	.A(n_2363),
+	.B(n_2408),
+	.Y(brqrv_top_brqrv_exu_i0_rs2_d[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g851021 (
+	.A_N(n_33092),
+	.B(n_2409),
+	.Y(brqrv_top_brqrv_exu_i0_rs2_d[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g851022 (
+	.A_N(n_33090),
+	.B(n_2410),
+	.Y(brqrv_top_brqrv_exu_i0_rs2_d[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g851023 (
+	.A(n_2367),
+	.B(n_2406),
+	.Y(brqrv_top_brqrv_exu_i0_rs2_d[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g851024 (
+	.A_N(n_33094),
+	.B(n_2407),
+	.Y(brqrv_top_brqrv_exu_i0_rs2_d[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g851025 (
+	.A(n_2427),
+	.Y(n_2428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g851026 (
+	.A1(n_2379),
+	.A2(n_33125),
+	.B1(n_2396),
+	.B2(brqrv_top_brqrv_dec_i0_predict_p_d[45]),
+	.X(n_2425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g851027 (
+	.A1(n_2379),
+	.A2(n_33121),
+	.B1(n_2396),
+	.B2(brqrv_top_brqrv_dec_i0_predict_p_d[43]),
+	.X(n_2424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g851028 (
+	.A(n_2411),
+	.B(n_30610),
+	.Y(n_2423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g851029 (
+	.A(n_1585),
+	.B(n_2397),
+	.X(n_31544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g851030 (
+	.A1(n_1499),
+	.A2(n_2398),
+	.B1(n_1328),
+	.Y(n_2427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g851031 (
+	.A_N(n_34699),
+	.B(n_2388),
+	.Y(n_2426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g851033 (
+	.A(n_2396),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[31]),
+	.Y(n_2422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g851034 (
+	.A(n_2396),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[44]),
+	.Y(n_2421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g851035 (
+	.A(n_2396),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[46]),
+	.Y(n_2420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g851036 (
+	.A(n_2396),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[22]),
+	.Y(n_2419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g851037 (
+	.A(n_2396),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[21]),
+	.Y(n_2418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g851038 (
+	.A1(n_2353),
+	.A2(n_31223),
+	.B1(n_2224),
+	.B2(n_31222),
+	.Y(n_31006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g851039 (
+	.A(n_2396),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[23]),
+	.Y(n_2417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g851040 (
+	.A(n_2396),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[20]),
+	.Y(n_2416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g851041 (
+	.A(n_2383),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_n_316),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_n_314),
+	.Y(n_2415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g851042 (
+	.A(n_2396),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[42]),
+	.Y(n_2414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g851043 (
+	.A(n_2396),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[47]),
+	.Y(n_2413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g851044 (
+	.A(n_2396),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[24]),
+	.Y(n_2412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g851045 (
+	.A(n_2393),
+	.B(n_32836),
+	.Y(n_2411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g851046 (
+	.A1_N(n_2370),
+	.A2_N(n_31223),
+	.B1(n_33102),
+	.B2(n_2384),
+	.Y(n_320928_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g851047 (
+	.A1(brqrv_top_brqrv_dec_dec_i0_instr_d[21]),
+	.A2(n_1489),
+	.B1(n_2379),
+	.B2(n_33091),
+	.C1(n_39129),
+	.C2(brqrv_top_brqrv_dec_dec_i0_instr_d[16]),
+	.Y(n_2410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g851048 (
+	.A1(brqrv_top_brqrv_dec_dec_i0_instr_d[22]),
+	.A2(n_1489),
+	.B1(n_2379),
+	.B2(n_33093),
+	.C1(n_39129),
+	.C2(brqrv_top_brqrv_dec_dec_i0_instr_d[17]),
+	.Y(n_2409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g851049 (
+	.A1(brqrv_top_brqrv_dec_dec_i0_instr_d[23]),
+	.A2(n_1489),
+	.B1(n_2379),
+	.B2(n_2218),
+	.C1(n_39129),
+	.C2(brqrv_top_brqrv_dec_dec_i0_instr_d[18]),
+	.Y(n_2408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g851050 (
+	.A1(brqrv_top_brqrv_dec_dec_i0_instr_d[24]),
+	.A2(n_1489),
+	.B1(n_2379),
+	.B2(n_33095),
+	.C1(n_39129),
+	.C2(brqrv_top_brqrv_dec_dec_i0_instr_d[19]),
+	.Y(n_2407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g851051 (
+	.A1(n_2222),
+	.A2(n_2378),
+	.B1(n_310),
+	.C1(n_1255),
+	.D1(n_2355),
+	.Y(n_33104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g851052 (
+	.A1(n_2224),
+	.A2(n_2378),
+	.B1(n_362),
+	.B2(n_1299),
+	.C1(n_2353),
+	.Y(n_33096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g851053 (
+	.A1(n_2221),
+	.A2(n_2378),
+	.B1(n_515),
+	.B2(n_1299),
+	.C1(n_2354),
+	.Y(n_33103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g851054 (
+	.A1(n_2219),
+	.A2(n_2378),
+	.B1(n_1484),
+	.C1(n_2368),
+	.Y(n_33097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g851055 (
+	.A1(n_2220),
+	.A2(n_2378),
+	.B1(n_1485),
+	.C1(n_2369),
+	.Y(n_33100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g851056 (
+	.A1(n_2379),
+	.A2(n_33102),
+	.B1(n_1300),
+	.B2(brqrv_top_brqrv_dec_i0_predict_p_d[46]),
+	.C1(n_2371),
+	.X(n_33101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g851057 (
+	.A1(n_2379),
+	.A2(n_33099),
+	.B1(n_1300),
+	.B2(brqrv_top_brqrv_dec_i0_predict_p_d[44]),
+	.C1(n_2362),
+	.X(n_33098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g851058 (
+	.A1(n_31223),
+	.A2(n_2352),
+	.B1(n_2214),
+	.B2(n_31222),
+	.Y(n_32125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g851059 (
+	.A1_N(n_2218),
+	.A2_N(n_2384),
+	.B1(n_2363),
+	.B2(n_31223),
+	.Y(n_32111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g851060 (
+	.A1(n_2387),
+	.A2(n_2362),
+	.B1(n_2384),
+	.B2(n_33099),
+	.Y(n_321270_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g851061 (
+	.A1(n_2369),
+	.A2(n_31223),
+	.B1(n_2220),
+	.B2(n_31222),
+	.Y(n_32127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g851062 (
+	.A1(n_2367),
+	.A2(n_31223),
+	.B1(n_2215),
+	.B2(n_31222),
+	.Y(n_31005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g851063 (
+	.A1(n_2354),
+	.A2(n_31223),
+	.B1(n_2221),
+	.B2(n_31222),
+	.Y(n_32128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g851064 (
+	.A1(n_2355),
+	.A2(n_31223),
+	.B1(n_2222),
+	.B2(n_31222),
+	.Y(n_32129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g851065 (
+	.A1(n_31223),
+	.A2(n_2345),
+	.B1(n_2229),
+	.B2(n_31222),
+	.Y(n_32118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g851066 (
+	.A1(n_2358),
+	.A2(n_31223),
+	.B1(n_2228),
+	.B2(n_31222),
+	.Y(n_32133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g851067 (
+	.A1(n_2357),
+	.A2(n_31223),
+	.B1(n_2226),
+	.B2(n_31222),
+	.Y(n_32132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g851068 (
+	.A1(n_2356),
+	.A2(n_31223),
+	.B1(n_2225),
+	.B2(n_31222),
+	.Y(n_32131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g851069 (
+	.A1(n_2372),
+	.A2(n_31223),
+	.B1(n_2223),
+	.B2(n_31222),
+	.Y(n_32130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g851070 (
+	.A1(n_31223),
+	.A2(n_2351),
+	.B1(n_2197),
+	.B2(n_31222),
+	.Y(n_32126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g851071 (
+	.A1(n_2368),
+	.A2(n_31223),
+	.B1(n_2219),
+	.B2(n_31222),
+	.Y(n_32112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g851072 (
+	.A1(n_31223),
+	.A2(n_2344),
+	.B1(n_2217),
+	.B2(n_31222),
+	.Y(n_32124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g851073 (
+	.A1(n_31223),
+	.A2(n_2347),
+	.B1(n_2200),
+	.B2(n_31222),
+	.Y(n_32123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g851074 (
+	.A1(n_31223),
+	.A2(n_2365),
+	.B1(n_2202),
+	.B2(n_31222),
+	.Y(n_32122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g851075 (
+	.A1(n_31223),
+	.A2(n_2360),
+	.B1(n_2227),
+	.B2(n_31222),
+	.Y(n_32121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g851076 (
+	.A1(n_31223),
+	.A2(n_2359),
+	.B1(n_2199),
+	.B2(n_31222),
+	.Y(n_32120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g851077 (
+	.A1(n_31223),
+	.A2(n_2361),
+	.B1(n_2210),
+	.B2(n_31222),
+	.Y(n_32119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g851078 (
+	.A1(n_31223),
+	.A2(n_2346),
+	.B1(n_2211),
+	.B2(n_31222),
+	.Y(n_32117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g851079 (
+	.A1(n_31223),
+	.A2(n_2348),
+	.B1(n_2180),
+	.B2(n_31222),
+	.Y(n_32116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g851080 (
+	.A1(n_31223),
+	.A2(n_2349),
+	.B1(n_2212),
+	.B2(n_31222),
+	.Y(n_32115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g851081 (
+	.A1(n_31223),
+	.A2(n_2350),
+	.B1(n_2201),
+	.B2(n_31222),
+	.Y(n_32114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g851082 (
+	.A1(n_31223),
+	.A2(n_2366),
+	.B1(n_2213),
+	.B2(n_31222),
+	.Y(n_32113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g851083 (
+	.A1(brqrv_top_brqrv_dec_dec_i0_instr_d[15]),
+	.A2(n_39129),
+	.B1(n_2379),
+	.B2(n_2216),
+	.C1(n_1271),
+	.C2(brqrv_top_brqrv_dec_dec_i0_instr_d[20]),
+	.Y(n_2406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g851084 (
+	.A(n_2386),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[12]),
+	.Y(n_2405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g851085 (
+	.A(n_2386),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[17]),
+	.Y(n_2404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g851086 (
+	.A(n_2386),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[16]),
+	.Y(n_2403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g851087 (
+	.A(n_2386),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[15]),
+	.Y(n_2402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g851088 (
+	.A(n_2386),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[19]),
+	.Y(n_2401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g851089 (
+	.A(n_2386),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[13]),
+	.Y(n_2400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g851090 (
+	.A(n_2386),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[18]),
+	.Y(n_2399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g851091 (
+	.A(n_2397),
+	.Y(n_2398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g851092 (
+	.A(n_2395),
+	.Y(n_2394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g851093 (
+	.A1(n_2364),
+	.A2(n_2377),
+	.B1(n_30526),
+	.Y(n_2393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g851094 (
+	.A(n_2386),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[14]),
+	.Y(n_2392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g851095 (
+	.A1(n_34697),
+	.A2(n_34698),
+	.B1(n_2388),
+	.X(n_2391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g851096 (
+	.A1(n_2330),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[22]),
+	.B1(n_2389),
+	.Y(n_2390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g851097 (
+	.A(n_1586),
+	.B(n_2375),
+	.X(n_32516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g851098 (
+	.A1(n_1500),
+	.A2(n_2376),
+	.B1(n_1329),
+	.Y(n_2397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g851099 (
+	.A(n_467),
+	.B(n_2385),
+	.Y(n_2396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g851100 (
+	.A1(n_392),
+	.A2(n_673),
+	.A3(n_2343),
+	.B1(n_2380),
+	.Y(n_2395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g851102 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[22]),
+	.B(n_2330),
+	.Y(n_2389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g851103 (
+	.A(n_34697),
+	.B(n_34698),
+	.Y(n_2388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g851104 (
+	.A(n_2373),
+	.B(n_678),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g851105 (
+	.A(n_2374),
+	.B(n_679),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g851106 (
+	.A(n_31579),
+	.B(n_31224),
+	.C(n_649),
+	.D(n_2343),
+	.Y(n_31223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g851107 (
+	.A(n_2386),
+	.Y(n_2385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g851110 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[22]),
+	.B(brqrv_top_brqrv_dec_dec_csr_wrdata_r[31]),
+	.C(brqrv_top_brqrv_dec_dec_csr_wrdata_r[13]),
+	.D(n_2325),
+	.Y(n_2383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g851111 (
+	.A(n_34735),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_offset_d[11]),
+	.Y(n_32831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g851112 (
+	.A_N(n_34735),
+	.B(n_1298),
+	.Y(n_30524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g851113 (
+	.A(n_33354),
+	.B(n_2343),
+	.C_N(n_33356),
+	.Y(n_2386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g851114 (
+	.A_N(n_30889),
+	.B(n_31579),
+	.C(n_2379),
+	.Y(n_31222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g851117 (
+	.A(n_2379),
+	.Y(n_2378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g851118 (
+	.A1(n_2248),
+	.A2(n_30706),
+	.B1(n_2262),
+	.B2(n_30885),
+	.C1(n_2335),
+	.Y(n_34704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g851119 (
+	.A1(n_2266),
+	.A2(n_30885),
+	.B1(n_2247),
+	.B2(n_30706),
+	.C1(n_2339),
+	.Y(n_34712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g851120 (
+	.A1(n_2251),
+	.A2(n_30706),
+	.B1(n_2268),
+	.B2(n_30885),
+	.C1(n_2338),
+	.Y(n_34711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g851121 (
+	.A1(n_2249),
+	.A2(n_30706),
+	.B1(n_2267),
+	.B2(n_30885),
+	.C1(n_2342),
+	.Y(n_34705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g851122 (
+	.A(n_2336),
+	.B(n_2279),
+	.C(n_678),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g851123 (
+	.A1(n_2252),
+	.A2(n_30706),
+	.B1(n_2263),
+	.B2(n_30885),
+	.C1(n_2334),
+	.Y(n_34703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g851124 (
+	.A(n_310),
+	.B(n_2343),
+	.X(n_2380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g851125 (
+	.A(n_32556),
+	.B(n_2343),
+	.Y(n_2379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g851126 (
+	.A(n_32222),
+	.Y(n_2377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g851127 (
+	.A(n_2375),
+	.Y(n_2376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g851128 (
+	.A1(n_338),
+	.A2(n_33203),
+	.B1(n_39131),
+	.B2(brqrv_top_brqrv_dec_tlu_meihap[29]),
+	.C1(n_2331),
+	.Y(n_2374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g851129 (
+	.A1(n_338),
+	.A2(n_33204),
+	.B1(n_39131),
+	.B2(brqrv_top_brqrv_dec_tlu_meihap[30]),
+	.C1(n_2332),
+	.Y(n_2373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g851130 (
+	.A(n_32827),
+	.B(n_30599),
+	.C(n_30527),
+	.Y(n_32222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g851131 (
+	.A(n_1587),
+	.B(n_2328),
+	.X(n_32517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g851132 (
+	.A1(n_1501),
+	.A2(n_2329),
+	.B1(n_1327),
+	.Y(n_2375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g851133 (
+	.A(n_2340),
+	.B(n_2285),
+	.Y(n_34735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g851134 (
+	.A1(n_338),
+	.A2(n_33187),
+	.B1(n_484),
+	.B2(n_33136),
+	.C1(n_2333),
+	.X(n_34698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g851135 (
+	.A1(n_338),
+	.A2(n_33195),
+	.B1(n_484),
+	.B2(n_33140),
+	.C1(n_2337),
+	.X(n_34706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g851136 (
+	.A(n_2370),
+	.Y(n_2371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g851137 (
+	.A(n_2364),
+	.Y(n_32829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g851139 (
+	.A1(n_33155),
+	.A2(n_2036),
+	.B1(n_33186),
+	.B2(n_2038),
+	.C1(n_2321),
+	.Y(n_2372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g851140 (
+	.A1(n_33152),
+	.A2(n_2036),
+	.B1(n_33183),
+	.B2(n_2038),
+	.C1(n_2319),
+	.Y(n_2370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g851141 (
+	.A1(n_33151),
+	.A2(n_2036),
+	.B1(n_33182),
+	.B2(n_2038),
+	.C1(n_2318),
+	.Y(n_2369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g851142 (
+	.A1(n_33149),
+	.A2(n_2036),
+	.B1(n_33181),
+	.B2(n_2038),
+	.C1(n_2316),
+	.Y(n_2368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g851143 (
+	.A1(n_2036),
+	.A2(n_33145),
+	.B1(n_33177),
+	.B2(n_2038),
+	.C1(n_2315),
+	.X(n_33092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g851144 (
+	.A1(n_2036),
+	.A2(n_33210),
+	.B1(n_33211),
+	.B2(n_2038),
+	.C1(n_2314),
+	.X(n_33090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g851145 (
+	.A1(n_2036),
+	.A2(n_33207),
+	.B1(n_33208),
+	.B2(n_2038),
+	.C1(n_2317),
+	.Y(n_2367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g851146 (
+	.A1(n_33166),
+	.A2(n_2036),
+	.B1(n_33197),
+	.B2(n_2038),
+	.C1(n_2322),
+	.Y(n_2366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g851147 (
+	.A1(n_33174),
+	.A2(n_2036),
+	.B1(n_33205),
+	.B2(n_2038),
+	.C1(n_2323),
+	.Y(n_2365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g851148 (
+	.A1(n_32837),
+	.A2(n_32838),
+	.B1_N(n_30599),
+	.Y(n_2364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g851149 (
+	.A1(n_2308),
+	.A2(n_33410),
+	.B1(n_2281),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[3]),
+	.C1(n_2099),
+	.Y(n_2363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g851150 (
+	.A1(n_2281),
+	.A2(brqrv_top_brqrv_dec_i0_result_r[4]),
+	.B1(n_2308),
+	.B2(n_33411),
+	.C1(n_2100),
+	.X(n_33094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g851151 (
+	.A1(n_2039),
+	.A2(n_32620),
+	.B1(n_2084),
+	.C1(n_2324),
+	.Y(n_2362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g851152 (
+	.A1(n_2281),
+	.A2(brqrv_top_brqrv_dec_i0_result_r[17]),
+	.B1(n_2308),
+	.B2(n_33423),
+	.C1(n_2108),
+	.Y(n_2361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g851153 (
+	.A1(n_2281),
+	.A2(brqrv_top_brqrv_dec_i0_result_r[29]),
+	.B1(n_2308),
+	.B2(n_33435),
+	.C1(n_2306),
+	.Y(n_2360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g851154 (
+	.A1(n_2281),
+	.A2(brqrv_top_brqrv_dec_i0_result_r[16]),
+	.B1(n_2308),
+	.B2(n_33422),
+	.C1(n_2107),
+	.Y(n_2359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g851155 (
+	.A1(n_2281),
+	.A2(brqrv_top_brqrv_dec_i0_result_r[15]),
+	.B1(n_2308),
+	.B2(n_33421),
+	.C1(n_2105),
+	.Y(n_2358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g851156 (
+	.A1(n_2281),
+	.A2(brqrv_top_brqrv_dec_i0_result_r[14]),
+	.B1(n_2308),
+	.B2(n_33420),
+	.C1(n_2104),
+	.Y(n_2357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g851157 (
+	.A1(n_2281),
+	.A2(brqrv_top_brqrv_dec_i0_result_r[13]),
+	.B1(n_2308),
+	.B2(n_33419),
+	.C1(n_2305),
+	.Y(n_2356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g851158 (
+	.A1(n_2281),
+	.A2(brqrv_top_brqrv_dec_i0_result_r[28]),
+	.B1(n_2308),
+	.B2(n_33434),
+	.C1(n_2304),
+	.X(n_33124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g851159 (
+	.A1(n_2308),
+	.A2(n_33417),
+	.B1(n_2281),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[11]),
+	.C1(n_2283),
+	.Y(n_2355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g851160 (
+	.A1(n_33153),
+	.A2(n_2036),
+	.B1(n_33184),
+	.B2(n_2038),
+	.C1(n_2320),
+	.Y(n_2354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g851161 (
+	.A1(brqrv_top_brqrv_dec_tlu_meihap[20]),
+	.A2(n_39131),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.B2(n_33562),
+	.C1(brqrv_top_brqrv_exu_n_906),
+	.C2(n_485),
+	.Y(n_2342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g851162 (
+	.A1(n_368),
+	.A2(n_2282),
+	.B1(n_2330),
+	.Y(n_2341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g851163 (
+	.A1(brqrv_top_brqrv_exu_n_897),
+	.A2(n_485),
+	.B1(n_39131),
+	.B2(brqrv_top_brqrv_dec_tlu_meihap[11]),
+	.C1(n_484),
+	.C2(n_33134),
+	.Y(n_2340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g851164 (
+	.A1(brqrv_top_brqrv_dec_tlu_meihap[27]),
+	.A2(n_39131),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.B2(n_33569),
+	.C1(brqrv_top_brqrv_exu_n_913),
+	.C2(n_485),
+	.Y(n_2339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g851165 (
+	.A1(brqrv_top_brqrv_dec_tlu_meihap[26]),
+	.A2(n_39131),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.B2(n_33568),
+	.C1(brqrv_top_brqrv_exu_n_912),
+	.C2(n_485),
+	.Y(n_2338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g851166 (
+	.A1(n_39131),
+	.A2(brqrv_top_brqrv_dec_tlu_meihap[21]),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.B2(n_33563),
+	.C1(n_2326),
+	.X(n_2337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g851167 (
+	.A1(n_2203),
+	.A2(n_484),
+	.B1(n_39131),
+	.B2(brqrv_top_brqrv_dec_tlu_meihap[28]),
+	.C1(brqrv_top_brqrv_exu_n_914),
+	.C2(n_485),
+	.Y(n_2336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g851168 (
+	.A1(brqrv_top_brqrv_dec_tlu_meihap[19]),
+	.A2(n_39131),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.B2(n_33561),
+	.C1(brqrv_top_brqrv_exu_n_905),
+	.C2(n_485),
+	.Y(n_2335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g851169 (
+	.A1(brqrv_top_brqrv_dec_tlu_meihap[18]),
+	.A2(n_39131),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.B2(n_33560),
+	.C1(brqrv_top_brqrv_exu_n_904),
+	.C2(n_485),
+	.Y(n_2334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g851170 (
+	.A1(n_39131),
+	.A2(brqrv_top_brqrv_dec_tlu_meihap[13]),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.B2(n_33555),
+	.C1(n_2327),
+	.X(n_2333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g851171 (
+	.A1(n_30884),
+	.A2(n_2312),
+	.B1(n_2244),
+	.B2(n_30706),
+	.Y(n_2332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g851172 (
+	.A1(n_30884),
+	.A2(n_2311),
+	.B1(n_2204),
+	.B2(n_30706),
+	.Y(n_2331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g851173 (
+	.A1(n_2281),
+	.A2(brqrv_top_brqrv_dec_i0_result_r[5]),
+	.B1(n_2308),
+	.B2(n_33412),
+	.C1(n_2177),
+	.Y(n_2353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g851174 (
+	.A1(n_2308),
+	.A2(n_33432),
+	.B1(n_2281),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[26]),
+	.C1(n_2301),
+	.X(n_33120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g851175 (
+	.A1(n_2281),
+	.A2(brqrv_top_brqrv_dec_i0_result_r[25]),
+	.B1(n_2308),
+	.B2(n_33431),
+	.C1(n_2095),
+	.Y(n_2352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g851176 (
+	.A1(n_2281),
+	.A2(brqrv_top_brqrv_dec_i0_result_r[24]),
+	.B1(n_2308),
+	.B2(n_33430),
+	.C1(n_2093),
+	.Y(n_2351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g851177 (
+	.A1(n_2281),
+	.A2(brqrv_top_brqrv_dec_i0_result_r[22]),
+	.B1(n_2308),
+	.B2(n_33428),
+	.C1(n_2092),
+	.Y(n_2350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g851178 (
+	.A1(n_2281),
+	.A2(brqrv_top_brqrv_dec_i0_result_r[21]),
+	.B1(n_2308),
+	.B2(n_33427),
+	.C1(n_2294),
+	.Y(n_2349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g851179 (
+	.A1(n_2281),
+	.A2(brqrv_top_brqrv_dec_i0_result_r[20]),
+	.B1(n_2308),
+	.B2(n_33426),
+	.C1(n_2293),
+	.Y(n_2348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g851180 (
+	.A1(n_2281),
+	.A2(brqrv_top_brqrv_dec_i0_result_r[30]),
+	.B1(n_2308),
+	.B2(n_33436),
+	.C1(n_2292),
+	.Y(n_2347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g851181 (
+	.A1(n_2308),
+	.A2(n_33425),
+	.B1(n_2281),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[19]),
+	.C1(n_2291),
+	.Y(n_2346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g851182 (
+	.A1(n_2281),
+	.A2(brqrv_top_brqrv_dec_i0_result_r[18]),
+	.B1(n_2308),
+	.B2(n_33424),
+	.C1(n_2290),
+	.Y(n_2345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g851183 (
+	.A1(n_2308),
+	.A2(n_33433),
+	.B1(n_2281),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[27]),
+	.C1(n_2302),
+	.Y(n_2344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g851184 (
+	.A(n_2307),
+	.B(n_2280),
+	.C(n_2039),
+	.D(n_2037),
+	.Y(n_2343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g851185 (
+	.A(n_2328),
+	.Y(n_2329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g851186 (
+	.A(n_30884),
+	.B_N(brqrv_top_brqrv_exu_n_899),
+	.Y(n_2327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g851187 (
+	.A(n_30884),
+	.B_N(brqrv_top_brqrv_exu_n_907),
+	.Y(n_2326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g851188 (
+	.A(n_2310),
+	.B(n_1821),
+	.Y(n_2325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g851189 (
+	.A_N(n_2313),
+	.B(n_32839),
+	.Y(n_32827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g851190 (
+	.A(n_2311),
+	.B(n_1908),
+	.C(n_31617),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g851191 (
+	.A(n_2282),
+	.B(n_368),
+	.Y(n_2330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g851192 (
+	.A_N(brqrv_top_brqrv_exu_n_914),
+	.B(n_1907),
+	.C(n_31618),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g851193 (
+	.A_N(brqrv_top_brqrv_exu_n_913),
+	.B(n_1905),
+	.C(n_31619),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g851194 (
+	.A_N(brqrv_top_brqrv_exu_n_912),
+	.B(n_1904),
+	.C(n_31620),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g851195 (
+	.A_N(brqrv_top_brqrv_exu_n_906),
+	.B(n_1897),
+	.C(n_31626),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g851196 (
+	.A_N(brqrv_top_brqrv_exu_n_905),
+	.B(n_1896),
+	.C(n_31627),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g851197 (
+	.A_N(brqrv_top_brqrv_exu_n_904),
+	.B(n_1895),
+	.C(n_31606),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g851198 (
+	.A1(n_1502),
+	.A2(n_2309),
+	.B1(n_1324),
+	.Y(n_2328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g851199 (
+	.A(n_2312),
+	.B(n_1909),
+	.C(n_31616),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g851200 (
+	.A1_N(n_30611),
+	.A2_N(n_2307),
+	.B1(brqrv_top_brqrv_dec_i0_result_r[7]),
+	.B2(n_2281),
+	.Y(n_2324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g851201 (
+	.A1(n_2281),
+	.A2(brqrv_top_brqrv_dec_i0_result_r[31]),
+	.B1(n_2308),
+	.B2(n_33437),
+	.X(n_2323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g851202 (
+	.A1(n_2281),
+	.A2(brqrv_top_brqrv_dec_i0_result_r[23]),
+	.B1(n_2308),
+	.B2(n_33429),
+	.X(n_2322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g851203 (
+	.A1(n_2281),
+	.A2(brqrv_top_brqrv_dec_i0_result_r[12]),
+	.B1(n_2308),
+	.B2(n_33418),
+	.X(n_2321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g851204 (
+	.A1(n_2281),
+	.A2(brqrv_top_brqrv_dec_i0_result_r[10]),
+	.B1(n_2308),
+	.B2(n_33416),
+	.X(n_2320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g851205 (
+	.A1(n_2281),
+	.A2(brqrv_top_brqrv_dec_i0_result_r[9]),
+	.B1(n_2308),
+	.B2(n_33415),
+	.X(n_2319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g851206 (
+	.A1(n_2281),
+	.A2(brqrv_top_brqrv_dec_i0_result_r[8]),
+	.B1(n_2308),
+	.B2(n_33414),
+	.X(n_2318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g851207 (
+	.A1(n_2281),
+	.A2(brqrv_top_brqrv_dec_i0_result_r[0]),
+	.B1(n_2308),
+	.B2(n_33407),
+	.X(n_2317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g851208 (
+	.A1(n_2281),
+	.A2(brqrv_top_brqrv_dec_i0_result_r[6]),
+	.B1(n_2308),
+	.B2(n_33413),
+	.X(n_2316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g851209 (
+	.A1(n_2281),
+	.A2(brqrv_top_brqrv_dec_i0_result_r[2]),
+	.B1(n_2308),
+	.B2(n_33409),
+	.X(n_2315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g851210 (
+	.A1(n_2281),
+	.A2(brqrv_top_brqrv_dec_i0_result_r[1]),
+	.B1(n_2308),
+	.B2(n_33408),
+	.X(n_2314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g851211 (
+	.A(n_1588),
+	.B(n_2309),
+	.Y(n_31465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g851212 (
+	.A_N(brqrv_top_brqrv_exu_n_907),
+	.B(n_1898),
+	.C(n_31625),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g851213 (
+	.A_N(brqrv_top_brqrv_exu_n_899),
+	.B(n_1890),
+	.C(n_31631),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g851214 (
+	.A_N(brqrv_top_brqrv_exu_n_897),
+	.B(n_1888),
+	.C(n_31633),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g851215 (
+	.A1(n_39358),
+	.A2(n_32840),
+	.B1_N(n_30529),
+	.Y(n_2313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g851217 (
+	.A(n_34729),
+	.B(n_34718),
+	.Y(n_32837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g851218 (
+	.A(n_34721),
+	.B(n_34732),
+	.X(n_32613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g851219 (
+	.A(n_34730),
+	.B(n_34719),
+	.Y(n_32836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g851220 (
+	.A(n_34719),
+	.B(n_34730),
+	.X(n_30526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g851221 (
+	.A(n_34732),
+	.B(n_34721),
+	.Y(n_32834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g851222 (
+	.A1(n_33170),
+	.A2(n_339),
+	.B1(n_19640),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[27]),
+	.C1(n_2298),
+	.X(brqrv_top_brqrv_exu_n_913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g851223 (
+	.A1(n_33169),
+	.A2(n_339),
+	.B1(n_19640),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[26]),
+	.C1(n_2297),
+	.X(brqrv_top_brqrv_exu_n_912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g851224 (
+	.A(n_34718),
+	.B(n_34729),
+	.X(n_30599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g851227 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[15]),
+	.B(brqrv_top_brqrv_dec_dec_csr_wrdata_r[30]),
+	.C(n_2057),
+	.D(n_2272),
+	.Y(n_2310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g851228 (
+	.A1(n_33162),
+	.A2(n_339),
+	.B1(n_19640),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[19]),
+	.C1(n_2295),
+	.X(brqrv_top_brqrv_exu_n_905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g851229 (
+	.A1(n_33161),
+	.A2(n_339),
+	.B1(n_19640),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[18]),
+	.C1(n_2288),
+	.X(brqrv_top_brqrv_exu_n_904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g851230 (
+	.A1(n_33154),
+	.A2(n_339),
+	.B1(n_19640),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[11]),
+	.C1(n_2287),
+	.X(brqrv_top_brqrv_exu_n_897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g851231 (
+	.A1(n_33173),
+	.A2(n_339),
+	.B1(n_19640),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[30]),
+	.C1(n_2300),
+	.Y(n_2312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g851232 (
+	.A1(n_33172),
+	.A2(n_339),
+	.B1(n_19640),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[29]),
+	.C1(n_2299),
+	.Y(n_2311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g851233 (
+	.A1(n_33163),
+	.A2(n_339),
+	.B1(n_19640),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[20]),
+	.C1(n_2289),
+	.X(brqrv_top_brqrv_exu_n_906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g851234 (
+	.A1(n_33171),
+	.A2(n_339),
+	.B1(n_19640),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[28]),
+	.C1(n_2284),
+	.X(brqrv_top_brqrv_exu_n_914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g851235 (
+	.A1(n_33164),
+	.A2(n_339),
+	.B1(n_19640),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[21]),
+	.C1(n_2296),
+	.X(brqrv_top_brqrv_exu_n_907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g851236 (
+	.A1(n_33156),
+	.A2(n_339),
+	.B1(n_19640),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[13]),
+	.C1(n_2286),
+	.X(brqrv_top_brqrv_exu_n_899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g851237 (
+	.A(n_2308),
+	.Y(n_2307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g851238 (
+	.A1(n_2039),
+	.A2(n_2274),
+	.B1(n_2037),
+	.B2(n_1492),
+	.Y(n_2306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g851239 (
+	.A1(n_2039),
+	.A2(n_2273),
+	.B1(n_2037),
+	.B2(n_1428),
+	.Y(n_2305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g851240 (
+	.A1_N(n_2038),
+	.A2_N(n_33202),
+	.B1(n_2037),
+	.B2(n_1424),
+	.Y(n_2304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g851241 (
+	.A1(n_2235),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[20]),
+	.B1(n_2282),
+	.Y(n_2303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g851242 (
+	.A(n_34727),
+	.B(n_34716),
+	.Y(n_32839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g851243 (
+	.A(n_34716),
+	.B(n_34727),
+	.X(n_30529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g851244 (
+	.A1(n_2269),
+	.A2(n_1505),
+	.B1(n_1325),
+	.Y(n_2309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g851245 (
+	.A_N(brqrv_top_brqrv_exu_n_894),
+	.B(n_1885),
+	.C(n_31636),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g851246 (
+	.A1(n_2245),
+	.A2(n_30706),
+	.B1(n_2078),
+	.B2(n_30885),
+	.C1(n_2258),
+	.Y(n_34729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g851247 (
+	.A_N(brqrv_top_brqrv_exu_n_911),
+	.B(n_1903),
+	.C(n_31621),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g851248 (
+	.A_N(brqrv_top_brqrv_exu_n_902),
+	.B(n_1893),
+	.C(n_31628),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g851249 (
+	.A1(n_2242),
+	.A2(n_30706),
+	.B1(n_705),
+	.C1(n_2254),
+	.Y(n_34730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g851250 (
+	.A_N(brqrv_top_brqrv_exu_n_892),
+	.B(n_1883),
+	.C(n_31638),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g851251 (
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.A2(n_32920),
+	.B1(n_338),
+	.B2(n_33182),
+	.C1(n_2277),
+	.X(n_34732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g851252 (
+	.A_N(brqrv_top_brqrv_exu_n_891),
+	.B(n_1915),
+	.C(n_31639),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g851253 (
+	.A1(n_2243),
+	.A2(n_30706),
+	.B1(n_679),
+	.C1(n_650),
+	.D1(n_1627),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g851254 (
+	.A1(n_2250),
+	.A2(n_30706),
+	.B1(n_1418),
+	.B2(n_30885),
+	.C1(n_2261),
+	.Y(n_34710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g851255 (
+	.A1(n_2246),
+	.A2(n_30706),
+	.B1(n_1877),
+	.B2(n_30885),
+	.C1(n_2206),
+	.Y(n_34701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g851256 (
+	.A_N(brqrv_top_brqrv_exu_n_917),
+	.B(n_1910),
+	.C(n_31615),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g851257 (
+	.A(n_32556),
+	.B(n_2278),
+	.Y(n_2308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g851258 (
+	.A1(n_2039),
+	.A2(n_2266),
+	.B1(n_2037),
+	.B2(n_1426),
+	.Y(n_2302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g851259 (
+	.A1(n_2039),
+	.A2(n_2268),
+	.B1(n_2037),
+	.B2(n_1433),
+	.Y(n_2301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g851260 (
+	.A1(n_33204),
+	.A2(n_340),
+	.B1(n_483),
+	.B2(n_33436),
+	.X(n_2300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g851261 (
+	.A1_N(n_33435),
+	.A2_N(n_483),
+	.B1(n_30887),
+	.B2(n_2274),
+	.Y(n_2299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g851262 (
+	.A1(n_30887),
+	.A2(n_2266),
+	.B1(n_2169),
+	.B2(n_30709),
+	.Y(n_2298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g851263 (
+	.A1_N(n_33432),
+	.A2_N(n_483),
+	.B1(n_30887),
+	.B2(n_2268),
+	.Y(n_2297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g851264 (
+	.A1(n_33195),
+	.A2(n_340),
+	.B1(n_483),
+	.B2(n_33427),
+	.X(n_2296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g851265 (
+	.A1(n_30887),
+	.A2(n_2262),
+	.B1(n_1941),
+	.B2(n_30709),
+	.Y(n_2295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g851266 (
+	.A1(n_2039),
+	.A2(n_2264),
+	.B1(n_2037),
+	.B2(n_1429),
+	.Y(n_2294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g851267 (
+	.A1(n_2039),
+	.A2(n_2267),
+	.B1(n_2037),
+	.B2(n_1432),
+	.Y(n_2293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g851268 (
+	.A1(n_2039),
+	.A2(n_2265),
+	.B1(n_2037),
+	.B2(n_1434),
+	.Y(n_2292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g851269 (
+	.A1(n_2039),
+	.A2(n_2262),
+	.B1(n_2037),
+	.B2(n_1435),
+	.Y(n_2291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g851270 (
+	.A1(n_2039),
+	.A2(n_2263),
+	.B1(n_2037),
+	.B2(n_1427),
+	.Y(n_2290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g851271 (
+	.A1_N(n_33426),
+	.A2_N(n_483),
+	.B1(n_30887),
+	.B2(n_2267),
+	.Y(n_2289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g851272 (
+	.A1_N(n_33424),
+	.A2_N(n_483),
+	.B1(n_30887),
+	.B2(n_2263),
+	.Y(n_2288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g851273 (
+	.A1(n_33185),
+	.A2(n_340),
+	.B1(n_483),
+	.B2(n_33417),
+	.X(n_2287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g851274 (
+	.A1_N(n_33419),
+	.A2_N(n_483),
+	.B1(n_30887),
+	.B2(n_2273),
+	.Y(n_2286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g851275 (
+	.A1(n_338),
+	.A2(n_33185),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.B2(n_32923),
+	.Y(n_2285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g851276 (
+	.A1(n_33202),
+	.A2(n_340),
+	.B1(n_483),
+	.B2(n_33434),
+	.X(n_2284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g851277 (
+	.A1(n_2039),
+	.A2(n_2275),
+	.B1(n_2037),
+	.B2(n_1422),
+	.Y(n_2283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g851278 (
+	.A(n_1584),
+	.B(n_2269),
+	.X(n_31464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g851279 (
+	.A(n_2280),
+	.Y(n_2281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g851280 (
+	.A(n_32620),
+	.B(n_31224),
+	.X(n_31007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g851281 (
+	.A(n_31224),
+	.B_N(n_33205),
+	.Y(n_361904_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g851282 (
+	.A(n_31224),
+	.B_N(n_33178),
+	.Y(n_361943_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g851283 (
+	.A(n_31224),
+	.B_N(n_33181),
+	.Y(n_321287_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g851284 (
+	.A(n_31224),
+	.B_N(n_33186),
+	.Y(n_361864_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g851285 (
+	.A(n_31224),
+	.B_N(n_33182),
+	.Y(n_361880_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g851286 (
+	.A(n_31224),
+	.B_N(n_33184),
+	.Y(n_361872_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g851287 (
+	.A(n_31224),
+	.B_N(n_33197),
+	.Y(n_361934_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g851288 (
+	.A(n_31224),
+	.B_N(n_33208),
+	.Y(n_361949_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g851289 (
+	.A(n_31224),
+	.B(n_1418),
+	.Y(n_361888_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g851290 (
+	.A(n_2275),
+	.B(n_31224),
+	.Y(n_361868_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g851291 (
+	.A(n_2078),
+	.B(n_31224),
+	.Y(n_321305_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g851292 (
+	.A(n_2273),
+	.B(n_31224),
+	.Y(n_361860_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g851293 (
+	.A(n_1879),
+	.B(n_31224),
+	.Y(n_361856_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g851294 (
+	.A(n_1318),
+	.B(n_31224),
+	.Y(n_361852_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g851295 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[20]),
+	.B(n_2235),
+	.Y(n_2282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g851296 (
+	.A(n_2270),
+	.B(n_2143),
+	.Y(n_2280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g851298 (
+	.A(n_31224),
+	.B(n_1420),
+	.Y(n_321215_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g851299 (
+	.A(n_31224),
+	.B(n_2264),
+	.Y(n_321197_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g851300 (
+	.A(n_1877),
+	.B(n_31224),
+	.Y(n_361916_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g851301 (
+	.A(n_1878),
+	.B(n_31224),
+	.Y(n_361920_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g851302 (
+	.A(n_2262),
+	.B(n_31224),
+	.Y(n_361928_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g851303 (
+	.A(n_2267),
+	.B(n_31224),
+	.Y(n_361932_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g851304 (
+	.A(n_338),
+	.B(n_33202),
+	.Y(n_2279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g851306 (
+	.A(n_31224),
+	.B(n_1419),
+	.Y(n_361884_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g851307 (
+	.A(n_2263),
+	.B(n_31224),
+	.Y(n_361924_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g851308 (
+	.A(n_31224),
+	.B(n_2265),
+	.Y(n_361900_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g851309 (
+	.A(n_31224),
+	.B(n_2266),
+	.Y(n_361896_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g851310 (
+	.A(n_31224),
+	.B(n_2274),
+	.Y(n_361908_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111o_1 g851311 (
+	.A1(n_532),
+	.A2(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[1]),
+	.B1(n_806),
+	.C1(n_1815),
+	.D1(n_2270),
+	.X(n_2278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g851312 (
+	.A1_N(brqrv_top_brqrv_exu_n_894),
+	.A2_N(n_485),
+	.B1(n_2257),
+	.B2(n_30706),
+	.Y(n_2277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g851313 (
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.A2(n_33553),
+	.B1(n_338),
+	.B2(n_33178),
+	.C1(n_2259),
+	.X(n_34727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g851314 (
+	.A1(n_338),
+	.A2(n_33198),
+	.B1(n_484),
+	.B2(n_33143),
+	.C1(n_2271),
+	.X(n_34709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g851315 (
+	.A(n_32832),
+	.Y(n_2276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g851316 (
+	.A(n_33185),
+	.Y(n_2275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g851317 (
+	.A(n_2274),
+	.Y(n_33203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g851318 (
+	.A(n_33187),
+	.Y(n_2273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a311o_1 g851319 (
+	.A1(n_2059),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[4]),
+	.A3(brqrv_top_brqrv_dec_dec_csr_wrdata_r[5]),
+	.B1(n_32215),
+	.C1(n_2209),
+	.X(n_2272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g851320 (
+	.A(n_34723),
+	.B(n_34734),
+	.X(n_30623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g851321 (
+	.A(n_2243),
+	.B(n_30707),
+	.X(n_31615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g851322 (
+	.A(n_2244),
+	.B(n_30707),
+	.X(n_31616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g851323 (
+	.A(n_2247),
+	.B(n_30707),
+	.X(n_31619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g851324 (
+	.A(n_2251),
+	.B(n_30707),
+	.X(n_31620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g851325 (
+	.A(n_2250),
+	.B(n_30707),
+	.X(n_31621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g851326 (
+	.A(n_2249),
+	.B(n_30707),
+	.X(n_31626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g851327 (
+	.A(n_2248),
+	.B(n_30707),
+	.X(n_31627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g851328 (
+	.A(n_34734),
+	.B(n_34723),
+	.Y(n_32832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g851329 (
+	.A(n_2246),
+	.B(n_30707),
+	.X(n_31628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g851330 (
+	.A(n_2257),
+	.B(n_30707),
+	.X(n_31636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g851331 (
+	.A(n_2242),
+	.B(n_30707),
+	.X(n_31638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g851332 (
+	.A(n_2245),
+	.B(n_30707),
+	.X(n_31639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g851333 (
+	.A(n_2252),
+	.B(n_30707),
+	.X(n_31606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g851334 (
+	.A_N(n_2237),
+	.B(n_30658),
+	.Y(n_33185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g851335 (
+	.A(n_2239),
+	.B(n_30570),
+	.Y(n_2274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g851336 (
+	.A1(n_30661),
+	.A2(n_2198),
+	.B1(n_30662),
+	.B2(n_452),
+	.C1(n_30658),
+	.Y(n_33187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g851337 (
+	.A(n_2260),
+	.Y(n_2271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g851338 (
+	.A(n_2268),
+	.Y(n_33200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g851339 (
+	.A(n_2267),
+	.Y(n_33194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g851340 (
+	.A(n_2266),
+	.Y(n_33201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g851341 (
+	.A(n_2265),
+	.Y(n_33204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g851342 (
+	.A(n_2264),
+	.Y(n_33195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g851343 (
+	.A(n_2263),
+	.Y(n_33192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g851344 (
+	.A(n_2262),
+	.Y(n_33193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g851345 (
+	.A1(brqrv_top_brqrv_dec_tlu_meihap[25]),
+	.A2(n_39131),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.B2(n_33567),
+	.C1(brqrv_top_brqrv_exu_n_911),
+	.C2(n_485),
+	.Y(n_2261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g851346 (
+	.A1(n_2232),
+	.A2(n_33354),
+	.B1_N(n_32778),
+	.Y(n_31594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g851347 (
+	.A1(brqrv_top_brqrv_dec_tlu_meihap[24]),
+	.A2(n_39131),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.B2(n_33566),
+	.C1(brqrv_top_brqrv_exu_n_910),
+	.C2(n_485),
+	.Y(n_2260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g851348 (
+	.A1(n_39131),
+	.A2(brqrv_top_brqrv_dec_tlu_meihap[3]),
+	.B1(n_484),
+	.B2(n_33129),
+	.C1(n_2255),
+	.X(n_2259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g851349 (
+	.A1(n_32917),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.B1(n_39131),
+	.B2(brqrv_top_brqrv_dec_tlu_meihap[5]),
+	.C1(n_485),
+	.C2(brqrv_top_brqrv_exu_n_891),
+	.Y(n_2258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g688218 (
+	.A(n_1589),
+	.B(n_2234),
+	.Y(n_32509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g688219 (
+	.A(n_2236),
+	.B(n_2181),
+	.C(n_2037),
+	.Y(n_2270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g688220 (
+	.A(n_1583),
+	.B(n_2233),
+	.Y(n_31463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g688221 (
+	.A1(n_1506),
+	.A2(n_2234),
+	.B1(n_1326),
+	.Y(n_2269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688222 (
+	.A1(brqrv_top_brqrv_lsu_lsu_ld_data_m[26]),
+	.A2(n_500),
+	.B1(brqrv_top_brqrv_lsu_bus_read_data_m[26]),
+	.B2(n_348),
+	.C1(n_30570),
+	.Y(n_2268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688223 (
+	.A1(brqrv_top_brqrv_lsu_lsu_ld_data_m[28]),
+	.A2(n_500),
+	.B1(brqrv_top_brqrv_lsu_bus_read_data_m[28]),
+	.B2(n_348),
+	.C1(n_30570),
+	.X(n_33202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688224 (
+	.A1(brqrv_top_brqrv_lsu_lsu_ld_data_m[20]),
+	.A2(n_500),
+	.B1(brqrv_top_brqrv_lsu_bus_read_data_m[20]),
+	.B2(n_348),
+	.C1(n_30570),
+	.Y(n_2267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688225 (
+	.A(n_2240),
+	.B(n_30570),
+	.Y(n_2266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688226 (
+	.A(n_2238),
+	.B(n_30570),
+	.Y(n_2265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688227 (
+	.A1(brqrv_top_brqrv_lsu_lsu_ld_data_m[21]),
+	.A2(n_500),
+	.B1(brqrv_top_brqrv_lsu_bus_read_data_m[21]),
+	.B2(n_348),
+	.C1(n_30570),
+	.Y(n_2264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688228 (
+	.A(n_2241),
+	.B(n_30570),
+	.Y(n_2263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688229 (
+	.A1(brqrv_top_brqrv_lsu_lsu_ld_data_m[19]),
+	.A2(n_500),
+	.B1(brqrv_top_brqrv_lsu_bus_read_data_m[19]),
+	.B2(n_348),
+	.C1(n_30570),
+	.Y(n_2262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g688230 (
+	.A(n_30688),
+	.B(n_1988),
+	.C(n_2236),
+	.D(n_32542),
+	.X(n_31224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688231 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_10),
+	.Y(n_34702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688232 (
+	.A1(n_31129),
+	.A2(n_2137),
+	.B1(n_546),
+	.B2(n_32330),
+	.C1(n_32153),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[53]_1653 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g688233 (
+	.A(n_30884),
+	.B_N(brqrv_top_brqrv_exu_n_889),
+	.Y(n_2255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g688234 (
+	.A1(n_32918),
+	.A2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.B1(n_39131),
+	.B2(brqrv_top_brqrv_dec_tlu_meihap[6]),
+	.C1(n_485),
+	.C2(brqrv_top_brqrv_exu_n_892),
+	.Y(n_2254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688235 (
+	.A1(n_31129),
+	.A2(n_2134),
+	.B1(n_405),
+	.B2(n_32330),
+	.C1(n_31017),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[54]_1654 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688237 (
+	.A1(n_31129),
+	.A2(n_2133),
+	.B1(n_557),
+	.B2(n_32330),
+	.C1(n_32152),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[52]_1652 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688238 (
+	.A1(n_31129),
+	.A2(n_2142),
+	.B1(n_412),
+	.B2(n_32330),
+	.C1(n_32150),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[50]_1650 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g688239 (
+	.A1(n_527),
+	.A2(n_2086),
+	.B1(n_2235),
+	.Y(n_2253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688240 (
+	.A1(n_352),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[648]),
+	.B1(n_493),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[712]),
+	.C1(n_2193),
+	.Y(n_2257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688241 (
+	.A(n_34728),
+	.B(n_34717),
+	.Y(n_32838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g688242 (
+	.A(n_34717),
+	.B(n_34728),
+	.X(n_30527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g688243 (
+	.A_N(brqrv_top_brqrv_exu_n_889),
+	.B(n_1921),
+	.C(n_31640),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688244 (
+	.A1(n_338),
+	.A2(n_33188),
+	.B1(n_484),
+	.B2(n_33137),
+	.C1(n_2230),
+	.X(n_34699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688245 (
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.A2(n_32922),
+	.B1(n_338),
+	.B2(n_33184),
+	.C1(n_2208),
+	.X(n_34734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g688246 (
+	.A_N(brqrv_top_brqrv_exu_n_910),
+	.B(n_1902),
+	.C(n_31622),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688247 (
+	.A1(n_338),
+	.A2(n_33191),
+	.B1(n_484),
+	.B2(n_33139),
+	.C1(n_2231),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688248 (
+	.A1(brqrv_top_brqrv_lsu_lsu_ld_data_m[18]),
+	.A2(n_500),
+	.B1(brqrv_top_brqrv_lsu_bus_read_data_m[18]),
+	.B2(n_348),
+	.X(n_2241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688249 (
+	.A1(brqrv_top_brqrv_lsu_lsu_ld_data_m[27]),
+	.A2(n_500),
+	.B1(brqrv_top_brqrv_lsu_bus_read_data_m[27]),
+	.B2(n_348),
+	.X(n_2240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688250 (
+	.A1(brqrv_top_brqrv_lsu_lsu_ld_data_m[29]),
+	.A2(n_500),
+	.B1(brqrv_top_brqrv_lsu_bus_read_data_m[29]),
+	.B2(n_348),
+	.X(n_2239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688251 (
+	.A1(brqrv_top_brqrv_lsu_lsu_ld_data_m[30]),
+	.A2(n_500),
+	.B1(brqrv_top_brqrv_lsu_bus_read_data_m[30]),
+	.B2(n_348),
+	.X(n_2238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688252 (
+	.A1(n_30661),
+	.A2(n_2196),
+	.B1(n_30662),
+	.B2(n_1783),
+	.Y(n_2237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688253 (
+	.A1(n_347),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[818]),
+	.B1(n_504),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[882]),
+	.C1(n_2184),
+	.Y(n_2252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688254 (
+	.A1(n_508),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[538]),
+	.B1(n_505),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[602]),
+	.C1(n_2195),
+	.Y(n_2251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688255 (
+	.A1(n_350),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[569]),
+	.B1(n_507),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[505]),
+	.C1(n_2187),
+	.Y(n_2250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688256 (
+	.A1(n_347),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[820]),
+	.B1(n_504),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[884]),
+	.C1(n_2186),
+	.Y(n_2249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688257 (
+	.A1(n_498),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[915]),
+	.B1(n_354),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[755]),
+	.C1(n_2185),
+	.Y(n_2248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688258 (
+	.A1(n_360),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[283]),
+	.B1(n_501),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[347]),
+	.C1(n_2189),
+	.Y(n_2247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688259 (
+	.A1(n_350),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[560]),
+	.B1(n_507),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[496]),
+	.C1(n_2183),
+	.Y(n_2246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688260 (
+	.A1(n_356),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[293]),
+	.B1(n_353),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[357]),
+	.C1(n_2182),
+	.Y(n_2245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688261 (
+	.A1(n_501),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[350]),
+	.B1(n_495),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[414]),
+	.C1(n_2190),
+	.Y(n_2244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688262 (
+	.A1(n_501),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[351]),
+	.B1(n_360),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[287]),
+	.C1(n_2191),
+	.Y(n_2243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688263 (
+	.A1(n_356),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[294]),
+	.B1(n_353),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[358]),
+	.C1(n_2192),
+	.Y(n_2242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g688264 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[3]),
+	.B(n_1321),
+	.CIN(n_2087),
+	.COUT(n_2233),
+	.SUM(n_32519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688265 (
+	.A1(n_31129),
+	.A2(n_2140),
+	.B1(n_556),
+	.B2(n_32330),
+	.C1(n_32141),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[44]_1644 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688266 (
+	.A1(n_31129),
+	.A2(n_2076),
+	.B1(n_561),
+	.B2(n_32330),
+	.C1(n_32136),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[59]_1659 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688267 (
+	.A1(n_31129),
+	.A2(n_2082),
+	.B1(n_413),
+	.B2(n_32330),
+	.C1(n_31009),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[57]_1657 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688268 (
+	.A(n_2194),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_miss_pending),
+	.Y(n_32596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688269 (
+	.A1(n_31129),
+	.A2(n_2141),
+	.B1(n_409),
+	.B2(n_32330),
+	.C1(n_32142),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[45]_1645 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688270 (
+	.A(n_2176),
+	.B(n_32138),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[63]_1663 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688271 (
+	.A(n_2175),
+	.B(n_32137),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[62]_1662 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688272 (
+	.A(n_2174),
+	.B(n_32075),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[61]_1661 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688273 (
+	.A(n_2173),
+	.B(n_32135),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[58]_1658 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688274 (
+	.A(n_2172),
+	.B(n_32134),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[56]_1656 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688275 (
+	.A1(n_32349),
+	.A2(n_2135),
+	.B1(n_553),
+	.B2(n_31091),
+	.C1(n_31987),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688276 (
+	.A1(n_32349),
+	.A2(n_2136),
+	.B1(n_562),
+	.B2(n_31091),
+	.C1(n_31989),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688277 (
+	.A1(n_32349),
+	.A2(n_2138),
+	.B1(n_401),
+	.B2(n_31091),
+	.C1(n_31970),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g688278 (
+	.A1(n_387),
+	.A2(n_31762),
+	.B1(n_1481),
+	.C1(n_1868),
+	.D1(n_2160),
+	.Y(n_2232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688279 (
+	.A1(n_32349),
+	.A2(n_2139),
+	.B1(n_403),
+	.B2(n_31091),
+	.C1(n_31971),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688280 (
+	.A1(n_32349),
+	.A2(n_2140),
+	.B1(n_556),
+	.B2(n_31091),
+	.C1(n_31972),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688281 (
+	.A1(n_32349),
+	.A2(n_2134),
+	.B1(n_405),
+	.B2(n_31091),
+	.C1(n_31998),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688282 (
+	.A1(n_32349),
+	.A2(n_2137),
+	.B1(n_546),
+	.B2(n_31091),
+	.C1(n_31997),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688283 (
+	.A1(n_32349),
+	.A2(n_2133),
+	.B1(n_557),
+	.B2(n_31091),
+	.C1(n_31996),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688284 (
+	.A1(n_32349),
+	.A2(n_2132),
+	.B1(n_554),
+	.B2(n_31091),
+	.C1(n_31995),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688285 (
+	.A1(n_32349),
+	.A2(n_2142),
+	.B1(n_412),
+	.B2(n_31091),
+	.C1(n_31994),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688286 (
+	.A1(n_31125),
+	.A2(n_2134),
+	.B1(n_405),
+	.B2(n_31095),
+	.C1(n_32073),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[54]_1522 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688287 (
+	.A1(n_31125),
+	.A2(n_2137),
+	.B1(n_546),
+	.B2(n_31095),
+	.C1(n_32072),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[53]_1521 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688288 (
+	.A1(n_31125),
+	.A2(n_2133),
+	.B1(n_557),
+	.B2(n_31095),
+	.C1(n_32071),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[52]_1520 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688289 (
+	.A1(n_31125),
+	.A2(n_2132),
+	.B1(n_554),
+	.B2(n_31095),
+	.C1(n_32070),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[51]_1519 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688290 (
+	.A1(n_31125),
+	.A2(n_2142),
+	.B1(n_412),
+	.B2(n_31095),
+	.C1(n_32069),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[50]_1518 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688291 (
+	.A1(n_32347),
+	.A2(n_2134),
+	.B1(n_405),
+	.B2(n_31093),
+	.C1(n_32014),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[54]_1588 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688292 (
+	.A1(n_32347),
+	.A2(n_2137),
+	.B1(n_546),
+	.B2(n_31093),
+	.C1(n_32013),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[53]_1587 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688293 (
+	.A1(n_32347),
+	.A2(n_2133),
+	.B1(n_557),
+	.B2(n_31093),
+	.C1(n_32012),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[52]_1586 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688294 (
+	.A1(n_32347),
+	.A2(n_2132),
+	.B1(n_554),
+	.B2(n_31093),
+	.C1(n_32011),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[51]_1585 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688295 (
+	.A1(n_32347),
+	.A2(n_2142),
+	.B1(n_412),
+	.B2(n_31093),
+	.C1(n_32010),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[50]_1584 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688296 (
+	.A1(n_31127),
+	.A2(n_2134),
+	.B1(n_405),
+	.B2(n_31089),
+	.C1(n_32058),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[54]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688297 (
+	.A1(n_31127),
+	.A2(n_2137),
+	.B1(n_546),
+	.B2(n_31089),
+	.C1(n_32057),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[53]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688298 (
+	.A1(n_31127),
+	.A2(n_2133),
+	.B1(n_557),
+	.B2(n_31089),
+	.C1(n_32056),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[52]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688299 (
+	.A1(n_31127),
+	.A2(n_2132),
+	.B1(n_554),
+	.B2(n_31089),
+	.C1(n_32055),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[51]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688300 (
+	.A1(n_31127),
+	.A2(n_2142),
+	.B1(n_412),
+	.B2(n_31089),
+	.C1(n_32054),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[50]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688301 (
+	.A1(n_31129),
+	.A2(n_2132),
+	.B1(n_554),
+	.B2(n_32330),
+	.C1(n_32151),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[51]_1651 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688302 (
+	.A1(n_32349),
+	.A2(n_2141),
+	.B1(n_409),
+	.B2(n_31091),
+	.C1(n_31973),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g688303 (
+	.A1(n_2143),
+	.A2(brqrv_top_brqrv_dec_decode_i0_r_c[1]),
+	.B1(n_2038),
+	.Y(n_2236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688304 (
+	.A(n_468),
+	.B(n_2203),
+	.Y(n_31618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g688305 (
+	.A(n_2204),
+	.B(n_30707),
+	.X(n_31617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688306 (
+	.A(n_2086),
+	.B(n_527),
+	.Y(n_2235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688307 (
+	.A1(n_33146),
+	.A2(n_339),
+	.B1(n_19640),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[3]),
+	.C1(n_2178),
+	.X(brqrv_top_brqrv_exu_n_889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688308 (
+	.A1(n_33167),
+	.A2(n_339),
+	.B1(n_19640),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[24]),
+	.C1(n_2170),
+	.X(brqrv_top_brqrv_exu_n_910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688309 (
+	.A1(n_33168),
+	.A2(n_339),
+	.B1(n_19640),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[25]),
+	.C1(n_2171),
+	.X(brqrv_top_brqrv_exu_n_911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688310 (
+	.A1(n_1759),
+	.A2(n_2087),
+	.B1(n_1582),
+	.B2(brqrv_top_brqrv_ifu_i0_pc[3]),
+	.C1(n_1512),
+	.Y(n_2234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688311 (
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.A2(n_32916),
+	.B1(n_338),
+	.B2(n_33179),
+	.C1(n_2179),
+	.X(n_34728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688312 (
+	.A1(n_33180),
+	.A2(n_340),
+	.B1(n_483),
+	.B2(n_33412),
+	.C1(n_1629),
+	.X(brqrv_top_brqrv_exu_n_891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g688313 (
+	.A(n_2207),
+	.Y(n_2231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g688314 (
+	.A(n_2205),
+	.Y(n_2230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g688315 (
+	.A(n_2215),
+	.Y(n_2216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688316 (
+	.A1(n_31129),
+	.A2(n_2139),
+	.B1(n_403),
+	.B2(n_32330),
+	.C1(n_32140),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[43]_1643 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688317 (
+	.A1(n_31129),
+	.A2(n_2138),
+	.B1(n_401),
+	.B2(n_32330),
+	.C1(n_32139),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[42]_1642 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688318 (
+	.A1(n_31129),
+	.A2(n_2136),
+	.B1(n_562),
+	.B2(n_32330),
+	.C1(n_32147),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[37]_1637 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688319 (
+	.A1(n_31129),
+	.A2(n_2135),
+	.B1(n_553),
+	.B2(n_32330),
+	.C1(n_32145),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[35]_1635 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688320 (
+	.A1(n_31125),
+	.A2(n_2141),
+	.B1(n_409),
+	.B2(n_31095),
+	.C1(n_32041),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[45]_1513 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688321 (
+	.A1(n_31125),
+	.A2(n_2140),
+	.B1(n_556),
+	.B2(n_31095),
+	.C1(n_32040),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[44]_1512 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688322 (
+	.A1(n_31125),
+	.A2(n_2139),
+	.B1(n_403),
+	.B2(n_31095),
+	.C1(n_32039),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[43]_1511 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688323 (
+	.A1(n_31125),
+	.A2(n_2138),
+	.B1(n_401),
+	.B2(n_31095),
+	.C1(n_32038),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[42]_1510 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688324 (
+	.A1(n_31125),
+	.A2(n_2136),
+	.B1(n_562),
+	.B2(n_31095),
+	.C1(n_32064),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[37]_1505 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688325 (
+	.A1(n_31125),
+	.A2(n_2135),
+	.B1(n_553),
+	.B2(n_31095),
+	.C1(n_32062),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[35]_1503 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688326 (
+	.A1(n_32347),
+	.A2(n_2141),
+	.B1(n_409),
+	.B2(n_31093),
+	.C1(n_31981),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[45]_1579 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688327 (
+	.A1(n_32347),
+	.A2(n_2140),
+	.B1(n_556),
+	.B2(n_31093),
+	.C1(n_31980),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[44]_1578 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688328 (
+	.A1(n_32347),
+	.A2(n_2139),
+	.B1(n_403),
+	.B2(n_31093),
+	.C1(n_31979),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[43]_1577 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688329 (
+	.A1(n_32347),
+	.A2(n_2138),
+	.B1(n_401),
+	.B2(n_31093),
+	.C1(n_31978),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[42]_1576 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688330 (
+	.A1(n_32347),
+	.A2(n_2136),
+	.B1(n_562),
+	.B2(n_31093),
+	.C1(n_32005),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[37]_1571 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688331 (
+	.A1(n_32347),
+	.A2(n_2135),
+	.B1(n_553),
+	.B2(n_31093),
+	.C1(n_32003),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[35]_1569 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688332 (
+	.A1(n_31127),
+	.A2(n_2141),
+	.B1(n_409),
+	.B2(n_31089),
+	.C1(n_32033),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[45]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688333 (
+	.A1(n_31127),
+	.A2(n_2140),
+	.B1(n_556),
+	.B2(n_31089),
+	.C1(n_32032),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[44]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688334 (
+	.A1(n_31127),
+	.A2(n_2139),
+	.B1(n_403),
+	.B2(n_31089),
+	.C1(n_32031),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[43]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688335 (
+	.A1(n_31127),
+	.A2(n_2138),
+	.B1(n_401),
+	.B2(n_31089),
+	.C1(n_32030),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[42]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688336 (
+	.A1(n_31127),
+	.A2(n_2136),
+	.B1(n_562),
+	.B2(n_31089),
+	.C1(n_32049),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[37]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688337 (
+	.A1(n_31127),
+	.A2(n_2135),
+	.B1(n_553),
+	.B2(n_31089),
+	.C1(n_32047),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[35]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g688338 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[1]),
+	.A2(n_2029),
+	.A3(n_2055),
+	.B1(n_1302),
+	.B2(n_2161),
+	.Y(n_2209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688339 (
+	.A1(n_39131),
+	.A2(brqrv_top_brqrv_dec_tlu_meihap[10]),
+	.B1(n_484),
+	.B2(n_33133),
+	.C1(n_2188),
+	.X(n_2208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g688340 (
+	.A1(brqrv_top_brqrv_dec_tlu_meihap[17]),
+	.A2(n_39131),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.B2(n_33559),
+	.C1(brqrv_top_brqrv_exu_n_903),
+	.C2(n_485),
+	.Y(n_2207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g688341 (
+	.A1(brqrv_top_brqrv_dec_tlu_meihap[16]),
+	.A2(n_39131),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.B2(n_33558),
+	.C1(brqrv_top_brqrv_exu_n_902),
+	.C2(n_485),
+	.Y(n_2206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g688342 (
+	.A1(brqrv_top_brqrv_exu_n_900),
+	.A2(n_485),
+	.B1(n_39131),
+	.B2(brqrv_top_brqrv_dec_tlu_meihap[14]),
+	.C1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.C2(n_33556),
+	.Y(n_2205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688343 (
+	.A1(n_39163),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[306]),
+	.B1(n_481),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[242]),
+	.C1(n_2157),
+	.Y(n_2229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688344 (
+	.A1(n_321),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[687]),
+	.B1(n_329),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[591]),
+	.C1(n_2154),
+	.Y(n_2228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688345 (
+	.A1(n_39162),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[733]),
+	.B1(n_39161),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[541]),
+	.C1(n_2152),
+	.Y(n_2227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688346 (
+	.A1(n_321),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[686]),
+	.B1(n_329),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[590]),
+	.C1(n_2151),
+	.Y(n_2226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688347 (
+	.A1(n_321),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[685]),
+	.B1(n_479),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[493]),
+	.C1(n_2150),
+	.Y(n_2225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688348 (
+	.A1(n_321),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[677]),
+	.B1(n_330),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[613]),
+	.C1(n_2153),
+	.Y(n_2224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688349 (
+	.A1(n_321),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[684]),
+	.B1(n_329),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[588]),
+	.C1(n_2149),
+	.Y(n_2223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688350 (
+	.A1(n_321),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[683]),
+	.B1(n_329),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[587]),
+	.C1(n_2148),
+	.Y(n_2222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688351 (
+	.A1(n_333),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[330]),
+	.B1(n_317),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[426]),
+	.C1(n_2147),
+	.Y(n_2221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688352 (
+	.A1(n_333),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[328]),
+	.B1(n_317),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[424]),
+	.C1(n_2146),
+	.Y(n_2220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688353 (
+	.A1(n_321),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[678]),
+	.B1(n_329),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[582]),
+	.C1(n_2145),
+	.Y(n_2219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688354 (
+	.A1(n_330),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[611]),
+	.B1(n_321),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[675]),
+	.C1(n_2144),
+	.X(n_2218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688355 (
+	.A1(n_334),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[283]),
+	.B1(n_323),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[475]),
+	.C1(n_2158),
+	.Y(n_2217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688356 (
+	.A1(n_317),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[418]),
+	.B1(n_481),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[226]),
+	.C1(n_2159),
+	.X(n_33093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688357 (
+	.A1(n_331),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[641]),
+	.B1(n_479),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[481]),
+	.C1(n_2162),
+	.X(n_33091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688358 (
+	.A1(n_325),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[384]),
+	.B1(n_333),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[320]),
+	.C1(n_2163),
+	.Y(n_2215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688359 (
+	.A1(n_481),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[249]),
+	.B1(n_317),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[441]),
+	.C1(n_2130),
+	.Y(n_2214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688360 (
+	.A1(n_321),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[695]),
+	.B1(n_479),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[503]),
+	.C1(n_2123),
+	.Y(n_2213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688361 (
+	.A1(n_39163),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[309]),
+	.B1(n_481),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[245]),
+	.C1(n_2120),
+	.Y(n_2212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688362 (
+	.A1(n_481),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[243]),
+	.B1(n_325),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[403]),
+	.C1(n_2118),
+	.Y(n_2211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688363 (
+	.A1(n_330),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[625]),
+	.B1(n_327),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[561]),
+	.C1(n_2156),
+	.Y(n_2210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g688364 (
+	.A(n_2198),
+	.Y(brqrv_top_brqrv_lsu_lsu_ld_data_m[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g688365 (
+	.A(n_2196),
+	.Y(brqrv_top_brqrv_lsu_lsu_ld_data_m[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688366 (
+	.A1(n_351),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[218]),
+	.B1(n_509),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[154]),
+	.C1(n_2129),
+	.X(n_2195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688367 (
+	.A(n_31597),
+	.B(n_31595),
+	.Y(n_2194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688368 (
+	.A1(n_351),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[200]),
+	.B1(n_349),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[104]),
+	.C1(n_2155),
+	.X(n_2193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688369 (
+	.A1(n_509),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[134]),
+	.B1(n_349),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[102]),
+	.C1(n_2166),
+	.X(n_2192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688370 (
+	.A1(n_351),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[223]),
+	.B1(n_509),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[159]),
+	.C1(n_2165),
+	.X(n_2191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688371 (
+	.A1(n_509),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[158]),
+	.B1(n_349),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[126]),
+	.C1(n_2168),
+	.X(n_2190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688372 (
+	.A1(n_509),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[155]),
+	.B1(n_349),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[123]),
+	.C1(n_2103),
+	.X(n_2189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g688373 (
+	.A(n_30884),
+	.B_N(brqrv_top_brqrv_exu_n_896),
+	.Y(n_2188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688374 (
+	.A1(n_351),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[217]),
+	.B1(n_509),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[153]),
+	.C1(n_2128),
+	.X(n_2187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688375 (
+	.A1(n_509),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[148]),
+	.B1(n_349),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[116]),
+	.C1(n_2127),
+	.X(n_2186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688376 (
+	.A1(n_357),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[947]),
+	.B1(n_504),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[883]),
+	.C1(n_2126),
+	.X(n_2185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688377 (
+	.A1(n_351),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[210]),
+	.B1(n_509),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[146]),
+	.C1(n_2125),
+	.X(n_2184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688378 (
+	.A1(n_351),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[208]),
+	.B1(n_509),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[144]),
+	.C1(n_2124),
+	.X(n_2183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688379 (
+	.A1(n_351),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[197]),
+	.B1(n_349),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[101]),
+	.C1(n_2119),
+	.X(n_2182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688380 (
+	.A(n_2143),
+	.B(n_31592),
+	.Y(n_2181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688381 (
+	.A1(n_30802),
+	.A2(n_2042),
+	.B1(n_30803),
+	.B2(n_2053),
+	.C1(n_2106),
+	.Y(brqrv_top_brqrv_lsu_lsu_ld_data_m[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688382 (
+	.A1(n_509),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[157]),
+	.B1(n_349),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[125]),
+	.C1(n_2096),
+	.Y(n_2204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688383 (
+	.A1(n_358),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[92]),
+	.B1(n_511),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[1020]),
+	.C1(n_2094),
+	.X(n_2203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688384 (
+	.A1(n_33304),
+	.A2(n_490),
+	.B1(n_33288),
+	.B2(n_489),
+	.C1(n_2089),
+	.X(brqrv_top_brqrv_lsu_lsu_ld_data_m[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688385 (
+	.A1(n_33305),
+	.A2(n_490),
+	.B1(n_33289),
+	.B2(n_489),
+	.C1(n_2091),
+	.X(brqrv_top_brqrv_lsu_lsu_ld_data_m[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688386 (
+	.A1(n_39162),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[735]),
+	.B1(n_39161),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[543]),
+	.C1(n_2110),
+	.Y(n_2202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688387 (
+	.A1(n_323),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[470]),
+	.B1(n_325),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[406]),
+	.C1(n_2111),
+	.Y(n_2201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688388 (
+	.A1(n_39162),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[734]),
+	.B1(n_331),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[670]),
+	.C1(n_2117),
+	.Y(n_2200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688389 (
+	.A1(n_321),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[688]),
+	.B1(n_329),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[592]),
+	.C1(n_2116),
+	.Y(n_2199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688390 (
+	.A1(n_33289),
+	.A2(n_490),
+	.B1(n_33281),
+	.B2(n_489),
+	.C1(n_2131),
+	.Y(n_2198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688391 (
+	.A1(n_33303),
+	.A2(n_490),
+	.B1(n_33304),
+	.B2(n_489),
+	.C1(n_2102),
+	.X(brqrv_top_brqrv_lsu_lsu_ld_data_m[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688392 (
+	.A1(n_323),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[476]),
+	.B1(n_325),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[412]),
+	.C1(n_2115),
+	.X(n_33125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688393 (
+	.A1(n_30802),
+	.A2(n_2045),
+	.B1(n_30803),
+	.B2(n_2050),
+	.C1(n_2101),
+	.Y(brqrv_top_brqrv_lsu_lsu_ld_data_m[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688394 (
+	.A1(n_317),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[420]),
+	.B1(n_39156),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[356]),
+	.C1(n_2114),
+	.X(n_33095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688395 (
+	.A1(n_33300),
+	.A2(n_490),
+	.B1(n_33301),
+	.B2(n_489),
+	.C1(n_2097),
+	.X(brqrv_top_brqrv_lsu_lsu_ld_data_m[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688396 (
+	.A1(n_479),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[506]),
+	.B1(n_331),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[666]),
+	.C1(n_2113),
+	.X(n_33121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688397 (
+	.A1(n_39162),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[728]),
+	.B1(n_331),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[664]),
+	.C1(n_2112),
+	.Y(n_2197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688398 (
+	.A1(n_33287),
+	.A2(n_490),
+	.B1(n_33279),
+	.B2(n_489),
+	.C1(n_2090),
+	.Y(n_2196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g688399 (
+	.A_N(brqrv_top_brqrv_exu_n_900),
+	.B(n_1891),
+	.C(n_31630),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g688400 (
+	.A_N(brqrv_top_brqrv_exu_n_896),
+	.B(n_1887),
+	.C(n_31634),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688401 (
+	.A1(n_33149),
+	.A2(n_339),
+	.B1(n_19640),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[6]),
+	.C1(n_2098),
+	.X(brqrv_top_brqrv_exu_n_892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g688402 (
+	.A_N(brqrv_top_brqrv_exu_n_903),
+	.B(n_1894),
+	.C(n_31607),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g688403 (
+	.A1(n_31127),
+	.A2(n_2081),
+	.B1(n_627),
+	.C1(n_32016),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[56]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g688404 (
+	.A1(n_31127),
+	.A2(n_2075),
+	.B1(n_629),
+	.C1(n_32018),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[58]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g688405 (
+	.A1(n_31127),
+	.A2(n_2080),
+	.B1(n_630),
+	.C1(n_31963),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[61]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g688406 (
+	.A1(n_31127),
+	.A2(n_2077),
+	.B1(n_653),
+	.C1(n_32020),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[62]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688407 (
+	.A1(n_32349),
+	.A2(n_2076),
+	.B1(n_561),
+	.B2(n_31091),
+	.C1(n_31959),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688408 (
+	.A1(n_32349),
+	.A2(n_2082),
+	.B1(n_413),
+	.B2(n_31091),
+	.C1(n_31960),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688409 (
+	.A1(n_31125),
+	.A2(n_2082),
+	.B1(n_413),
+	.B2(n_31095),
+	.C1(n_32023),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[57]_1525 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688410 (
+	.A1(n_31125),
+	.A2(n_2076),
+	.B1(n_561),
+	.B2(n_31095),
+	.C1(n_32025),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[59]_1527 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688411 (
+	.A1(n_32347),
+	.A2(n_2076),
+	.B1(n_561),
+	.B2(n_31093),
+	.C1(n_31956),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[59]_1593 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688412 (
+	.A1(n_32347),
+	.A2(n_2082),
+	.B1(n_413),
+	.B2(n_31093),
+	.C1(n_31954),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[57]_1591 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688413 (
+	.A1(n_31127),
+	.A2(n_2082),
+	.B1(n_413),
+	.B2(n_31089),
+	.C1(n_32017),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[57]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688414 (
+	.A1(n_31127),
+	.A2(n_2076),
+	.B1(n_561),
+	.B2(n_31089),
+	.C1(n_32019),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[59]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688415 (
+	.A1(n_39131),
+	.A2(brqrv_top_brqrv_dec_tlu_meihap[4]),
+	.B1(n_484),
+	.B2(n_33130),
+	.C1(n_2167),
+	.X(n_2179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688416 (
+	.A1(n_483),
+	.A2(n_33410),
+	.B1(n_33178),
+	.B2(n_340),
+	.X(n_2178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688417 (
+	.A1(n_2039),
+	.A2(n_2078),
+	.B1(n_2037),
+	.B2(n_1423),
+	.Y(n_2177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688418 (
+	.A1(n_32313),
+	.A2(n_470),
+	.B1(n_326),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[63]),
+	.Y(n_2176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688419 (
+	.A1(n_32310),
+	.A2(n_470),
+	.B1(n_326),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[62]),
+	.Y(n_2175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688420 (
+	.A1(n_32312),
+	.A2(n_470),
+	.B1(n_326),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[61]),
+	.Y(n_2174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688421 (
+	.A1(n_32315),
+	.A2(n_470),
+	.B1(n_326),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[58]),
+	.Y(n_2173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688422 (
+	.A1(n_32311),
+	.A2(n_470),
+	.B1(n_326),
+	.B2(brqrv_top_brqrv_iccm_dma_rdata[56]),
+	.Y(n_2172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688423 (
+	.A1_N(n_33431),
+	.A2_N(n_483),
+	.B1(n_30887),
+	.B2(n_1418),
+	.Y(n_2171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688424 (
+	.A1_N(n_33430),
+	.A2_N(n_483),
+	.B1(n_30887),
+	.B2(n_1419),
+	.Y(n_2170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g688425 (
+	.A1(n_31125),
+	.A2(n_2079),
+	.B1(n_727),
+	.C1(n_32027),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[63]_1531 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g688426 (
+	.A1(n_31125),
+	.A2(n_2077),
+	.B1(n_713),
+	.C1(n_32026),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[62]_1530 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g688427 (
+	.A1(n_31125),
+	.A2(n_2080),
+	.B1(n_725),
+	.C1(n_31951),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[61]_1529 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g688428 (
+	.A1(n_31125),
+	.A2(n_2075),
+	.B1(n_724),
+	.C1(n_32024),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[58]_1526 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g688429 (
+	.A1(n_31125),
+	.A2(n_2081),
+	.B1(n_723),
+	.C1(n_32022),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[56]_1524 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g688430 (
+	.A1(n_32347),
+	.A2(n_2079),
+	.B1(n_643),
+	.C1(n_31958),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[63]_1597 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g688431 (
+	.A1(n_32347),
+	.A2(n_2077),
+	.B1(n_641),
+	.C1(n_31957),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[62]_1596 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g688432 (
+	.A1(n_32347),
+	.A2(n_2080),
+	.B1(n_640),
+	.C1(n_31952),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[61]_1595 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g688433 (
+	.A1(n_32347),
+	.A2(n_2075),
+	.B1(n_655),
+	.C1(n_31955),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[58]_1592 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g688434 (
+	.A1(n_32347),
+	.A2(n_2081),
+	.B1(n_635),
+	.C1(n_31953),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[56]_1590 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g688435 (
+	.A1(n_31127),
+	.A2(n_2079),
+	.B1(n_631),
+	.C1(n_32021),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[63]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688436 (
+	.A1(n_317),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[436]),
+	.B1(n_333),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[340]),
+	.C1(n_2109),
+	.Y(n_2180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688437 (
+	.A1(n_33307),
+	.A2(n_490),
+	.B1(n_33308),
+	.B2(n_489),
+	.C1(n_2121),
+	.X(brqrv_top_brqrv_lsu_lsu_ld_data_m[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688438 (
+	.A1(n_33301),
+	.A2(n_490),
+	.B1(n_33286),
+	.B2(n_489),
+	.C1(n_2122),
+	.X(brqrv_top_brqrv_lsu_lsu_ld_data_m[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688439 (
+	.A1(n_33302),
+	.A2(n_490),
+	.B1(n_33287),
+	.B2(n_489),
+	.C1(n_2088),
+	.X(brqrv_top_brqrv_lsu_lsu_ld_data_m[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g688440 (
+	.A(n_33433),
+	.Y(n_2169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688441 (
+	.A1(n_503),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[446]),
+	.B1(n_353),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[382]),
+	.C1(n_2072),
+	.X(n_2168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g688443 (
+	.A(n_30884),
+	.B_N(brqrv_top_brqrv_exu_n_890),
+	.Y(n_2167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688452 (
+	.A1(n_503),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[422]),
+	.B1(n_501),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[326]),
+	.C1(n_2071),
+	.X(n_2166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688453 (
+	.A(n_1304),
+	.B(n_31312),
+	.Y(n_328292_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688454 (
+	.A(n_1303),
+	.B(n_31312),
+	.Y(n_328280_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688455 (
+	.A(n_1822),
+	.B(n_31312),
+	.Y(n_327943_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688456 (
+	.A(n_1819),
+	.B(n_31312),
+	.Y(n_327991_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688457 (
+	.A(n_1821),
+	.B(n_31312),
+	.Y(n_327931_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688458 (
+	.A(n_1818),
+	.B(n_31312),
+	.Y(n_327847_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688459 (
+	.A(n_1820),
+	.B(n_31312),
+	.Y(n_327823_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688460 (
+	.A(n_1302),
+	.B(n_31312),
+	.Y(n_328268_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688461 (
+	.A(n_2025),
+	.B(n_31312),
+	.Y(n_327883_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688462 (
+	.A(n_1295),
+	.B(n_31312),
+	.Y(n_328316_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688463 (
+	.A1(n_355),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[255]),
+	.B1(n_503),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[447]),
+	.C1(n_2073),
+	.X(n_2165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688464 (
+	.A(n_1702),
+	.B(n_31312),
+	.Y(n_328328_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688465 (
+	.A(n_1701),
+	.B(n_31312),
+	.Y(n_328352_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688466 (
+	.A(n_1703),
+	.B(n_31312),
+	.Y(n_328340_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688467 (
+	.A(n_1705),
+	.B(n_31312),
+	.Y(n_328304_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g688468 (
+	.A1(n_1881),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[18]),
+	.B1(n_2086),
+	.Y(n_2164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g688469 (
+	.A(n_1928),
+	.B(n_1789),
+	.C(n_984),
+	.D(n_983),
+	.Y(n_2163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g688470 (
+	.A(n_1929),
+	.B(n_1790),
+	.C(n_1000),
+	.D(n_999),
+	.Y(n_2162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g688471 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[5]),
+	.B(brqrv_top_brqrv_dec_dec_csr_wrdata_r[4]),
+	.C(brqrv_top_brqrv_dec_dec_csr_wrdata_r[3]),
+	.D(n_1982),
+	.Y(n_2161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g688472 (
+	.A(n_32847),
+	.B(brqrv_top_brqrv_dec_decode_i0_dec_n_72),
+	.C(n_1874),
+	.D_N(n_1975),
+	.Y(n_2160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g688473 (
+	.A(n_1930),
+	.B(n_1791),
+	.C(n_1015),
+	.D(n_1017),
+	.Y(n_2159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g688474 (
+	.A(n_1931),
+	.B(n_1792),
+	.C(n_1033),
+	.D(n_1031),
+	.Y(n_2158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g688475 (
+	.A(n_1961),
+	.B(n_1805),
+	.C(n_1164),
+	.D(n_1134),
+	.Y(n_2157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g688476 (
+	.A(n_1978),
+	.B(n_1804),
+	.C(n_850),
+	.D(n_1147),
+	.Y(n_2156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688477 (
+	.A1(n_494),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[616]),
+	.B1(n_350),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[552]),
+	.C1(n_2083),
+	.X(n_2155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g688478 (
+	.A(n_1981),
+	.B(n_1803),
+	.C(n_1118),
+	.D(n_1116),
+	.Y(n_2154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g688479 (
+	.A(n_1987),
+	.B(n_1802),
+	.C(n_1278),
+	.D(n_1176),
+	.Y(n_2153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g688480 (
+	.A(n_1983),
+	.B(n_1801),
+	.C(n_1183),
+	.D(n_1187),
+	.Y(n_2152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g688481 (
+	.A(n_1984),
+	.B(n_1800),
+	.C(n_1219),
+	.D(n_1222),
+	.Y(n_2151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g688482 (
+	.A(n_1985),
+	.B(n_1799),
+	.C(n_748),
+	.D(n_1241),
+	.Y(n_2150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g688483 (
+	.A(n_1938),
+	.B(n_1798),
+	.C(n_842),
+	.D(n_1260),
+	.Y(n_2149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g688484 (
+	.A(n_1939),
+	.B(n_1797),
+	.C(n_1269),
+	.D(n_938),
+	.Y(n_2148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g688485 (
+	.A(n_1937),
+	.B(n_1796),
+	.C(n_1109),
+	.D(n_1061),
+	.Y(n_2147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g688486 (
+	.A(n_1935),
+	.B(n_1795),
+	.C(n_1153),
+	.D(n_1088),
+	.Y(n_2146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g688487 (
+	.A(n_1934),
+	.B(n_1794),
+	.C(n_1178),
+	.D(n_1182),
+	.Y(n_2145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g688488 (
+	.A(n_1932),
+	.B(n_1793),
+	.C(n_1035),
+	.D(n_1034),
+	.Y(n_2144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688489 (
+	.A1(n_422),
+	.A2(n_30584),
+	.B1(n_424),
+	.B2(n_30583),
+	.C1(n_2056),
+	.Y(brqrv_top_brqrv_lsu_bus_read_data_m[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g688490 (
+	.A(n_31598),
+	.B(n_31599),
+	.X(n_31597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688491 (
+	.A1(n_33160),
+	.A2(n_339),
+	.B1(n_19640),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[17]),
+	.C1(n_2060),
+	.X(brqrv_top_brqrv_exu_n_903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g688492 (
+	.A_N(brqrv_top_brqrv_exu_n_890),
+	.B(n_1920),
+	.C(n_31605),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688493 (
+	.A1(n_483),
+	.A2(n_33416),
+	.B1(n_33184),
+	.B2(n_340),
+	.C1(n_906),
+	.X(brqrv_top_brqrv_exu_n_896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g688494 (
+	.A(n_514),
+	.B(n_2034),
+	.C(n_690),
+	.Y(n_33433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688495 (
+	.A(n_514),
+	.B(n_2058),
+	.Y(n_33432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688496 (
+	.A1(n_33159),
+	.A2(n_339),
+	.B1(n_19640),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[16]),
+	.C1(n_2061),
+	.X(brqrv_top_brqrv_exu_n_902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688497 (
+	.A1(n_30803),
+	.A2(n_2040),
+	.B1(n_30802),
+	.B2(n_563),
+	.Y(n_2131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g688498 (
+	.A(n_1924),
+	.B(n_1816),
+	.C(n_922),
+	.D(n_918),
+	.Y(n_2130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688499 (
+	.A1(n_507),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[506]),
+	.B1(n_359),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[698]),
+	.C1(n_2069),
+	.X(n_2129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688500 (
+	.A1(n_359),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[697]),
+	.B1(n_505),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[601]),
+	.C1(n_2068),
+	.X(n_2128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688501 (
+	.A1(n_357),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[948]),
+	.B1(n_496),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[852]),
+	.C1(n_2067),
+	.X(n_2127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688502 (
+	.A1(n_509),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[147]),
+	.B1(n_349),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[115]),
+	.C1(n_2066),
+	.X(n_2126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688503 (
+	.A1(n_357),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[946]),
+	.B1(n_496),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[850]),
+	.C1(n_2065),
+	.X(n_2125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688504 (
+	.A1(n_359),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[688]),
+	.B1(n_505),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[592]),
+	.C1(n_2064),
+	.X(n_2124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g688505 (
+	.A(n_1922),
+	.B(n_1788),
+	.C(n_853),
+	.D(n_856),
+	.Y(n_2123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688506 (
+	.A1_N(n_346),
+	.A2_N(n_33300),
+	.B1(n_30803),
+	.B2(n_2043),
+	.Y(n_2122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688507 (
+	.A1_N(n_346),
+	.A2_N(n_33306),
+	.B1(n_30803),
+	.B2(n_2046),
+	.Y(n_2121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g688508 (
+	.A(n_1917),
+	.B(n_1787),
+	.C(n_813),
+	.D(n_814),
+	.Y(n_2120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688509 (
+	.A1(n_499),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[453]),
+	.B1(n_495),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[389]),
+	.C1(n_2063),
+	.X(n_2119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g688510 (
+	.A(n_1914),
+	.B(n_1786),
+	.C(n_764),
+	.D(n_763),
+	.Y(n_2118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g688511 (
+	.A(n_1976),
+	.B(n_1959),
+	.C(n_1166),
+	.D(n_1163),
+	.Y(n_2117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g688512 (
+	.A(n_1958),
+	.B(n_1980),
+	.C(n_1133),
+	.D(n_1132),
+	.Y(n_2116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g688513 (
+	.A(n_1936),
+	.B(n_1957),
+	.C(n_1106),
+	.D(n_929),
+	.Y(n_2115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g688514 (
+	.A(n_1933),
+	.B(n_1956),
+	.C(n_1052),
+	.D(n_1051),
+	.Y(n_2114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g688515 (
+	.A(n_1955),
+	.B(n_1926),
+	.C(n_967),
+	.D(n_964),
+	.Y(n_2113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g688516 (
+	.A(n_1923),
+	.B(n_1954),
+	.C(n_882),
+	.D(n_881),
+	.Y(n_2112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g688517 (
+	.A(n_1919),
+	.B(n_1953),
+	.C(n_836),
+	.D(n_834),
+	.Y(n_2111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g688518 (
+	.A(n_1952),
+	.B(n_1918),
+	.C(n_825),
+	.D(n_818),
+	.Y(n_2110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g688519 (
+	.A(n_1951),
+	.B(n_1916),
+	.C(n_783),
+	.D(n_787),
+	.Y(n_2109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688520 (
+	.A1(n_2039),
+	.A2(n_1878),
+	.B1(n_2037),
+	.B2(n_1494),
+	.Y(n_2108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688521 (
+	.A1(n_2039),
+	.A2(n_1877),
+	.B1(n_2037),
+	.B2(n_1493),
+	.Y(n_2107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g688522 (
+	.A1_N(n_30801),
+	.A2_N(n_2040),
+	.B1(n_489),
+	.B2(n_33305),
+	.Y(n_2106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688523 (
+	.A1(n_2037),
+	.A2(n_1425),
+	.B1(n_2039),
+	.B2(n_1318),
+	.Y(n_2105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688524 (
+	.A1(n_2039),
+	.A2(n_1879),
+	.B1(n_2037),
+	.B2(n_1421),
+	.Y(n_2104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688525 (
+	.A1(n_355),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[251]),
+	.B1(n_503),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[443]),
+	.C1(n_2070),
+	.X(n_2103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688526 (
+	.A1(n_30802),
+	.A2(n_2044),
+	.B1(n_30803),
+	.B2(n_2051),
+	.Y(n_2102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g688527 (
+	.A1_N(n_30801),
+	.A2_N(n_2041),
+	.B1(n_489),
+	.B2(n_33302),
+	.Y(n_2101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688528 (
+	.A1(n_33147),
+	.A2(n_2036),
+	.B1(n_33179),
+	.B2(n_2038),
+	.X(n_2100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688529 (
+	.A1(n_33146),
+	.A2(n_2036),
+	.B1(n_33178),
+	.B2(n_2038),
+	.X(n_2099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688530 (
+	.A1(n_483),
+	.A2(n_33413),
+	.B1(n_33181),
+	.B2(n_340),
+	.X(n_2098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688531 (
+	.A1(n_30802),
+	.A2(n_2043),
+	.B1(n_30803),
+	.B2(n_2048),
+	.Y(n_2097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688532 (
+	.A1(n_511),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[1021]),
+	.B1(n_497),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[189]),
+	.C1(n_2027),
+	.X(n_2096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688533 (
+	.A1(n_2037),
+	.A2(n_1430),
+	.B1(n_2039),
+	.B2(n_1418),
+	.Y(n_2095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688534 (
+	.A1(n_492),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[60]),
+	.B1(n_497),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[188]),
+	.C1(n_2035),
+	.X(n_2094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688535 (
+	.A1(n_2037),
+	.A2(n_1431),
+	.B1(n_2039),
+	.B2(n_1419),
+	.Y(n_2093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688536 (
+	.A1(n_2037),
+	.A2(n_1436),
+	.B1(n_2039),
+	.B2(n_1420),
+	.Y(n_2092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688537 (
+	.A1(n_30802),
+	.A2(n_2040),
+	.B1(n_30803),
+	.B2(n_2042),
+	.Y(n_2091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688538 (
+	.A1_N(n_346),
+	.A2_N(n_33302),
+	.B1(n_30803),
+	.B2(n_2041),
+	.Y(n_2090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688539 (
+	.A1_N(n_346),
+	.A2_N(n_33303),
+	.B1(n_30803),
+	.B2(n_2044),
+	.Y(n_2089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688540 (
+	.A1(n_30802),
+	.A2(n_2041),
+	.B1(n_30803),
+	.B2(n_2045),
+	.Y(n_2088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g688541 (
+	.A(n_652),
+	.B(n_1950),
+	.C(n_32556),
+	.D_N(n_1988),
+	.Y(n_2143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688542 (
+	.A1(n_33157),
+	.A2(n_339),
+	.B1(n_19640),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[14]),
+	.C1(n_2062),
+	.X(brqrv_top_brqrv_exu_n_900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688543 (
+	.A1(n_2049),
+	.A2(n_462),
+	.B1(n_33286),
+	.B2(brqrv_top_brqrv_lsu_n_1518),
+	.Y(n_2142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g688544 (
+	.A1_N(brqrv_top_brqrv_lsu_n_1518),
+	.A2_N(n_2042),
+	.B1(brqrv_top_brqrv_lsu_n_1518),
+	.B2(n_33281),
+	.Y(n_2141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g688545 (
+	.A1_N(brqrv_top_brqrv_lsu_n_1518),
+	.A2_N(n_2044),
+	.B1(brqrv_top_brqrv_lsu_n_1518),
+	.B2(n_33280),
+	.Y(n_2140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g688546 (
+	.A1_N(brqrv_top_brqrv_lsu_n_1518),
+	.A2_N(n_2045),
+	.B1(brqrv_top_brqrv_lsu_n_1518),
+	.B2(n_33279),
+	.Y(n_2139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g688547 (
+	.A1_N(brqrv_top_brqrv_lsu_n_1518),
+	.A2_N(n_2043),
+	.B1(brqrv_top_brqrv_lsu_n_1518),
+	.B2(n_33278),
+	.Y(n_2138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g688548 (
+	.A1_N(brqrv_top_brqrv_lsu_n_1518),
+	.A2_N(n_2053),
+	.B1(brqrv_top_brqrv_lsu_n_1518),
+	.B2(n_33289),
+	.Y(n_2137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g688549 (
+	.A1_N(brqrv_top_brqrv_lsu_n_1518),
+	.A2_N(n_2040),
+	.B1(brqrv_top_brqrv_lsu_n_1518),
+	.B2(n_33273),
+	.Y(n_2136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g688550 (
+	.A1_N(brqrv_top_brqrv_lsu_n_1518),
+	.A2_N(n_2041),
+	.B1(brqrv_top_brqrv_lsu_n_1518),
+	.B2(n_33271),
+	.Y(n_2135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688551 (
+	.A1(n_2047),
+	.A2(n_462),
+	.B1(n_33290),
+	.B2(brqrv_top_brqrv_lsu_n_1518),
+	.Y(n_2134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688552 (
+	.A1(n_2052),
+	.A2(n_462),
+	.B1(n_33288),
+	.B2(brqrv_top_brqrv_lsu_n_1518),
+	.Y(n_2133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g688553 (
+	.A1_N(brqrv_top_brqrv_lsu_n_1518),
+	.A2_N(n_2050),
+	.B1(brqrv_top_brqrv_lsu_n_1518),
+	.B2(n_33287),
+	.Y(n_2132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688554 (
+	.A(n_2085),
+	.Y(brqrv_top_brqrv_i0_ap[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g688555 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[2]),
+	.B(n_1338),
+	.CIN(n_1618),
+	.COUT(n_2087),
+	.SUM(n_31550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688556 (
+	.A(n_1308),
+	.B(n_31526),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688557 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_329),
+	.B(n_32515),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688558 (
+	.A(n_1705),
+	.B(n_31523),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad1_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688559 (
+	.A(n_33150),
+	.B(n_2036),
+	.Y(n_2084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688560 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_327),
+	.B(n_31526),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688561 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_310),
+	.B(n_31526),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688562 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_309),
+	.B(n_31526),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688563 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_308),
+	.B(n_31526),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688564 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_327),
+	.B(n_32515),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688565 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_310),
+	.B(n_32515),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688566 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_309),
+	.B(n_32515),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688567 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_308),
+	.B(n_32515),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688568 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[19]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_n_316),
+	.Y(brqrv_top_brqrv_dec_tlu_mrac_in[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688569 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_326),
+	.B(n_31526),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688570 (
+	.A(brqrv_top_brqrv_dec_tlu_n_6062),
+	.B(n_31526),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688571 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_319),
+	.B(n_31526),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688572 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_316),
+	.B(n_31526),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688573 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_315),
+	.B(n_31526),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688574 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_314),
+	.B(n_31526),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688575 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_313),
+	.B(n_31526),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688576 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_326),
+	.B(n_32515),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688577 (
+	.A(brqrv_top_brqrv_dec_tlu_n_6062),
+	.B(n_32515),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688578 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_316),
+	.B(n_32515),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688579 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_314),
+	.B(n_32515),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688580 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_313),
+	.B(n_32515),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688581 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_319),
+	.B(n_32515),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688582 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_315),
+	.B(n_32515),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688583 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_298),
+	.B(n_31526),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688584 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_298),
+	.B(n_32515),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g688585 (
+	.A(n_1974),
+	.B(n_1855),
+	.C(n_1142),
+	.D(n_1186),
+	.Y(n_2083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688586 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_298),
+	.B(n_31523),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad1_ns[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688587 (
+	.A(n_1704),
+	.B(n_32515),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688588 (
+	.A(n_1703),
+	.B(n_32515),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688589 (
+	.A(n_1702),
+	.B(n_32515),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688590 (
+	.A(n_1701),
+	.B(n_32515),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688591 (
+	.A(n_1823),
+	.B(n_31526),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688592 (
+	.A(n_1319),
+	.B(n_31526),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688593 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_329),
+	.B(n_31526),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688594 (
+	.A(n_1308),
+	.B(n_32515),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688595 (
+	.A(n_1823),
+	.B(n_32515),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688596 (
+	.A(n_1319),
+	.B(n_32515),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688597 (
+	.A(n_1820),
+	.B(n_31526),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688598 (
+	.A(n_1818),
+	.B(n_31526),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688599 (
+	.A(n_1819),
+	.B(n_31526),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688600 (
+	.A(n_1821),
+	.B(n_31526),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688601 (
+	.A(n_1822),
+	.B(n_31526),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688602 (
+	.A(n_1303),
+	.B(n_31526),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688603 (
+	.A(n_1304),
+	.B(n_31526),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688604 (
+	.A(n_1820),
+	.B(n_32515),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688605 (
+	.A(n_1818),
+	.B(n_32515),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688606 (
+	.A(n_1819),
+	.B(n_32515),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688607 (
+	.A(n_1821),
+	.B(n_32515),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688608 (
+	.A(n_1822),
+	.B(n_32515),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688609 (
+	.A(n_1303),
+	.B(n_32515),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688610 (
+	.A(n_1304),
+	.B(n_32515),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688611 (
+	.A(n_1302),
+	.B(n_31526),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688612 (
+	.A(n_1302),
+	.B(n_32515),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688613 (
+	.A(n_2025),
+	.B(n_31526),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688614 (
+	.A(n_2025),
+	.B(n_32515),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688615 (
+	.A(n_1295),
+	.B(n_31526),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688616 (
+	.A(n_1295),
+	.B(n_31523),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad1_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688617 (
+	.A(n_1295),
+	.B(n_32515),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688618 (
+	.A(n_1705),
+	.B(n_31526),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688619 (
+	.A(n_1701),
+	.B(n_31526),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688620 (
+	.A(n_1702),
+	.B(n_31526),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688621 (
+	.A(n_1703),
+	.B(n_31526),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688622 (
+	.A(n_1704),
+	.B(n_31526),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688623 (
+	.A(n_1705),
+	.B(n_32515),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad0h_ns[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g688624 (
+	.A_N(n_2054),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_n_2294),
+	.Y(n_31599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g688625 (
+	.A(n_514),
+	.B(n_1906),
+	.C(n_936),
+	.Y(n_33431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g688626 (
+	.A(n_514),
+	.B(n_1899),
+	.C(n_890),
+	.Y(n_33430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688627 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[18]),
+	.B(n_1881),
+	.Y(n_2086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g688628 (
+	.A(n_514),
+	.B(n_1911),
+	.C(n_1279),
+	.Y(n_33434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g688629 (
+	.A1(n_1913),
+	.A2(n_33354),
+	.B1(n_31614),
+	.X(n_2085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688630 (
+	.A(n_2081),
+	.Y(n_32311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688631 (
+	.A(n_2080),
+	.Y(n_32312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688632 (
+	.A(n_2079),
+	.Y(n_32313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g688633 (
+	.A(n_33180),
+	.Y(n_2078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688634 (
+	.A(n_2077),
+	.Y(n_32310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688635 (
+	.A(n_2075),
+	.Y(n_32315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688636 (
+	.A(n_31312),
+	.Y(n_2074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688637 (
+	.A1(n_1617),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[40]),
+	.B1(n_1581),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[8]),
+	.C1(n_1998),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688638 (
+	.A(n_1703),
+	.B(n_31523),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad1_ns[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688639 (
+	.A(n_1702),
+	.B(n_31523),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad1_ns[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688640 (
+	.A(n_1704),
+	.B(n_31523),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad1_ns[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g688641 (
+	.A(n_1973),
+	.B(n_1848),
+	.C(n_965),
+	.D(n_968),
+	.Y(n_2073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g688642 (
+	.A(n_1972),
+	.B(n_1845),
+	.C(n_955),
+	.D(n_954),
+	.Y(n_2072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g688643 (
+	.A(n_1967),
+	.B(n_1843),
+	.C(n_914),
+	.D(n_947),
+	.Y(n_2071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g688644 (
+	.A(n_1969),
+	.B(n_1841),
+	.C(n_926),
+	.D(n_919),
+	.Y(n_2070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g688645 (
+	.A(n_1968),
+	.B(n_1838),
+	.C(n_909),
+	.D(n_908),
+	.Y(n_2069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g688646 (
+	.A(n_1966),
+	.B(n_1835),
+	.C(n_895),
+	.D(n_894),
+	.Y(n_2068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g688647 (
+	.A(n_1965),
+	.B(n_1833),
+	.C(n_885),
+	.D(n_883),
+	.Y(n_2067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g688648 (
+	.A(n_1964),
+	.B(n_1831),
+	.C(n_874),
+	.D(n_876),
+	.Y(n_2066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g688649 (
+	.A(n_1963),
+	.B(n_1829),
+	.C(n_868),
+	.D(n_863),
+	.Y(n_2065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g688650 (
+	.A(n_1962),
+	.B(n_1827),
+	.C(n_857),
+	.D(n_1253),
+	.Y(n_2064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g688651 (
+	.A(n_1960),
+	.B(n_1825),
+	.C(n_765),
+	.D(n_761),
+	.Y(n_2063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688652 (
+	.A1(n_1617),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[63]),
+	.B1(n_1581),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[31]),
+	.C1(n_2021),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688653 (
+	.A1(n_1617),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[61]),
+	.B1(n_1581),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[29]),
+	.C1(n_2019),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688654 (
+	.A1(n_1617),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[60]),
+	.B1(n_1581),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[28]),
+	.C1(n_2018),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688655 (
+	.A1(n_1617),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[59]),
+	.B1(n_1581),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[27]),
+	.C1(n_2017),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688656 (
+	.A1(n_1617),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[58]),
+	.B1(n_1581),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[26]),
+	.C1(n_2016),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688657 (
+	.A1(n_1617),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[57]),
+	.B1(n_1581),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[25]),
+	.C1(n_2015),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688658 (
+	.A1(n_1617),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[56]),
+	.B1(n_1581),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[24]),
+	.C1(n_2014),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688659 (
+	.A1(n_1617),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[55]),
+	.B1(n_1581),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[23]),
+	.C1(n_2013),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688660 (
+	.A1(n_1617),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[54]),
+	.B1(n_1581),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[22]),
+	.C1(n_2012),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688661 (
+	.A1(n_1617),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[53]),
+	.B1(n_1581),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[21]),
+	.C1(n_2011),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688662 (
+	.A1(n_1617),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[52]),
+	.B1(n_1581),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[20]),
+	.C1(n_2010),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688663 (
+	.A1(n_1617),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[51]),
+	.B1(n_1581),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[19]),
+	.C1(n_2009),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688664 (
+	.A1(n_1617),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[50]),
+	.B1(n_1581),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[18]),
+	.C1(n_2008),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688665 (
+	.A1(n_1617),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[49]),
+	.B1(n_1581),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[17]),
+	.C1(n_2007),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688666 (
+	.A1(n_1617),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[48]),
+	.B1(n_1581),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[16]),
+	.C1(n_2024),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688667 (
+	.A1(n_1617),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[47]),
+	.B1(n_1581),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[15]),
+	.C1(n_2005),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688668 (
+	.A1(n_1617),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[46]),
+	.B1(n_1581),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[14]),
+	.C1(n_2004),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688669 (
+	.A1(n_1617),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[45]),
+	.B1(n_1581),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[13]),
+	.C1(n_2003),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688670 (
+	.A1(n_1617),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[44]),
+	.B1(n_1581),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[12]),
+	.C1(n_2002),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688671 (
+	.A1(n_1617),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[43]),
+	.B1(n_1581),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[11]),
+	.C1(n_2001),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688672 (
+	.A1(n_1617),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[42]),
+	.B1(n_1581),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[10]),
+	.C1(n_2000),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688673 (
+	.A1(n_1617),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[41]),
+	.B1(n_1581),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[9]),
+	.C1(n_1999),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688674 (
+	.A(n_1701),
+	.B(n_31523),
+	.Y(brqrv_top_brqrv_dec_tlu_dicad1_ns[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688675 (
+	.A1(n_1617),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[39]),
+	.B1(n_1581),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[7]),
+	.C1(n_1996),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688676 (
+	.A1(n_1617),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[38]),
+	.B1(n_1581),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[6]),
+	.C1(n_1997),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688677 (
+	.A1(n_1617),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[37]),
+	.B1(n_1581),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[5]),
+	.C1(n_1995),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688678 (
+	.A1(n_1617),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[36]),
+	.B1(n_1581),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[4]),
+	.C1(n_1994),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688679 (
+	.A1(n_1617),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[35]),
+	.B1(n_1581),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[3]),
+	.C1(n_1993),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688680 (
+	.A1(n_1617),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[34]),
+	.B1(n_1581),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[2]),
+	.C1(n_1992),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688681 (
+	.A1(n_1617),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[33]),
+	.B1(n_1581),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[1]),
+	.C1(n_1991),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688682 (
+	.A1(n_1617),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[32]),
+	.B1(n_1581),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[0]),
+	.C1(n_1990),
+	.X(brqrv_top_brqrv_lsu_imprecise_error_addr_any[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688683 (
+	.A1_N(n_33420),
+	.A2_N(n_483),
+	.B1(n_30887),
+	.B2(n_1879),
+	.Y(n_2062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688684 (
+	.A1_N(n_33422),
+	.A2_N(n_483),
+	.B1(n_30887),
+	.B2(n_1877),
+	.Y(n_2061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g688685 (
+	.A1_N(n_33423),
+	.A2_N(n_483),
+	.B1(n_30887),
+	.B2(n_1878),
+	.Y(n_2060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688686 (
+	.A1(n_1704),
+	.A2(n_1943),
+	.B1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[1]),
+	.B2(n_1703),
+	.Y(n_2059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688687 (
+	.A1(n_404),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[82]),
+	.B1(n_411),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[114]),
+	.C1(n_1927),
+	.Y(n_2058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g688688 (
+	.A(n_1295),
+	.B(n_1702),
+	.C(n_1701),
+	.D(n_1882),
+	.Y(n_2057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g688689 (
+	.A1(n_418),
+	.A2(n_30666),
+	.B1(n_1208),
+	.C1(n_1977),
+	.Y(n_2056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g688690 (
+	.A1(n_1942),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[5]),
+	.B1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[4]),
+	.Y(n_2055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g688691 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_n_3477),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_n_3474),
+	.B1(n_2054),
+	.X(n_31595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688692 (
+	.A1(n_33147),
+	.A2(n_339),
+	.B1(n_19640),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[4]),
+	.C1(n_2020),
+	.X(brqrv_top_brqrv_exu_n_890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g688693 (
+	.A1(n_427),
+	.A2(n_30695),
+	.B1(n_2033),
+	.Y(n_33410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g688694 (
+	.A_N(brqrv_top_brqrv_exu_n_893),
+	.B(n_1884),
+	.C(n_31637),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g688695 (
+	.A_N(brqrv_top_brqrv_exu_n_909),
+	.B(n_1901),
+	.C(n_31623),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g688696 (
+	.A_N(brqrv_top_brqrv_exu_n_908),
+	.B(n_1900),
+	.C(n_31624),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g688697 (
+	.A_N(brqrv_top_brqrv_exu_n_895),
+	.B(n_1886),
+	.C(n_31635),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g688698 (
+	.A_N(brqrv_top_brqrv_exu_n_898),
+	.B(n_1889),
+	.C(n_31632),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g688699 (
+	.A_N(brqrv_top_brqrv_exu_n_901),
+	.B(n_1892),
+	.C(n_31629),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688700 (
+	.A1(n_338),
+	.A2(n_33196),
+	.B1(n_484),
+	.B2(n_33141),
+	.C1(n_2026),
+	.X(n_34707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688701 (
+	.A1(n_338),
+	.A2(n_33189),
+	.B1(n_484),
+	.B2(n_33138),
+	.C1(n_2031),
+	.X(n_34700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688702 (
+	.A1(n_376),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[25]),
+	.B1(n_39159),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[25]),
+	.C1(n_2023),
+	.Y(n_2082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688703 (
+	.A1(n_376),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[24]),
+	.B1(n_39159),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[24]),
+	.C1(n_2022),
+	.Y(n_2081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688704 (
+	.A1(n_376),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[29]),
+	.B1(n_39159),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[29]),
+	.C1(n_2030),
+	.Y(n_2080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688705 (
+	.A1(n_376),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[31]),
+	.B1(n_39159),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[31]),
+	.C1(n_2032),
+	.Y(n_2079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g688706 (
+	.A1(n_30852),
+	.A2(n_1944),
+	.B1(n_30851),
+	.B2(n_1498),
+	.Y(n_33180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688707 (
+	.A1(n_376),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[30]),
+	.B1(n_39159),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[30]),
+	.C1(n_2028),
+	.Y(n_2077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688708 (
+	.A1(n_376),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[27]),
+	.B1(n_39159),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[27]),
+	.C1(n_1989),
+	.Y(n_2076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688709 (
+	.A1(n_376),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[26]),
+	.B1(n_39159),
+	.B2(brqrv_top_brqrv_lsu_store_data_lo_r[26]),
+	.C1(n_2006),
+	.Y(n_2075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g688710 (
+	.A_N(n_31072),
+	.B(n_1290),
+	.C(n_31314),
+	.Y(n_31312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g688712 (
+	.A(n_2051),
+	.Y(n_2052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g688713 (
+	.A(n_2048),
+	.Y(n_2049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g688714 (
+	.A(n_2046),
+	.Y(n_2047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688715 (
+	.A(n_2039),
+	.Y(n_2038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688716 (
+	.A(n_2037),
+	.Y(n_2036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g688717 (
+	.A(n_1970),
+	.B(n_1773),
+	.C(n_669),
+	.D(n_1770),
+	.Y(n_2035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g688718 (
+	.A1(n_404),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[83]),
+	.B1(n_1638),
+	.C1(n_1858),
+	.Y(n_2034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g688719 (
+	.A1(n_575),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[3]),
+	.B1(n_1765),
+	.C1(n_1867),
+	.Y(n_2033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g688720 (
+	.A(n_1626),
+	.B(n_1859),
+	.C(n_662),
+	.Y(n_2032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688721 (
+	.A1(n_39131),
+	.A2(brqrv_top_brqrv_dec_tlu_meihap[15]),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.B2(n_33557),
+	.C1(n_1979),
+	.X(n_2031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g688722 (
+	.A(n_1624),
+	.B(n_1861),
+	.C(n_639),
+	.Y(n_2030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 g688723 (
+	.A1(brqrv_top_brqrv_dec_dec_csr_wrdata_r[3]),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[2]),
+	.A3(brqrv_top_brqrv_dec_dec_csr_wrdata_r[0]),
+	.B1(n_1701),
+	.Y(n_2029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g688724 (
+	.A(n_1625),
+	.B(n_1860),
+	.C(n_664),
+	.Y(n_2028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g688725 (
+	.A(n_1971),
+	.B(n_1708),
+	.C(n_703),
+	.D(n_1754),
+	.Y(n_2027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g688726 (
+	.A1(n_1847),
+	.A2(n_30261),
+	.B1_N(n_30465),
+	.Y(brqrv_top_brqrv_dec_tlu_exc_cause_r[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688727 (
+	.A1(n_39131),
+	.A2(brqrv_top_brqrv_dec_tlu_meihap[22]),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.B2(n_33564),
+	.C1(n_1986),
+	.X(n_2026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g688728 (
+	.A1(n_1871),
+	.A2(n_1869),
+	.B1(n_1864),
+	.Y(n_2054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688729 (
+	.A(n_34733),
+	.B(n_34722),
+	.Y(n_32833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688730 (
+	.A1(n_414),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[117]),
+	.B1(n_389),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[85]),
+	.C1(n_1949),
+	.Y(n_2053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688731 (
+	.A1(n_414),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[116]),
+	.B1(n_389),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[84]),
+	.C1(n_1947),
+	.Y(n_2051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g688732 (
+	.A(n_33354),
+	.B_N(brqrv_top_brqrv_dec_decode_i0_dp_raw[29]),
+	.Y(brqrv_top_brqrv_i0_ap[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688733 (
+	.A1(n_414),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[115]),
+	.B1(n_389),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[83]),
+	.C1(n_1948),
+	.Y(n_2050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688734 (
+	.A1(n_414),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[114]),
+	.B1(n_389),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[82]),
+	.C1(n_1946),
+	.Y(n_2048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688735 (
+	.A1(n_414),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[118]),
+	.B1(n_389),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[86]),
+	.C1(n_1945),
+	.Y(n_2046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688736 (
+	.A(n_34731),
+	.B(n_34720),
+	.Y(n_32835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 g688737 (
+	.A(n_34720),
+	.B(n_34731),
+	.X(n_30610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688738 (
+	.A(n_1925),
+	.B(n_31641),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g688739 (
+	.A(n_1205),
+	.B(n_1762),
+	.C(n_1206),
+	.D(n_1207),
+	.Y(n_2045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g688740 (
+	.A(n_1198),
+	.B(n_1763),
+	.C(n_1211),
+	.D(n_1217),
+	.Y(n_2044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g688741 (
+	.A(n_1200),
+	.B(n_1761),
+	.C(n_1201),
+	.D(n_1202),
+	.Y(n_2043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g688742 (
+	.A(n_1214),
+	.B(n_1766),
+	.C(n_1215),
+	.D(n_1216),
+	.Y(n_2042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g688743 (
+	.A(n_34722),
+	.B(n_34733),
+	.X(n_30621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688744 (
+	.A(n_1940),
+	.B(n_31644),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g688745 (
+	.A(n_1203),
+	.B(n_700),
+	.C(n_699),
+	.D(n_1771),
+	.X(n_33413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g688746 (
+	.A(n_1190),
+	.B(n_1767),
+	.C(n_1192),
+	.D(n_1194),
+	.Y(n_2041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g688747 (
+	.A(n_1218),
+	.B(n_1768),
+	.C(n_1195),
+	.D(n_1220),
+	.Y(n_2040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g688748 (
+	.A(n_31524),
+	.B(n_31527),
+	.X(n_31523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g688749 (
+	.A(n_31521),
+	.B(n_31527),
+	.X(n_32515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g688750 (
+	.A(n_31530),
+	.B(n_31527),
+	.X(n_31526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g688751 (
+	.A_N(n_1988),
+	.B(brqrv_top_brqrv_dec_decode_i0_x_c[1]),
+	.Y(n_2039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g688752 (
+	.A_N(n_1988),
+	.B(n_32611),
+	.Y(n_2037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688754 (
+	.A1(n_1817),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[112]),
+	.B1(n_1580),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[80]),
+	.X(n_2024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g688755 (
+	.A(n_1632),
+	.B(n_1873),
+	.C(n_636),
+	.Y(n_2023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g688756 (
+	.A(n_1633),
+	.B(n_1875),
+	.C(n_692),
+	.Y(n_2022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688757 (
+	.A1(n_1817),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[127]),
+	.B1(n_1580),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[95]),
+	.X(n_2021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688758 (
+	.A1(n_483),
+	.A2(n_33411),
+	.B1(n_33179),
+	.B2(n_340),
+	.X(n_2020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688759 (
+	.A1(n_1817),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[125]),
+	.B1(n_1580),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[93]),
+	.X(n_2019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688760 (
+	.A1(n_1817),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[124]),
+	.B1(n_1580),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[92]),
+	.X(n_2018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688761 (
+	.A1(n_1817),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[123]),
+	.B1(n_1580),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[91]),
+	.X(n_2017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688762 (
+	.A1(n_1817),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[122]),
+	.B1(n_1580),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[90]),
+	.X(n_2016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688763 (
+	.A1(n_1817),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[121]),
+	.B1(n_1580),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[89]),
+	.X(n_2015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688764 (
+	.A1(n_1817),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[120]),
+	.B1(n_1580),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[88]),
+	.X(n_2014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688765 (
+	.A1(n_1817),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[119]),
+	.B1(n_1580),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[87]),
+	.X(n_2013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688766 (
+	.A1(n_1817),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[118]),
+	.B1(n_1580),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[86]),
+	.X(n_2012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688767 (
+	.A1(n_1817),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[117]),
+	.B1(n_1580),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[85]),
+	.X(n_2011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688768 (
+	.A1(n_1817),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[116]),
+	.B1(n_1580),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[84]),
+	.X(n_2010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688769 (
+	.A1(n_1817),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[115]),
+	.B1(n_1580),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[83]),
+	.X(n_2009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688770 (
+	.A1(n_1817),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[114]),
+	.B1(n_1580),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[82]),
+	.X(n_2008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688771 (
+	.A1(n_1817),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[113]),
+	.B1(n_1580),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[81]),
+	.X(n_2007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g688772 (
+	.A(n_1622),
+	.B(n_1872),
+	.C(n_637),
+	.Y(n_2006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688773 (
+	.A1(n_1817),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[111]),
+	.B1(n_1580),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[79]),
+	.X(n_2005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688774 (
+	.A1(n_1817),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[110]),
+	.B1(n_1580),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[78]),
+	.X(n_2004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688775 (
+	.A1(n_1817),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[109]),
+	.B1(n_1580),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[77]),
+	.X(n_2003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688776 (
+	.A1(n_1817),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[108]),
+	.B1(n_1580),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[76]),
+	.X(n_2002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688777 (
+	.A1(n_1817),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[107]),
+	.B1(n_1580),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[75]),
+	.X(n_2001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688778 (
+	.A1(n_1817),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[106]),
+	.B1(n_1580),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[74]),
+	.X(n_2000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688779 (
+	.A1(n_1817),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[105]),
+	.B1(n_1580),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[73]),
+	.X(n_1999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688780 (
+	.A1(n_1817),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[104]),
+	.B1(n_1580),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[72]),
+	.X(n_1998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688781 (
+	.A1(n_1817),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[102]),
+	.B1(n_1580),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[70]),
+	.X(n_1997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688782 (
+	.A1(n_1817),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[103]),
+	.B1(n_1580),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[71]),
+	.X(n_1996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688783 (
+	.A1(n_1817),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[101]),
+	.B1(n_1580),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[69]),
+	.X(n_1995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688784 (
+	.A1(n_1817),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[100]),
+	.B1(n_1580),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[68]),
+	.X(n_1994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688785 (
+	.A1(n_1817),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[99]),
+	.B1(n_1580),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[67]),
+	.X(n_1993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688786 (
+	.A1(n_1817),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[98]),
+	.B1(n_1580),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[66]),
+	.X(n_1992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688787 (
+	.A1(n_1817),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[97]),
+	.B1(n_1580),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[65]),
+	.X(n_1991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688788 (
+	.A1(n_1817),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[96]),
+	.B1(n_1580),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[64]),
+	.X(n_1990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g688789 (
+	.A(n_1623),
+	.B(n_1862),
+	.C(n_687),
+	.Y(n_1989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g688790 (
+	.A1(n_33459),
+	.A2(n_315),
+	.B1(n_30413),
+	.B2(brqrv_top_brqrv_dec_decode_write_csr_data[19]),
+	.X(brqrv_top_brqrv_dec_dec_csr_wrdata_r[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g688791 (
+	.A1(n_327),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[549]),
+	.B1(n_1726),
+	.C1(n_1733),
+	.Y(n_1987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688792 (
+	.A(n_1820),
+	.B(brqrv_top_brqrv_dec_dec_csr_wrdata_r[27]),
+	.Y(brqrv_top_brqrv_dec_tlu_mrac_in[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688793 (
+	.A(n_1819),
+	.B(brqrv_top_brqrv_dec_dec_csr_wrdata_r[21]),
+	.Y(brqrv_top_brqrv_dec_tlu_mrac_in[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688794 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[15]),
+	.B(n_1821),
+	.Y(brqrv_top_brqrv_dec_tlu_mrac_in[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g688795 (
+	.A(brqrv_top_brqrv_exu_n_908),
+	.B(n_485),
+	.X(n_1986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688796 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[13]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_n_310),
+	.Y(brqrv_top_brqrv_dec_tlu_mrac_in[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g688797 (
+	.A1(n_331),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[653]),
+	.B1(n_1739),
+	.C1(n_1740),
+	.Y(n_1985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g688798 (
+	.A1(n_331),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[654]),
+	.B1(n_1743),
+	.C1(n_1745),
+	.Y(n_1984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g688799 (
+	.A1(n_321),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[701]),
+	.B1(n_1742),
+	.C1(n_1744),
+	.Y(n_1983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688800 (
+	.A(n_1703),
+	.B(n_1882),
+	.Y(n_1982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g688801 (
+	.A1(n_331),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[655]),
+	.B1(n_1747),
+	.C1(n_1748),
+	.Y(n_1981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g688802 (
+	.A1(n_331),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[656]),
+	.B1(n_1749),
+	.C1(n_1750),
+	.Y(n_1980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g688803 (
+	.A(brqrv_top_brqrv_exu_n_901),
+	.B(n_485),
+	.X(n_1979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g688804 (
+	.A1(n_331),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[657]),
+	.B1(n_1752),
+	.C1(n_1753),
+	.Y(n_1978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g688805 (
+	.A1(n_458),
+	.A2(n_30581),
+	.B1(n_1851),
+	.Y(n_1977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688806 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[25]),
+	.B(n_1818),
+	.Y(brqrv_top_brqrv_dec_tlu_mrac_in[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g688808 (
+	.A1(n_39161),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[542]),
+	.B1(n_1780),
+	.C1(n_1755),
+	.Y(n_1976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g688809 (
+	.A1(n_1671),
+	.A2(brqrv_top_brqrv_dec_dec_i0_instr_d[4]),
+	.B1(brqrv_top_brqrv_dec_decode_i0_dec_n_75),
+	.Y(n_1975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688810 (
+	.A1(n_359),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[680]),
+	.B1(n_492),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[40]),
+	.C1(n_1853),
+	.Y(n_1974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688811 (
+	.A1(n_358),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[95]),
+	.B1(n_356),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[319]),
+	.C1(n_1876),
+	.Y(n_1973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688812 (
+	.A1(n_499),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[478]),
+	.B1(n_360),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[286]),
+	.C1(n_1846),
+	.Y(n_1972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688813 (
+	.A1(n_504),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[893]),
+	.B1(n_492),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[61]),
+	.C1(n_1844),
+	.Y(n_1971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688814 (
+	.A1(n_509),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[156]),
+	.B1(n_496),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[860]),
+	.C1(n_1842),
+	.Y(n_1970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688815 (
+	.A1(n_356),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[315]),
+	.B1(n_497),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[187]),
+	.C1(n_1840),
+	.Y(n_1969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688816 (
+	.A1(n_493),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[730]),
+	.B1(n_352),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[666]),
+	.C1(n_1839),
+	.Y(n_1968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688817 (
+	.A1(n_495),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[390]),
+	.B1(n_497),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[166]),
+	.C1(n_1837),
+	.Y(n_1967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688818 (
+	.A1(n_493),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[729]),
+	.B1(n_352),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[665]),
+	.C1(n_1836),
+	.Y(n_1966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688819 (
+	.A1(n_498),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[916]),
+	.B1(n_497),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[180]),
+	.C1(n_1832),
+	.Y(n_1965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688820 (
+	.A1(n_510),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[787]),
+	.B1(n_497),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[179]),
+	.C1(n_1830),
+	.Y(n_1964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688821 (
+	.A1(n_358),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[82]),
+	.B1(n_498),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[914]),
+	.C1(n_1828),
+	.Y(n_1963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688822 (
+	.A1(n_358),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[80]),
+	.B1(n_508),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[528]),
+	.C1(n_1826),
+	.Y(n_1962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g688823 (
+	.A1(n_334),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[274]),
+	.B1(n_1756),
+	.C1(n_1757),
+	.Y(n_1961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688824 (
+	.A1(n_360),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[261]),
+	.B1(n_501),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[325]),
+	.C1(n_1824),
+	.Y(n_1960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g688825 (
+	.A1(n_329),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[606]),
+	.B1(n_1814),
+	.Y(n_1959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g688826 (
+	.A1(n_479),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[496]),
+	.B1(n_1813),
+	.Y(n_1958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g688827 (
+	.A1(n_333),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[348]),
+	.B1(n_1812),
+	.Y(n_1957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g688828 (
+	.A1(n_481),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[228]),
+	.B1(n_1811),
+	.Y(n_1956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g688829 (
+	.A1(n_329),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[602]),
+	.B1(n_1810),
+	.Y(n_1955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g688830 (
+	.A1(n_329),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[600]),
+	.B1(n_1809),
+	.Y(n_1954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g688831 (
+	.A1(n_333),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[342]),
+	.B1(n_1808),
+	.Y(n_1953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g688832 (
+	.A1(n_329),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[607]),
+	.B1(n_1807),
+	.Y(n_1952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g688833 (
+	.A1(n_481),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[244]),
+	.B1(n_1806),
+	.Y(n_1951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g688834 (
+	.A(n_1650),
+	.B(n_651),
+	.C(brqrv_top_brqrv_dec_decode_r_d[1]),
+	.D(n_791),
+	.Y(n_1950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688835 (
+	.A1(n_558),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[53]),
+	.B1(n_399),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[21]),
+	.C1(n_1854),
+	.X(n_1949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688836 (
+	.A1(n_558),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[51]),
+	.B1(n_399),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[19]),
+	.C1(n_1850),
+	.X(n_1948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688837 (
+	.A1(n_558),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[52]),
+	.B1(n_399),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[20]),
+	.C1(n_1852),
+	.X(n_1947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688838 (
+	.A1(n_558),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[50]),
+	.B1(n_399),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[18]),
+	.C1(n_1849),
+	.X(n_1946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688839 (
+	.A1(n_558),
+	.A2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[54]),
+	.B1(n_399),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[22]),
+	.C1(n_1834),
+	.X(n_1945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688840 (
+	.A1(n_32847),
+	.A2(n_1530),
+	.B1(brqrv_top_brqrv_dec_dec_i0_instr_d[2]),
+	.B2(n_1526),
+	.C1(n_1235),
+	.Y(brqrv_top_brqrv_dec_decode_i0_dp_raw[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g688841 (
+	.A1(n_418),
+	.A2(n_30608),
+	.B1(n_1221),
+	.C1(n_1774),
+	.X(brqrv_top_brqrv_lsu_bus_read_data_m[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g688842 (
+	.A1(n_418),
+	.A2(n_30617),
+	.B1(n_1193),
+	.C1(n_1769),
+	.X(brqrv_top_brqrv_lsu_bus_read_data_m[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 g688843 (
+	.A1(n_418),
+	.A2(n_30597),
+	.B1(n_1213),
+	.C1(n_1772),
+	.X(brqrv_top_brqrv_lsu_bus_read_data_m[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g688844 (
+	.A(n_32678),
+	.B(n_31509),
+	.C(n_31458),
+	.X(n_31072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g688845 (
+	.A(n_514),
+	.B(n_790),
+	.C(n_1621),
+	.Y(n_33426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688846 (
+	.A(n_514),
+	.B(n_1856),
+	.Y(n_33424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g688847 (
+	.A(n_514),
+	.B(n_982),
+	.C(n_1700),
+	.Y(n_33423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688848 (
+	.A(n_30627),
+	.B(n_1863),
+	.Y(n_33416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688849 (
+	.A(n_30627),
+	.B(n_1866),
+	.Y(n_33420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688850 (
+	.A(n_30627),
+	.B(n_1870),
+	.Y(n_33417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g688851 (
+	.A(n_514),
+	.B(n_1130),
+	.C(n_1644),
+	.Y(n_33422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g688852 (
+	.A(n_747),
+	.B(n_1475),
+	.C(n_1531),
+	.D(n_32556),
+	.X(n_1988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 g688853 (
+	.A(n_32678),
+	.B(n_31528),
+	.C_N(n_29916),
+	.X(n_31527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g688854 (
+	.A(n_1944),
+	.Y(brqrv_top_brqrv_lsu_bus_read_data_m[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g688855 (
+	.A(n_1942),
+	.Y(n_1943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g688856 (
+	.A(n_33425),
+	.Y(n_1941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688857 (
+	.A1(n_261605_BAR),
+	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[1]),
+	.B1(n_1781),
+	.B2(brqrv_top_brqrv_ifu_i0_pc[1]),
+	.C1(brqrv_top_brqrv_exu_n_887),
+	.Y(n_1940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g688858 (
+	.A1(n_331),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[651]),
+	.B1(n_1734),
+	.C1(n_1735),
+	.Y(n_1939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g688859 (
+	.A1(n_331),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[652]),
+	.B1(n_1736),
+	.C1(n_1737),
+	.Y(n_1938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g688860 (
+	.A1(n_325),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[394]),
+	.B1(n_1732),
+	.C1(n_1738),
+	.Y(n_1937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g688861 (
+	.A1(n_334),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[284]),
+	.B1(n_1730),
+	.C1(n_1731),
+	.Y(n_1936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g688862 (
+	.A1(n_325),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[392]),
+	.B1(n_1729),
+	.C1(n_1728),
+	.Y(n_1935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g688863 (
+	.A1(n_331),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[646]),
+	.B1(n_1746),
+	.C1(n_1727),
+	.Y(n_1934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g688864 (
+	.A1(n_39163),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[292]),
+	.B1(n_1725),
+	.C1(n_1724),
+	.Y(n_1933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g688865 (
+	.A1(n_327),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[547]),
+	.B1(n_1722),
+	.C1(n_1723),
+	.Y(n_1932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g688866 (
+	.A1(n_317),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[443]),
+	.B1(n_1720),
+	.C1(n_1721),
+	.Y(n_1931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g688867 (
+	.A1(n_39163),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[290]),
+	.B1(n_1718),
+	.C1(n_1719),
+	.Y(n_1930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g688868 (
+	.A1(n_321),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[673]),
+	.B1(n_1716),
+	.C1(n_1717),
+	.Y(n_1929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g688869 (
+	.A1(n_39163),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[288]),
+	.B1(n_1714),
+	.C1(n_1715),
+	.Y(n_1928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688870 (
+	.A1(n_547),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[18]),
+	.B1(n_361),
+	.B2(n_30668),
+	.C1(n_1857),
+	.X(n_1927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g688871 (
+	.A1(n_321),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[698]),
+	.B1(n_1709),
+	.C1(n_1711),
+	.Y(n_1926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688872 (
+	.A1(n_261605_BAR),
+	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[2]),
+	.B1(n_1781),
+	.B2(brqrv_top_brqrv_ifu_i0_pc[2]),
+	.C1(brqrv_top_brqrv_exu_n_888),
+	.Y(n_1925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g688873 (
+	.A1(n_334),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[281]),
+	.B1(n_1778),
+	.C1(n_1645),
+	.Y(n_1924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g688874 (
+	.A1(n_39161),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[536]),
+	.B1(n_1692),
+	.C1(n_1693),
+	.Y(n_1923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g688875 (
+	.A1(n_327),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[567]),
+	.B1(n_1686),
+	.C1(n_1687),
+	.Y(n_1922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688876 (
+	.A1(n_1781),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[3]),
+	.B1(n_261605_BAR),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[3]),
+	.Y(n_1921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688877 (
+	.A1(n_1781),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[4]),
+	.B1(n_261605_BAR),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[4]),
+	.Y(n_1920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g688878 (
+	.A1(n_334),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[278]),
+	.B1(n_1684),
+	.C1(n_1685),
+	.Y(n_1919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g688879 (
+	.A1(n_321),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[703]),
+	.B1(n_1680),
+	.C1(n_1683),
+	.Y(n_1918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g688880 (
+	.A1(n_334),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[277]),
+	.B1(n_1681),
+	.C1(n_1682),
+	.Y(n_1917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g688881 (
+	.A1(n_325),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[404]),
+	.B1(n_1678),
+	.C1(n_1679),
+	.Y(n_1916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688882 (
+	.A1(n_1781),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[5]),
+	.B1(n_261605_BAR),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[5]),
+	.Y(n_1915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g688883 (
+	.A1(n_334),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[275]),
+	.B1(n_1676),
+	.C1(n_1677),
+	.Y(n_1914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g688884 (
+	.A(n_31612),
+	.B(n_1606),
+	.C(n_31613),
+	.D(n_31753),
+	.X(n_1913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g688885 (
+	.A1(n_525),
+	.A2(n_1782),
+	.B1(n_1881),
+	.Y(n_1912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688886 (
+	.A1(n_517),
+	.A2(n_30553),
+	.B1(n_361),
+	.B2(n_30592),
+	.C1(n_1664),
+	.Y(n_1911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688887 (
+	.A1(n_1781),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[31]),
+	.B1(n_261605_BAR),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[31]),
+	.Y(n_1910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688888 (
+	.A1(n_1781),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[30]),
+	.B1(n_261605_BAR),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[30]),
+	.Y(n_1909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688889 (
+	.A1(n_1781),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[29]),
+	.B1(n_261605_BAR),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[29]),
+	.Y(n_1908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688890 (
+	.A1(n_1781),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[28]),
+	.B1(n_261605_BAR),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[28]),
+	.Y(n_1907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688891 (
+	.A1(n_361),
+	.A2(n_30678),
+	.B1(n_517),
+	.B2(n_30622),
+	.C1(n_1654),
+	.Y(n_1906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688892 (
+	.A1(n_1781),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[27]),
+	.B1(n_261605_BAR),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[27]),
+	.Y(n_1905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688893 (
+	.A1(n_1781),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[26]),
+	.B1(n_261605_BAR),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[26]),
+	.Y(n_1904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688894 (
+	.A1(n_1781),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[25]),
+	.B1(n_261605_BAR),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[25]),
+	.Y(n_1903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688895 (
+	.A1(n_1781),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[24]),
+	.B1(n_261605_BAR),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[24]),
+	.Y(n_1902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688896 (
+	.A1(n_1781),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[23]),
+	.B1(n_261605_BAR),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[23]),
+	.Y(n_1901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688897 (
+	.A1(n_1781),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[22]),
+	.B1(n_261605_BAR),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[22]),
+	.Y(n_1900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688898 (
+	.A1(n_517),
+	.A2(n_30619),
+	.B1(n_361),
+	.B2(n_30701),
+	.C1(n_1652),
+	.Y(n_1899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688899 (
+	.A1(n_1781),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[21]),
+	.B1(n_261605_BAR),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[21]),
+	.Y(n_1898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688900 (
+	.A1(n_1781),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[20]),
+	.B1(n_261605_BAR),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[20]),
+	.Y(n_1897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688901 (
+	.A1(n_1781),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[19]),
+	.B1(n_261605_BAR),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[19]),
+	.Y(n_1896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688902 (
+	.A1(n_1781),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[18]),
+	.B1(n_261605_BAR),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[18]),
+	.Y(n_1895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688903 (
+	.A1(n_1781),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[17]),
+	.B1(n_261605_BAR),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[17]),
+	.Y(n_1894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688904 (
+	.A1(n_1781),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[16]),
+	.B1(n_261605_BAR),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[16]),
+	.Y(n_1893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688905 (
+	.A1(n_1781),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[15]),
+	.B1(n_261605_BAR),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[15]),
+	.Y(n_1892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688906 (
+	.A1(n_1781),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[14]),
+	.B1(n_261605_BAR),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[14]),
+	.Y(n_1891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688907 (
+	.A1(n_1781),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[13]),
+	.B1(n_261605_BAR),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[13]),
+	.Y(n_1890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688908 (
+	.A1(n_1781),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[12]),
+	.B1(n_261605_BAR),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[12]),
+	.Y(n_1889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688909 (
+	.A1(n_1781),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[11]),
+	.B1(n_261605_BAR),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[11]),
+	.Y(n_1888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688910 (
+	.A1(n_1781),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[10]),
+	.B1(n_261605_BAR),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[10]),
+	.Y(n_1887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688911 (
+	.A1(n_1781),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[9]),
+	.B1(n_261605_BAR),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[9]),
+	.Y(n_1886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688912 (
+	.A1(n_1781),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[8]),
+	.B1(n_261605_BAR),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[8]),
+	.Y(n_1885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688913 (
+	.A1(n_1781),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[7]),
+	.B1(n_261605_BAR),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[7]),
+	.Y(n_1884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g688914 (
+	.A1(n_1781),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[6]),
+	.B1(n_261605_BAR),
+	.B2(brqrv_top_brqrv_dbg_cmd_wrdata[6]),
+	.Y(n_1883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g688915 (
+	.A(n_647),
+	.B(n_1197),
+	.C(n_1196),
+	.D(n_1592),
+	.Y(n_1944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g688916 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_298),
+	.B(brqrv_top_brqrv_dec_dec_csr_wrdata_r[3]),
+	.C(brqrv_top_brqrv_dec_dec_csr_wrdata_r[2]),
+	.Y(n_1942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g688917 (
+	.A(n_1636),
+	.B(n_1635),
+	.C(n_30544),
+	.X(n_33425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688918 (
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.A2(n_32921),
+	.B1(n_338),
+	.B2(n_33183),
+	.C1(n_1628),
+	.X(n_34733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688919 (
+	.A1(n_485),
+	.A2(brqrv_top_brqrv_exu_n_893),
+	.B1(n_484),
+	.B2(n_33131),
+	.C1(n_1785),
+	.X(n_34731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688920 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_4),
+	.Y(n_34708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g688921 (
+	.A(n_33188),
+	.Y(n_1879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g688922 (
+	.A(n_1878),
+	.Y(n_33191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g688923 (
+	.A(n_1877),
+	.Y(n_33190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688924 (
+	.A1(n_349),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[127]),
+	.B1(n_497),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[191]),
+	.C1(n_1712),
+	.X(n_1876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688925 (
+	.A1(n_39158),
+	.A2(brqrv_top_brqrv_lsu_store_data_hi_r[24]),
+	.B1(n_378),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[88]),
+	.C1(n_1579),
+	.Y(n_1875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g688926 (
+	.A1(n_1616),
+	.A2(n_1414),
+	.B1(n_31767),
+	.Y(n_1874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688927 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_298),
+	.B(brqrv_top_brqrv_dec_dec_csr_wrdata_r[1]),
+	.Y(brqrv_top_brqrv_dec_tlu_mrac_in[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688928 (
+	.A1(n_39158),
+	.A2(brqrv_top_brqrv_lsu_store_data_hi_r[25]),
+	.B1(n_378),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[89]),
+	.C1(n_1528),
+	.Y(n_1873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688929 (
+	.A1(n_39158),
+	.A2(brqrv_top_brqrv_lsu_store_data_hi_r[26]),
+	.B1(n_378),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[90]),
+	.C1(n_1527),
+	.Y(n_1872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g688930 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[3]),
+	.A2(n_1608),
+	.B1(n_1784),
+	.Y(n_1871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688931 (
+	.A(n_1640),
+	.B(n_1642),
+	.Y(n_1870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688932 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[7]),
+	.B(n_1705),
+	.Y(brqrv_top_brqrv_dec_tlu_mrac_in[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688933 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[5]),
+	.B(n_1701),
+	.Y(brqrv_top_brqrv_dec_tlu_mrac_in[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g688934 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[3]),
+	.A2(n_1619),
+	.B1_N(n_31593),
+	.Y(n_1869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688935 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[3]),
+	.B(n_1703),
+	.Y(brqrv_top_brqrv_dec_tlu_mrac_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g688936 (
+	.A1(n_1331),
+	.A2(n_1404),
+	.A3(n_1119),
+	.B1(brqrv_top_brqrv_dec_dec_i0_instr_d[4]),
+	.Y(n_1868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688937 (
+	.A1(n_1490),
+	.A2(n_30692),
+	.B1(n_1514),
+	.B2(n_30691),
+	.C1(n_702),
+	.Y(n_1867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688938 (
+	.A1(n_569),
+	.A2(n_30536),
+	.B1(n_566),
+	.B2(n_30612),
+	.C1(n_1764),
+	.Y(n_1866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g688939 (
+	.A1(brqrv_top_brqrv_ifu_i0_pc[16]),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[15]),
+	.B1(n_1782),
+	.Y(n_1865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g688940 (
+	.A1(n_1405),
+	.A2(n_31603),
+	.B1(n_1784),
+	.Y(n_1864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688941 (
+	.A1(n_419),
+	.A2(n_30670),
+	.B1(n_415),
+	.B2(n_30669),
+	.C1(n_1760),
+	.Y(n_1863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688942 (
+	.A1(n_39158),
+	.A2(brqrv_top_brqrv_lsu_store_data_hi_r[27]),
+	.B1(n_378),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[91]),
+	.C1(n_1522),
+	.Y(n_1862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688943 (
+	.A1(n_39158),
+	.A2(brqrv_top_brqrv_lsu_store_data_hi_r[29]),
+	.B1(n_378),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[93]),
+	.C1(n_1523),
+	.Y(n_1861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688944 (
+	.A1(n_39158),
+	.A2(brqrv_top_brqrv_lsu_store_data_hi_r[30]),
+	.B1(n_378),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[94]),
+	.C1(n_1524),
+	.Y(n_1860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688945 (
+	.A1(n_39158),
+	.A2(brqrv_top_brqrv_lsu_store_data_hi_r[31]),
+	.B1(n_378),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[95]),
+	.C1(n_1525),
+	.Y(n_1859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688946 (
+	.A1(n_1490),
+	.A2(n_30548),
+	.B1(n_1517),
+	.B2(n_30547),
+	.C1(n_693),
+	.Y(n_1858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688947 (
+	.A1(n_1496),
+	.A2(n_30546),
+	.B1(n_1520),
+	.B2(n_30547),
+	.C1(n_706),
+	.Y(n_1857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688948 (
+	.A1(n_361),
+	.A2(n_30669),
+	.B1(n_517),
+	.B2(n_30668),
+	.C1(n_1646),
+	.Y(n_1856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g688949 (
+	.A1(n_507),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[488]),
+	.B1(n_1751),
+	.Y(n_1855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688950 (
+	.A1(n_396),
+	.A2(brqrv_top_brqrv_lsu_store_data_lo_r[21]),
+	.B1(n_402),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[21]),
+	.C1(n_1643),
+	.X(n_1854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688951 (
+	.A1(n_509),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[136]),
+	.B1(n_511),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[1000]),
+	.C1(n_1741),
+	.X(n_1853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688952 (
+	.A1(n_396),
+	.A2(brqrv_top_brqrv_lsu_store_data_lo_r[20]),
+	.B1(n_402),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[20]),
+	.C1(n_1641),
+	.X(n_1852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g688953 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[115]),
+	.A2(n_417),
+	.B1(n_1438),
+	.B2(n_31863),
+	.C1(n_30532),
+	.C2(n_548),
+	.Y(n_1851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688954 (
+	.A1(n_396),
+	.A2(brqrv_top_brqrv_lsu_store_data_lo_r[19]),
+	.B1(n_402),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[19]),
+	.C1(n_1639),
+	.X(n_1850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688955 (
+	.A1(n_396),
+	.A2(brqrv_top_brqrv_lsu_store_data_lo_r[18]),
+	.B1(n_402),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[18]),
+	.C1(n_1634),
+	.X(n_1849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g688956 (
+	.A1(n_353),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[383]),
+	.B1(n_1713),
+	.Y(n_1848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688957 (
+	.A(brqrv_top_brqrv_dec_tlu_i0_trigger_hit_raw_r),
+	.B(n_1775),
+	.Y(n_1847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g688958 (
+	.A(n_1441),
+	.B(n_960),
+	.C(n_718),
+	.D(n_959),
+	.Y(n_1846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688959 (
+	.A1(n_351),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[222]),
+	.B1(n_492),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[62]),
+	.C1(n_1710),
+	.Y(n_1845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g688960 (
+	.A(n_1443),
+	.B(n_946),
+	.C(n_711),
+	.D(n_697),
+	.Y(n_1844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g688961 (
+	.A1(n_355),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[230]),
+	.B1(n_1758),
+	.Y(n_1843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g688962 (
+	.A(n_1446),
+	.B(n_937),
+	.C(n_716),
+	.D(n_670),
+	.Y(n_1842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g688963 (
+	.A1(n_353),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[379]),
+	.B1(n_1776),
+	.Y(n_1841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688964 (
+	.A1(n_351),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[219]),
+	.B1(n_492),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[59]),
+	.C1(n_1777),
+	.X(n_1840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g688965 (
+	.A(n_1450),
+	.B(n_912),
+	.C(n_626),
+	.D(n_913),
+	.Y(n_1839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688966 (
+	.A1(n_349),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[122]),
+	.B1(n_497),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[186]),
+	.C1(n_1779),
+	.Y(n_1838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688967 (
+	.A1(n_358),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[70]),
+	.B1(n_511),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[998]),
+	.C1(n_1699),
+	.X(n_1837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g688968 (
+	.A(n_1453),
+	.B(n_899),
+	.C(n_720),
+	.D(n_898),
+	.Y(n_1836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688969 (
+	.A1(n_349),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[121]),
+	.B1(n_497),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[185]),
+	.C1(n_1698),
+	.Y(n_1835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688970 (
+	.A1(n_396),
+	.A2(brqrv_top_brqrv_lsu_store_data_lo_r[22]),
+	.B1(n_402),
+	.B2(brqrv_top_brqrv_lsu_store_data_hi_r[22]),
+	.C1(n_1637),
+	.X(n_1834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g688971 (
+	.A1(n_354),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[756]),
+	.B1(n_1697),
+	.Y(n_1833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688972 (
+	.A1(n_351),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[212]),
+	.B1(n_492),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[52]),
+	.C1(n_1696),
+	.X(n_1832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g688973 (
+	.A1(n_496),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[851]),
+	.B1(n_1695),
+	.Y(n_1831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688974 (
+	.A1(n_351),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[211]),
+	.B1(n_492),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[51]),
+	.C1(n_1694),
+	.X(n_1830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g688975 (
+	.A1(n_354),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[754]),
+	.B1(n_1691),
+	.Y(n_1829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688976 (
+	.A1(n_349),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[114]),
+	.B1(n_497),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[178]),
+	.C1(n_1690),
+	.X(n_1828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g688977 (
+	.A1(n_494),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[624]),
+	.B1(n_1689),
+	.Y(n_1827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688978 (
+	.A1(n_349),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[112]),
+	.B1(n_497),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[176]),
+	.C1(n_1688),
+	.X(n_1826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688979 (
+	.A1(n_509),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[133]),
+	.B1(n_511),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[997]),
+	.C1(n_1675),
+	.Y(n_1825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g688980 (
+	.A(n_1467),
+	.B(n_777),
+	.C(n_633),
+	.D(n_770),
+	.Y(n_1824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g688981 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[0]),
+	.B(brqrv_top_brqrv_dec_dec_csr_wrdata_r[1]),
+	.Y(n_1882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688982 (
+	.A1(n_425),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[100]),
+	.B1(n_575),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[4]),
+	.C1(n_1607),
+	.X(n_33411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688983 (
+	.A1(n_33165),
+	.A2(n_339),
+	.B1(n_19640),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[22]),
+	.C1(n_1631),
+	.X(brqrv_top_brqrv_exu_n_908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g688984 (
+	.A(n_1782),
+	.B(n_525),
+	.Y(n_1881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688985 (
+	.A1(n_33189),
+	.A2(n_340),
+	.B1(n_19640),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[15]),
+	.C1(n_1630),
+	.X(brqrv_top_brqrv_exu_n_901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688986 (
+	.A1(n_338),
+	.A2(n_33197),
+	.B1(n_484),
+	.B2(n_33142),
+	.C1(n_1706),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g688987 (
+	.A1(n_30661),
+	.A2(n_1508),
+	.B1(n_30662),
+	.B2(n_435),
+	.C1(n_30658),
+	.Y(n_33188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688988 (
+	.A1(brqrv_top_brqrv_lsu_lsu_ld_data_m[17]),
+	.A2(n_500),
+	.B1(brqrv_top_brqrv_lsu_bus_read_data_m[17]),
+	.B2(n_348),
+	.C1(n_30570),
+	.Y(n_1878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g688989 (
+	.A1(n_338),
+	.A2(n_33186),
+	.B1(n_484),
+	.B2(n_33135),
+	.C1(n_1707),
+	.X(n_34697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688990 (
+	.A1(brqrv_top_brqrv_lsu_lsu_ld_data_m[16]),
+	.A2(n_500),
+	.B1(brqrv_top_brqrv_lsu_bus_read_data_m[16]),
+	.B2(n_348),
+	.C1(n_30570),
+	.Y(n_1877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g688992 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[13]),
+	.Y(n_1822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g688993 (
+	.A(n_1821),
+	.Y(brqrv_top_brqrv_dec_dec_csr_wrdata_r[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g688994 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[26]),
+	.Y(n_1820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g688996 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[24]),
+	.Y(n_1818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g688998 (
+	.A1(n_39154),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[153]),
+	.B1(n_318),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[121]),
+	.C1(n_1653),
+	.Y(n_1816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g688999 (
+	.A1(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[0]),
+	.A2(n_519),
+	.B1(n_1238),
+	.C1(n_746),
+	.D1(n_1465),
+	.Y(n_1815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689000 (
+	.A1(n_1294),
+	.A2(n_31219),
+	.B1(n_39135),
+	.B2(n_31221),
+	.Y(n_315253_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689001 (
+	.A1(n_1294),
+	.A2(n_32402),
+	.B1(n_39135),
+	.B2(n_32404),
+	.Y(n_315301_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689002 (
+	.A1(n_1294),
+	.A2(n_31175),
+	.B1(n_39135),
+	.B2(n_31177),
+	.Y(n_315421_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689003 (
+	.A1(n_1294),
+	.A2(n_32411),
+	.B1(n_39135),
+	.B2(n_32413),
+	.Y(n_361248_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689004 (
+	.A1(n_1294),
+	.A2(n_31201),
+	.B1(n_39135),
+	.B2(n_31203),
+	.Y(n_315349_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g689005 (
+	.A1(n_1289),
+	.A2(n_31219),
+	.B1(n_1293),
+	.B2(n_31221),
+	.X(n_31027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689006 (
+	.A(n_1480),
+	.B(n_721),
+	.C(n_1161),
+	.D(n_722),
+	.Y(n_1814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689007 (
+	.A(n_1417),
+	.B(n_1131),
+	.C(n_680),
+	.D(n_710),
+	.Y(n_1813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689008 (
+	.A(n_1389),
+	.B(n_683),
+	.C(n_1100),
+	.D(n_685),
+	.Y(n_1812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689009 (
+	.A(n_1049),
+	.B(n_1365),
+	.C(n_684),
+	.D(n_632),
+	.Y(n_1811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689010 (
+	.A(n_1348),
+	.B(n_709),
+	.C(n_963),
+	.D(n_708),
+	.Y(n_1810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689011 (
+	.A(n_1339),
+	.B(n_656),
+	.C(n_877),
+	.D(n_659),
+	.Y(n_1809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689012 (
+	.A(n_833),
+	.B(n_1343),
+	.C(n_657),
+	.D(n_648),
+	.Y(n_1808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689013 (
+	.A(n_1344),
+	.B(n_642),
+	.C(n_816),
+	.D(n_644),
+	.Y(n_1807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689014 (
+	.A(n_1355),
+	.B(n_781),
+	.C(n_667),
+	.D(n_668),
+	.Y(n_1806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g689015 (
+	.A1(n_337),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[178]),
+	.B1(n_319),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[210]),
+	.C1(n_1673),
+	.Y(n_1805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g689016 (
+	.A1(n_319),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[209]),
+	.B1(n_318),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[113]),
+	.C1(n_1672),
+	.Y(n_1804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g689017 (
+	.A1(n_319),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[207]),
+	.B1(n_318),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[111]),
+	.C1(n_1670),
+	.Y(n_1803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g689018 (
+	.A1(n_319),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[197]),
+	.B1(n_318),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[101]),
+	.C1(n_1660),
+	.Y(n_1802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g689019 (
+	.A1(n_39154),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[157]),
+	.B1(n_318),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[125]),
+	.C1(n_1668),
+	.Y(n_1801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g689020 (
+	.A1(n_319),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[206]),
+	.B1(n_318),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[110]),
+	.C1(n_1669),
+	.Y(n_1800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g689021 (
+	.A1(n_319),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[205]),
+	.B1(n_318),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[109]),
+	.C1(n_1667),
+	.Y(n_1799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g689022 (
+	.A1(n_319),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[204]),
+	.B1(n_318),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[108]),
+	.C1(n_1666),
+	.Y(n_1798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g689023 (
+	.A1(n_319),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[203]),
+	.B1(n_318),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[107]),
+	.C1(n_1665),
+	.Y(n_1797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g689024 (
+	.A1(n_319),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[202]),
+	.B1(n_318),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[106]),
+	.C1(n_1663),
+	.Y(n_1796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g689025 (
+	.A1(n_319),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[200]),
+	.B1(n_318),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[104]),
+	.C1(n_1662),
+	.Y(n_1795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g689026 (
+	.A1(n_319),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[198]),
+	.B1(n_318),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[102]),
+	.C1(n_1661),
+	.Y(n_1794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g689027 (
+	.A1(n_319),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[195]),
+	.B1(n_318),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[99]),
+	.C1(n_1659),
+	.Y(n_1793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g689028 (
+	.A1(n_39154),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[155]),
+	.B1(n_318),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[123]),
+	.C1(n_1658),
+	.Y(n_1792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g689029 (
+	.A1(n_319),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[194]),
+	.B1(n_318),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[98]),
+	.C1(n_1657),
+	.Y(n_1791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g689030 (
+	.A1(n_337),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[161]),
+	.B1(n_319),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[193]),
+	.C1(n_1656),
+	.Y(n_1790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g689031 (
+	.A1(n_319),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[192]),
+	.B1(n_318),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[96]),
+	.C1(n_1655),
+	.Y(n_1789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g689032 (
+	.A1(n_1289),
+	.A2(n_32402),
+	.B1(n_1293),
+	.B2(n_32404),
+	.X(n_32165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g689033 (
+	.A1(n_39154),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[151]),
+	.B1(n_318),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[119]),
+	.C1(n_1651),
+	.Y(n_1788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g689034 (
+	.A1(n_337),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[181]),
+	.B1(n_319),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[213]),
+	.C1(n_1648),
+	.Y(n_1787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g689035 (
+	.A1(n_319),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[211]),
+	.B1(n_318),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[115]),
+	.C1(n_1647),
+	.Y(n_1786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g689037 (
+	.A1(n_1289),
+	.A2(n_31192),
+	.B1(n_1293),
+	.B2(n_31194),
+	.X(n_32166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689039 (
+	.A1(n_1289),
+	.A2(n_31150),
+	.B1(n_1293),
+	.B2(n_32401),
+	.Y(n_315302_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689040 (
+	.A1(n_1289),
+	.A2(n_32401),
+	.B1(n_1293),
+	.B2(n_32402),
+	.Y(n_305877_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689041 (
+	.A1(n_1289),
+	.A2(n_32410),
+	.B1(n_1293),
+	.B2(n_32411),
+	.Y(n_305853_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689042 (
+	.A1(n_1289),
+	.A2(n_31153),
+	.B1(n_1293),
+	.B2(n_32410),
+	.Y(n_361249_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689043 (
+	.A1(n_1289),
+	.A2(n_31174),
+	.B1(n_1293),
+	.B2(n_31175),
+	.Y(n_360208_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689044 (
+	.A1(n_1289),
+	.A2(n_31209),
+	.B1(n_1293),
+	.B2(n_31210),
+	.Y(n_305901_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689045 (
+	.A1(n_1289),
+	.A2(n_31183),
+	.B1(n_1293),
+	.B2(n_32393),
+	.Y(n_305973_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g689046 (
+	.A1_N(n_32919),
+	.A2_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.B1(n_32620),
+	.B2(n_30885),
+	.Y(n_1785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g689047 (
+	.A1(n_1289),
+	.A2(n_31185),
+	.B1(n_1293),
+	.B2(n_31184),
+	.X(n_32170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689048 (
+	.A1(n_1289),
+	.A2(n_32393),
+	.B1(n_1293),
+	.B2(n_31185),
+	.Y(n_306236_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689049 (
+	.A1(n_1289),
+	.A2(n_31147),
+	.B1(n_1293),
+	.B2(n_31200),
+	.Y(n_315350_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689050 (
+	.A1(n_1289),
+	.A2(n_31218),
+	.B1(n_1293),
+	.B2(n_31219),
+	.Y(n_360198_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689051 (
+	.A1(n_1289),
+	.A2(n_31156),
+	.B1(n_1293),
+	.B2(n_31218),
+	.Y(n_315254_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689053 (
+	.A1(n_1289),
+	.A2(n_31144),
+	.B1(n_1293),
+	.B2(n_31174),
+	.Y(n_315422_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g689054 (
+	.A1(n_1289),
+	.A2(n_31175),
+	.B1(n_1293),
+	.B2(n_31177),
+	.X(n_32168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689055 (
+	.A1(n_1294),
+	.A2(n_31185),
+	.B1(n_39135),
+	.B2(n_31184),
+	.Y(n_305972_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g689056 (
+	.A1(n_1289),
+	.A2(n_31201),
+	.B1(n_1293),
+	.B2(n_31203),
+	.X(n_31028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g689057 (
+	.A1(n_1289),
+	.A2(n_32411),
+	.B1(n_1293),
+	.B2(n_32413),
+	.X(n_32164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g689058 (
+	.A1_N(brqrv_top_brqrv_dec_i0_result_r[19]),
+	.A2_N(n_29931),
+	.B1(n_29931),
+	.B2(n_1609),
+	.Y(n_33459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g689059 (
+	.A1(n_33465),
+	.A2(n_315),
+	.B1(n_30413),
+	.B2(brqrv_top_brqrv_dec_decode_write_csr_data[25]),
+	.X(brqrv_top_brqrv_dec_dec_csr_wrdata_r[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g689060 (
+	.A1(n_33453),
+	.A2(n_315),
+	.B1(n_30413),
+	.B2(brqrv_top_brqrv_dec_decode_write_csr_data[13]),
+	.X(brqrv_top_brqrv_dec_dec_csr_wrdata_r[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689061 (
+	.A1(n_33454),
+	.A2(n_315),
+	.B1(n_30413),
+	.B2(brqrv_top_brqrv_dec_decode_write_csr_data[14]),
+	.Y(n_1821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g689062 (
+	.A1(n_33466),
+	.A2(n_315),
+	.B1(n_30413),
+	.B2(brqrv_top_brqrv_dec_decode_write_csr_data[26]),
+	.X(brqrv_top_brqrv_dec_dec_csr_wrdata_r[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g689063 (
+	.A1(n_33460),
+	.A2(n_315),
+	.B1(n_30413),
+	.B2(brqrv_top_brqrv_dec_decode_write_csr_data[20]),
+	.X(brqrv_top_brqrv_dec_dec_csr_wrdata_r[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g689064 (
+	.A1(n_33464),
+	.A2(n_315),
+	.B1(n_30413),
+	.B2(brqrv_top_brqrv_dec_decode_write_csr_data[24]),
+	.X(brqrv_top_brqrv_dec_dec_csr_wrdata_r[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g689065 (
+	.A(n_311),
+	.B(n_313),
+	.C(n_312),
+	.X(n_1817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g689066 (
+	.A(n_1783),
+	.Y(brqrv_top_brqrv_lsu_bus_read_data_m[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689067 (
+	.A(n_1148),
+	.B(n_1149),
+	.C(n_969),
+	.D(n_1146),
+	.Y(n_1780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689068 (
+	.A1(n_499),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[474]),
+	.B1(n_353),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[378]),
+	.C1(n_1575),
+	.X(n_1779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689070 (
+	.A(n_1294),
+	.B(n_32395),
+	.Y(n_32173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689071 (
+	.A(n_1294),
+	.B(n_31184),
+	.Y(n_32167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g689073 (
+	.A(n_31214),
+	.B_N(n_31206),
+	.Y(n_298112_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689074 (
+	.A(n_905),
+	.B(n_907),
+	.C(n_903),
+	.D(n_910),
+	.Y(n_1778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689075 (
+	.A1(n_493),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[731]),
+	.B1(n_505),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[603]),
+	.C1(n_1576),
+	.X(n_1777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_1 g689076 (
+	.A1(n_1451),
+	.A2(n_30464),
+	.B1_N(n_30465),
+	.Y(brqrv_top_brqrv_dec_tlu_exc_cause_r[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689077 (
+	.A(n_1294),
+	.B(n_32404),
+	.Y(n_32174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689079 (
+	.A(n_1294),
+	.B(n_32413),
+	.Y(n_32175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689080 (
+	.A(n_1294),
+	.B(n_31221),
+	.Y(n_31032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689081 (
+	.A(n_1294),
+	.B(n_31177),
+	.Y(n_32171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689084 (
+	.A(n_1289),
+	.B(n_32413),
+	.Y(n_31029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689087 (
+	.A1(n_31129),
+	.A2(n_1311),
+	.B1(n_395),
+	.B2(n_32330),
+	.C1(n_31018),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[55]_1655 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g689088 (
+	.A1(brqrv_top_brqrv_dec_tlu_i0_trigger_hit_raw_r),
+	.A2(n_1476),
+	.B1(n_30465),
+	.X(brqrv_top_brqrv_dec_tlu_exc_cause_r[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689089 (
+	.A(n_921),
+	.B(n_1112),
+	.C(n_925),
+	.D(n_920),
+	.Y(n_1776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689090 (
+	.A(brqrv_top_brqrv_dec_tlu_take_int_timer0_int),
+	.B(n_30438),
+	.C(n_30462),
+	.D(n_1250),
+	.Y(n_1775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689091 (
+	.A1(n_32349),
+	.A2(n_1320),
+	.B1(n_390),
+	.B2(n_31091),
+	.C1(n_31988),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689092 (
+	.A1(n_32349),
+	.A2(n_1313),
+	.B1(n_391),
+	.B2(n_31091),
+	.C1(n_31986),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689093 (
+	.A1(n_31129),
+	.A2(n_1320),
+	.B1(n_390),
+	.B2(n_32330),
+	.C1(n_32146),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[36]_1636 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689094 (
+	.A1(n_31129),
+	.A2(n_1313),
+	.B1(n_391),
+	.B2(n_32330),
+	.C1(n_32144),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[34]_1634 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689095 (
+	.A1(n_31125),
+	.A2(n_1320),
+	.B1(n_390),
+	.B2(n_31095),
+	.C1(n_32063),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[36]_1504 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689096 (
+	.A1(n_31125),
+	.A2(n_1313),
+	.B1(n_391),
+	.B2(n_31095),
+	.C1(n_32061),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[34]_1502 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689097 (
+	.A1(n_31125),
+	.A2(n_1317),
+	.B1(n_397),
+	.B2(n_31095),
+	.C1(n_32060),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[32]_1500 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689098 (
+	.A1(n_32347),
+	.A2(n_1320),
+	.B1(n_390),
+	.B2(n_31093),
+	.C1(n_32004),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[36]_1570 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689099 (
+	.A1(n_32347),
+	.A2(n_1313),
+	.B1(n_391),
+	.B2(n_31093),
+	.C1(n_32002),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[34]_1568 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689100 (
+	.A1(n_31127),
+	.A2(n_1320),
+	.B1(n_390),
+	.B2(n_31089),
+	.C1(n_32048),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[36]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689101 (
+	.A1(n_31127),
+	.A2(n_1313),
+	.B1(n_391),
+	.B2(n_31089),
+	.C1(n_32046),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[34]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689102 (
+	.A1(n_568),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[21]),
+	.B1(n_30542),
+	.B2(n_31863),
+	.C1(n_1593),
+	.X(n_1774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g689103 (
+	.A1(n_355),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[252]),
+	.B1(n_360),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[284]),
+	.C1(n_1577),
+	.Y(n_1773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689104 (
+	.A1(n_568),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[20]),
+	.B1(n_30572),
+	.B2(n_548),
+	.C1(n_1591),
+	.X(n_1772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689105 (
+	.A1(n_575),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[6]),
+	.B1(n_425),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[102]),
+	.C1(n_1604),
+	.X(n_1771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g689106 (
+	.A1(n_350),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[572]),
+	.B1(n_505),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[604]),
+	.C1(n_1578),
+	.Y(n_1770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689107 (
+	.A1(n_568),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[22]),
+	.B1(n_30538),
+	.B2(n_31863),
+	.C1(n_1605),
+	.X(n_1769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g689108 (
+	.A1(n_1497),
+	.A2(n_30636),
+	.B1(n_453),
+	.B2(n_30641),
+	.Y(n_1768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g689109 (
+	.A1(n_1503),
+	.A2(n_30636),
+	.B1(n_599),
+	.B2(n_30641),
+	.Y(n_1767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g689110 (
+	.A1(n_1507),
+	.A2(n_30558),
+	.B1(n_443),
+	.B2(n_30559),
+	.Y(n_1766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g689111 (
+	.A1(n_1491),
+	.A2(n_30693),
+	.B1(n_428),
+	.B2(n_30697),
+	.Y(n_1765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g689112 (
+	.A1_N(n_30613),
+	.A2_N(n_415),
+	.B1(n_1518),
+	.B2(n_30631),
+	.Y(n_1764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g689113 (
+	.A1(n_1511),
+	.A2(n_30558),
+	.B1(n_445),
+	.B2(n_30559),
+	.Y(n_1763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g689114 (
+	.A1(n_1516),
+	.A2(n_30558),
+	.B1(n_585),
+	.B2(n_30559),
+	.Y(n_1762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g689115 (
+	.A1(n_1519),
+	.A2(n_30558),
+	.B1(n_449),
+	.B2(n_30559),
+	.Y(n_1761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g689116 (
+	.A1_N(n_30668),
+	.A2_N(n_566),
+	.B1(n_1496),
+	.B2(n_30629),
+	.Y(n_1760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g689117 (
+	.A1(n_1510),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[3]),
+	.B1(n_1582),
+	.X(n_1759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689118 (
+	.A(n_928),
+	.B(n_934),
+	.C(n_941),
+	.D(n_917),
+	.Y(n_1758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689119 (
+	.A(n_1158),
+	.B(n_1157),
+	.C(n_1159),
+	.D(n_1160),
+	.Y(n_1757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689120 (
+	.A(n_1156),
+	.B(n_1154),
+	.C(n_1155),
+	.D(n_1173),
+	.Y(n_1756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689121 (
+	.A(n_1152),
+	.B(n_956),
+	.C(n_819),
+	.D(n_1150),
+	.Y(n_1755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g689122 (
+	.A1(n_355),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[253]),
+	.B1(n_360),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[285]),
+	.C1(n_1529),
+	.Y(n_1754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689123 (
+	.A(n_1141),
+	.B(n_1143),
+	.C(n_1140),
+	.D(n_1144),
+	.Y(n_1753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689124 (
+	.A(n_1137),
+	.B(n_1136),
+	.C(n_1138),
+	.D(n_1139),
+	.Y(n_1752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689125 (
+	.A1(n_354),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[744]),
+	.B1(n_496),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[840]),
+	.C1(n_1610),
+	.X(n_1751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689126 (
+	.A(n_1129),
+	.B(n_892),
+	.C(n_1128),
+	.D(n_887),
+	.Y(n_1750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689127 (
+	.A(n_1125),
+	.B(n_1127),
+	.C(n_1124),
+	.D(n_1126),
+	.Y(n_1749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689128 (
+	.A(n_988),
+	.B(n_1169),
+	.C(n_1114),
+	.D(n_1170),
+	.Y(n_1748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689129 (
+	.A(n_766),
+	.B(n_1175),
+	.C(n_1177),
+	.D(n_1122),
+	.Y(n_1747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689130 (
+	.A(n_1064),
+	.B(n_1063),
+	.C(n_1062),
+	.D(n_1110),
+	.Y(n_1746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689131 (
+	.A(n_1227),
+	.B(n_927),
+	.C(n_1021),
+	.D(n_1228),
+	.Y(n_1745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689132 (
+	.A(n_1230),
+	.B(n_757),
+	.C(n_859),
+	.D(n_1232),
+	.Y(n_1744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689133 (
+	.A(n_1165),
+	.B(n_1229),
+	.C(n_750),
+	.D(n_1231),
+	.Y(n_1743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689134 (
+	.A(n_1236),
+	.B(n_1234),
+	.C(n_1168),
+	.D(n_1233),
+	.Y(n_1742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689135 (
+	.A1(n_495),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[392]),
+	.B1(n_353),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[360]),
+	.C1(n_1611),
+	.X(n_1741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689136 (
+	.A(n_1246),
+	.B(n_1247),
+	.C(n_1245),
+	.D(n_847),
+	.Y(n_1740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689137 (
+	.A(n_1251),
+	.B(n_1254),
+	.C(n_1249),
+	.D(n_1256),
+	.Y(n_1739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689138 (
+	.A(n_817),
+	.B(n_1094),
+	.C(n_1252),
+	.D(n_1095),
+	.Y(n_1738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689139 (
+	.A(n_854),
+	.B(n_1263),
+	.C(n_1262),
+	.D(n_1069),
+	.Y(n_1737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689140 (
+	.A(n_1265),
+	.B(n_1268),
+	.C(n_1264),
+	.D(n_1267),
+	.Y(n_1736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689141 (
+	.A(n_1274),
+	.B(n_1282),
+	.C(n_832),
+	.D(n_838),
+	.Y(n_1735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689142 (
+	.A(n_1284),
+	.B(n_1285),
+	.C(n_1283),
+	.D(n_1286),
+	.Y(n_1734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689143 (
+	.A(n_1288),
+	.B(n_1281),
+	.C(n_1258),
+	.D(n_1287),
+	.Y(n_1733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689144 (
+	.A(n_1101),
+	.B(n_1102),
+	.C(n_1091),
+	.D(n_1098),
+	.Y(n_1732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689145 (
+	.A(n_1090),
+	.B(n_1087),
+	.C(n_1089),
+	.D(n_1084),
+	.Y(n_1731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689146 (
+	.A(n_1075),
+	.B(n_1079),
+	.C(n_1072),
+	.D(n_1261),
+	.Y(n_1730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689147 (
+	.A(n_1082),
+	.B(n_1080),
+	.C(n_879),
+	.D(n_1081),
+	.Y(n_1729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689148 (
+	.A(n_1078),
+	.B(n_1076),
+	.C(n_837),
+	.D(n_1074),
+	.Y(n_1728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689149 (
+	.A(n_1068),
+	.B(n_1066),
+	.C(n_1065),
+	.D(n_923),
+	.Y(n_1727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689150 (
+	.A(n_1054),
+	.B(n_1060),
+	.C(n_1056),
+	.D(n_1053),
+	.Y(n_1726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689151 (
+	.A(n_1044),
+	.B(n_1045),
+	.C(n_1043),
+	.D(n_1046),
+	.Y(n_1725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689152 (
+	.A(n_1040),
+	.B(n_1041),
+	.C(n_1039),
+	.D(n_1042),
+	.Y(n_1724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689153 (
+	.A(n_1029),
+	.B(n_1026),
+	.C(n_1030),
+	.D(n_1028),
+	.Y(n_1723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689154 (
+	.A(n_1024),
+	.B(n_1023),
+	.C(n_1025),
+	.D(n_1022),
+	.Y(n_1722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689155 (
+	.A(n_1019),
+	.B(n_1014),
+	.C(n_1016),
+	.D(n_1020),
+	.Y(n_1721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689156 (
+	.A(n_1008),
+	.B(n_1011),
+	.C(n_1003),
+	.D(n_1012),
+	.Y(n_1720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689157 (
+	.A(n_1007),
+	.B(n_1009),
+	.C(n_1010),
+	.D(n_1006),
+	.Y(n_1719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689158 (
+	.A(n_1004),
+	.B(n_1005),
+	.C(n_1001),
+	.D(n_1002),
+	.Y(n_1718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689159 (
+	.A(n_997),
+	.B(n_995),
+	.C(n_996),
+	.D(n_994),
+	.Y(n_1717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689160 (
+	.A(n_990),
+	.B(n_991),
+	.C(n_989),
+	.D(n_993),
+	.Y(n_1716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689161 (
+	.A(n_979),
+	.B(n_977),
+	.C(n_976),
+	.D(n_978),
+	.Y(n_1715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689162 (
+	.A(n_975),
+	.B(n_973),
+	.C(n_972),
+	.D(n_974),
+	.Y(n_1714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689163 (
+	.A1(n_498),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[927]),
+	.B1(n_504),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[895]),
+	.C1(n_1612),
+	.X(n_1713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689164 (
+	.A1(n_359),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[703]),
+	.B1(n_508),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[543]),
+	.C1(n_1613),
+	.X(n_1712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689165 (
+	.A(n_952),
+	.B(n_958),
+	.C(n_951),
+	.D(n_961),
+	.Y(n_1711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689166 (
+	.A1(n_507),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[510]),
+	.B1(n_493),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[734]),
+	.C1(n_1614),
+	.X(n_1710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689167 (
+	.A(n_945),
+	.B(n_948),
+	.C(n_949),
+	.D(n_943),
+	.Y(n_1709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g689168 (
+	.A1(n_493),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[733]),
+	.B1(n_494),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[637]),
+	.C1(n_1615),
+	.Y(n_1708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g689169 (
+	.A_N(n_1619),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[3]),
+	.Y(n_1784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689170 (
+	.A1(n_432),
+	.A2(n_30664),
+	.B1(n_30663),
+	.B2(n_456),
+	.C1(n_1438),
+	.C2(n_537),
+	.Y(n_1783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g689171 (
+	.A(n_29915),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_n_44),
+	.C(n_31529),
+	.X(n_31528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g689172 (
+	.A_N(n_31480),
+	.B(n_29924),
+	.Y(n_31458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689173 (
+	.A(n_1532),
+	.B(n_362),
+	.Y(n_31612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g689174 (
+	.A1(n_1437),
+	.A2(n_384),
+	.B1(n_1618),
+	.Y(n_31547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g689175 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[16]),
+	.B_N(n_1620),
+	.Y(n_1782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_2 g689176 (
+	.A1(n_31643),
+	.A2(n_32713),
+	.B1(n_33354),
+	.C1(brqrv_top_brqrv_exu_i0_rs1_bypass_en_d),
+	.Y(n_1781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g689177 (
+	.A(n_1674),
+	.Y(n_1707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g689178 (
+	.A(n_1649),
+	.Y(n_1706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g689184 (
+	.A1_N(n_1515),
+	.A2_N(n_30552),
+	.B1(n_30622),
+	.B2(n_516),
+	.Y(n_1700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689185 (
+	.A1(n_352),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[646]),
+	.B1(n_494),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[614]),
+	.C1(n_1573),
+	.X(n_1699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689186 (
+	.A1(n_499),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[473]),
+	.B1(n_353),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[377]),
+	.C1(n_1574),
+	.X(n_1698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689187 (
+	.A1(n_507),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[500]),
+	.B1(n_493),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[724]),
+	.C1(n_1572),
+	.X(n_1697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689188 (
+	.A1(n_495),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[404]),
+	.B1(n_353),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[372]),
+	.C1(n_1571),
+	.X(n_1696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689189 (
+	.A1(n_350),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[563]),
+	.B1(n_505),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[595]),
+	.C1(n_1570),
+	.X(n_1695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689190 (
+	.A1(n_495),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[403]),
+	.B1(n_353),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[371]),
+	.C1(n_1569),
+	.X(n_1694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689191 (
+	.A(n_870),
+	.B(n_871),
+	.C(n_873),
+	.D(n_869),
+	.Y(n_1693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689192 (
+	.A(n_862),
+	.B(n_864),
+	.C(n_867),
+	.D(n_860),
+	.Y(n_1692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689193 (
+	.A1(n_493),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[722]),
+	.B1(n_494),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[626]),
+	.C1(n_1568),
+	.X(n_1691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689194 (
+	.A1(n_499),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[466]),
+	.B1(n_353),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[370]),
+	.C1(n_1567),
+	.X(n_1690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689195 (
+	.A1(n_354),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[752]),
+	.B1(n_510),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[784]),
+	.C1(n_1566),
+	.X(n_1689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689196 (
+	.A1(n_355),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[240]),
+	.B1(n_360),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[272]),
+	.C1(n_1565),
+	.X(n_1688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689197 (
+	.A(n_846),
+	.B(n_848),
+	.C(n_849),
+	.D(n_844),
+	.Y(n_1687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689198 (
+	.A(n_843),
+	.B(n_841),
+	.C(n_840),
+	.D(n_839),
+	.Y(n_1686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689199 (
+	.A(n_827),
+	.B(n_829),
+	.C(n_830),
+	.D(n_826),
+	.Y(n_1685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689200 (
+	.A(n_822),
+	.B(n_823),
+	.C(n_821),
+	.D(n_824),
+	.Y(n_1684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689201 (
+	.A(n_798),
+	.B(n_795),
+	.C(n_803),
+	.D(n_808),
+	.Y(n_1683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689202 (
+	.A(n_805),
+	.B(n_804),
+	.C(n_807),
+	.D(n_810),
+	.Y(n_1682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689203 (
+	.A(n_802),
+	.B(n_799),
+	.C(n_801),
+	.D(n_797),
+	.Y(n_1681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689204 (
+	.A(n_793),
+	.B(n_786),
+	.C(n_789),
+	.D(n_782),
+	.Y(n_1680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689205 (
+	.A(n_775),
+	.B(n_776),
+	.C(n_774),
+	.D(n_778),
+	.Y(n_1679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689206 (
+	.A(n_769),
+	.B(n_773),
+	.C(n_768),
+	.D(n_771),
+	.Y(n_1678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689207 (
+	.A(n_756),
+	.B(n_759),
+	.C(n_755),
+	.D(n_760),
+	.Y(n_1677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689208 (
+	.A(n_754),
+	.B(n_752),
+	.C(n_753),
+	.D(n_751),
+	.Y(n_1676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689209 (
+	.A1(n_350),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[549]),
+	.B1(n_505),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[581]),
+	.C1(n_1564),
+	.X(n_1675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689210 (
+	.A1(brqrv_top_brqrv_exu_n_898),
+	.A2(n_485),
+	.B1(n_39131),
+	.B2(brqrv_top_brqrv_dec_tlu_meihap[12]),
+	.C1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.C2(n_33554),
+	.Y(n_1674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g689211 (
+	.A(n_1479),
+	.B(n_1162),
+	.C(n_726),
+	.Y(n_1673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g689212 (
+	.A(n_1483),
+	.B(n_1145),
+	.C(n_715),
+	.Y(n_1672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g689213 (
+	.A1(n_512),
+	.A2(n_1470),
+	.B1(n_31830),
+	.B2(brqrv_top_brqrv_dec_dec_i0_instr_d[24]),
+	.Y(n_1671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g689214 (
+	.A(n_1413),
+	.B(n_1123),
+	.C(n_654),
+	.Y(n_1670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g689215 (
+	.A(n_1407),
+	.B(n_1226),
+	.C(n_638),
+	.Y(n_1669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g689216 (
+	.A(n_1406),
+	.B(n_1223),
+	.C(n_695),
+	.Y(n_1668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g689217 (
+	.A(n_1403),
+	.B(n_1242),
+	.C(n_682),
+	.Y(n_1667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g689218 (
+	.A(n_1402),
+	.B(n_888),
+	.C(n_689),
+	.Y(n_1666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g689219 (
+	.A(n_1401),
+	.B(n_784),
+	.C(n_704),
+	.Y(n_1665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689220 (
+	.A1(n_411),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[116]),
+	.B1(n_404),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[84]),
+	.C1(n_1596),
+	.X(n_1664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g689221 (
+	.A(n_1395),
+	.B(n_1105),
+	.C(n_691),
+	.Y(n_1663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g689222 (
+	.A(n_1380),
+	.B(n_1085),
+	.C(n_707),
+	.Y(n_1662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g689223 (
+	.A(n_1372),
+	.B(n_1070),
+	.C(n_719),
+	.Y(n_1661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g689224 (
+	.A(n_1367),
+	.B(n_1244),
+	.C(n_686),
+	.Y(n_1660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g689225 (
+	.A(n_1361),
+	.B(n_1032),
+	.C(n_694),
+	.Y(n_1659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g689226 (
+	.A(n_1359),
+	.B(n_1027),
+	.C(n_698),
+	.Y(n_1658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g689227 (
+	.A(n_1356),
+	.B(n_1013),
+	.C(n_660),
+	.Y(n_1657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g689228 (
+	.A(n_1352),
+	.B(n_998),
+	.C(n_681),
+	.Y(n_1656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g689229 (
+	.A(n_1351),
+	.B(n_980),
+	.C(n_701),
+	.Y(n_1655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689230 (
+	.A1(n_411),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[113]),
+	.B1(n_404),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[81]),
+	.C1(n_1595),
+	.X(n_1654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g689231 (
+	.A(n_1341),
+	.B(n_915),
+	.C(n_666),
+	.Y(n_1653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689232 (
+	.A1(n_411),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[112]),
+	.B1(n_404),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[80]),
+	.C1(n_1594),
+	.X(n_1652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g689233 (
+	.A(n_1342),
+	.B(n_851),
+	.C(n_634),
+	.Y(n_1651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 g689234 (
+	.A1(n_532),
+	.A2(brqrv_top_brqrv_dec_dec_i0_waddr_r[1]),
+	.B1(n_745),
+	.C1(n_1469),
+	.Y(n_1650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689235 (
+	.A1(brqrv_top_brqrv_dec_tlu_meihap[23]),
+	.A2(n_39131),
+	.B1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.B2(n_33565),
+	.C1(brqrv_top_brqrv_exu_n_909),
+	.C2(n_485),
+	.Y(n_1649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g689236 (
+	.A(n_1346),
+	.B(n_811),
+	.C(n_688),
+	.Y(n_1648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g689237 (
+	.A(n_1360),
+	.B(n_762),
+	.C(n_628),
+	.Y(n_1647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g689238 (
+	.A1(n_1496),
+	.A2(n_30547),
+	.B1(n_1520),
+	.B2(n_30552),
+	.Y(n_1646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g689239 (
+	.A(n_900),
+	.B(n_901),
+	.C(n_897),
+	.D(n_902),
+	.Y(n_1645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g689240 (
+	.A1_N(n_1509),
+	.A2_N(n_30552),
+	.B1(n_30619),
+	.B2(n_516),
+	.Y(n_1644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g689241 (
+	.A1(n_408),
+	.A2(n_32871),
+	.B1(n_393),
+	.B2(n_33338),
+	.X(n_1643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689242 (
+	.A1(n_1488),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[7]),
+	.B1(n_1291),
+	.B2(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[7]),
+	.Y(n_359320_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689243 (
+	.A1(n_1488),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[5]),
+	.B1(n_1291),
+	.B2(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[5]),
+	.Y(n_297288_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689244 (
+	.A1(n_1488),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[4]),
+	.B1(n_1291),
+	.B2(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[4]),
+	.Y(n_297306_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689245 (
+	.A1(n_1488),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[3]),
+	.B1(n_1291),
+	.B2(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[3]),
+	.Y(n_359282_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689246 (
+	.A1(n_1488),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[9]),
+	.B1(n_1291),
+	.B2(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[9]),
+	.Y(n_297042_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689247 (
+	.A1(n_1488),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[8]),
+	.B1(n_1291),
+	.B2(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[8]),
+	.Y(n_297024_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689248 (
+	.A1(n_1488),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[24]),
+	.B1(n_1291),
+	.B2(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[24]),
+	.Y(n_349542_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689249 (
+	.A1(n_1488),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[19]),
+	.B1(n_1291),
+	.B2(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[19]),
+	.Y(n_349548_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689250 (
+	.A1(n_1488),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[20]),
+	.B1(n_1291),
+	.B2(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[20]),
+	.Y(n_349554_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689251 (
+	.A1(n_1488),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[17]),
+	.B1(n_1291),
+	.B2(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[17]),
+	.Y(n_349566_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689252 (
+	.A1(n_1488),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[16]),
+	.B1(n_1291),
+	.B2(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[16]),
+	.Y(n_349572_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689253 (
+	.A1(n_1488),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[15]),
+	.B1(n_1291),
+	.B2(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[15]),
+	.Y(n_349578_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689254 (
+	.A1(n_1488),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[13]),
+	.B1(n_1291),
+	.B2(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[13]),
+	.Y(n_349584_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689255 (
+	.A1(n_1488),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[26]),
+	.B1(n_1291),
+	.B2(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[26]),
+	.Y(n_349610_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689256 (
+	.A1(n_1488),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[14]),
+	.B1(n_1291),
+	.B2(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[14]),
+	.Y(n_349590_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689257 (
+	.A1(n_1488),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[28]),
+	.B1(n_1291),
+	.B2(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[28]),
+	.Y(n_349530_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689258 (
+	.A1(n_1488),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[18]),
+	.B1(n_1291),
+	.B2(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[18]),
+	.Y(n_349616_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689259 (
+	.A1(n_1488),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[27]),
+	.B1(n_1291),
+	.B2(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[27]),
+	.Y(n_349634_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689260 (
+	.A1(n_1488),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[21]),
+	.B1(n_1291),
+	.B2(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[21]),
+	.Y(n_349640_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689261 (
+	.A1(n_1488),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[30]),
+	.B1(n_1291),
+	.B2(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[30]),
+	.Y(n_349536_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689262 (
+	.A1(n_1488),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[6]),
+	.B1(n_1291),
+	.B2(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[6]),
+	.Y(n_297270_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689263 (
+	.A1(n_1488),
+	.A2(brqrv_top_brqrv_dec_tlu_npc_r_d1[2]),
+	.B1(n_1291),
+	.B2(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[2]),
+	.Y(n_296988_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g689264 (
+	.A1(n_1514),
+	.A2(n_30631),
+	.B1(n_1491),
+	.B2(n_30628),
+	.Y(n_1642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g689265 (
+	.A1(n_408),
+	.A2(n_32870),
+	.B1(n_393),
+	.B2(n_33337),
+	.X(n_1641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g689266 (
+	.A1(n_1495),
+	.A2(n_30629),
+	.B1(n_1490),
+	.B2(n_30630),
+	.Y(n_1640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g689267 (
+	.A1(n_408),
+	.A2(n_32869),
+	.B1(n_393),
+	.B2(n_33336),
+	.X(n_1639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g689268 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[19]),
+	.A2_N(n_547),
+	.B1(n_1495),
+	.B2(n_30546),
+	.Y(n_1638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g689269 (
+	.A1(n_408),
+	.A2(n_32872),
+	.B1(n_393),
+	.B2(n_33339),
+	.X(n_1637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g689270 (
+	.A1(n_1495),
+	.A2(n_30547),
+	.B1(n_1517),
+	.B2(n_30552),
+	.Y(n_1636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g689271 (
+	.A1(n_1491),
+	.A2(n_30548),
+	.B1(n_1490),
+	.B2(n_30546),
+	.Y(n_1635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g689272 (
+	.A1(n_408),
+	.A2(n_32868),
+	.B1(n_393),
+	.B2(n_33335),
+	.X(n_1634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g689273 (
+	.A1(n_33295),
+	.A2(brqrv_top_brqrv_lsu_n_1518),
+	.B1(n_1597),
+	.Y(n_1633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g689274 (
+	.A1(n_33299),
+	.A2(brqrv_top_brqrv_lsu_n_1518),
+	.B1(n_1598),
+	.Y(n_1632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g689275 (
+	.A1_N(n_33428),
+	.A2_N(n_483),
+	.B1(n_30887),
+	.B2(n_1420),
+	.Y(n_1631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g689276 (
+	.A1(n_30712),
+	.A2(n_1425),
+	.B1(n_1323),
+	.B2(n_30709),
+	.Y(n_1630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g689277 (
+	.A1_N(brqrv_top_brqrv_dec_i0_result_r[5]),
+	.A2_N(n_19640),
+	.B1(n_30712),
+	.B2(n_1423),
+	.Y(n_1629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g689278 (
+	.A1(n_485),
+	.A2(brqrv_top_brqrv_exu_n_895),
+	.B1(n_484),
+	.B2(n_33132),
+	.X(n_1628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689279 (
+	.A1(brqrv_top_brqrv_exu_n_917),
+	.A2(n_485),
+	.B1(n_338),
+	.B2(n_33205),
+	.Y(n_1627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689280 (
+	.A1(n_31306),
+	.A2(brqrv_top_brqrv_exu_i0_pc_x[25]),
+	.B1(n_34688),
+	.B2(n_564),
+	.C1(n_1474),
+	.X(brqrv_top_brqrv_pred_correct_npc_x[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689281 (
+	.A1(n_31306),
+	.A2(brqrv_top_brqrv_exu_i0_pc_x[23]),
+	.B1(n_34686),
+	.B2(n_564),
+	.C1(n_1473),
+	.X(brqrv_top_brqrv_pred_correct_npc_x[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689282 (
+	.A1(n_31306),
+	.A2(brqrv_top_brqrv_exu_i0_pc_x[22]),
+	.B1(n_34685),
+	.B2(n_564),
+	.C1(n_1472),
+	.X(brqrv_top_brqrv_pred_correct_npc_x[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g689283 (
+	.A1(n_33316),
+	.A2(brqrv_top_brqrv_lsu_n_1518),
+	.B1(n_1603),
+	.Y(n_1626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g689284 (
+	.A1(n_33308),
+	.A2(brqrv_top_brqrv_lsu_n_1518),
+	.B1(n_1602),
+	.Y(n_1625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g689285 (
+	.A1(n_33305),
+	.A2(brqrv_top_brqrv_lsu_n_1518),
+	.B1(n_1601),
+	.Y(n_1624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g689286 (
+	.A1(n_33302),
+	.A2(brqrv_top_brqrv_lsu_n_1518),
+	.B1(n_1600),
+	.Y(n_1623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g689287 (
+	.A1(n_33301),
+	.A2(brqrv_top_brqrv_lsu_n_1518),
+	.B1(n_1599),
+	.Y(n_1622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g689288 (
+	.A1_N(n_1513),
+	.A2_N(n_30552),
+	.B1(n_30553),
+	.B2(n_516),
+	.Y(n_1621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g689289 (
+	.A1(n_33446),
+	.A2(n_315),
+	.B1(n_30413),
+	.B2(brqrv_top_brqrv_dec_decode_write_csr_data[6]),
+	.X(brqrv_top_brqrv_dec_dec_csr_wrdata_r[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g689290 (
+	.A1(n_33441),
+	.A2(n_315),
+	.B1(n_30413),
+	.B2(brqrv_top_brqrv_dec_decode_write_csr_data[1]),
+	.X(brqrv_top_brqrv_dec_dec_csr_wrdata_r[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g689291 (
+	.A1(n_33442),
+	.A2(n_315),
+	.B1(n_30413),
+	.B2(brqrv_top_brqrv_dec_decode_write_csr_data[2]),
+	.X(brqrv_top_brqrv_dec_dec_csr_wrdata_r[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g689292 (
+	.A1(n_33443),
+	.A2(n_315),
+	.B1(n_30413),
+	.B2(brqrv_top_brqrv_dec_decode_write_csr_data[3]),
+	.X(brqrv_top_brqrv_dec_dec_csr_wrdata_r[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g689293 (
+	.A1(n_33444),
+	.A2(n_315),
+	.B1(n_30413),
+	.B2(brqrv_top_brqrv_dec_decode_write_csr_data[4]),
+	.X(brqrv_top_brqrv_dec_dec_csr_wrdata_r[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g689297 (
+	.A_N(n_39127),
+	.B(n_1408),
+	.Y(n_1616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689298 (
+	.A1(n_359),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[701]),
+	.B1(n_352),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[669]),
+	.C1(n_1444),
+	.X(n_1615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689299 (
+	.A1(n_359),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[702]),
+	.B1(n_508),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[542]),
+	.C1(n_1442),
+	.X(n_1614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689300 (
+	.A1(n_352),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[671]),
+	.B1(n_494),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[639]),
+	.C1(n_1440),
+	.X(n_1613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689301 (
+	.A1(n_347),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[831]),
+	.B1(n_510),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[799]),
+	.C1(n_1439),
+	.X(n_1612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689302 (
+	.A1(n_499),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[456]),
+	.B1(n_503),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[424]),
+	.C1(n_1454),
+	.X(n_1611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689303 (
+	.A1(n_347),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[808]),
+	.B1(n_510),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[776]),
+	.C1(n_1466),
+	.X(n_1610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g689304 (
+	.A1(n_986),
+	.A2(brqrv_top_brqrv_lsu_lsu_pkt_r[9]),
+	.B1(n_33472),
+	.Y(n_1609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g689305 (
+	.A1(n_739),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[0]),
+	.B1(n_740),
+	.B2(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[6]),
+	.C1(n_1415),
+	.Y(n_1608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689306 (
+	.A1(n_571),
+	.A2(n_30692),
+	.B1(n_610),
+	.B2(n_30697),
+	.C1(n_1486),
+	.Y(n_1607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g689307 (
+	.A(n_31314),
+	.B(brqrv_top_brqrv_dec_tlu_request_debug_mode_r),
+	.X(n_31313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g689308 (
+	.A(n_1225),
+	.B(n_512),
+	.C(brqrv_top_brqrv_dec_i0_predict_p_d[47]),
+	.Y(n_1606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689309 (
+	.A1(n_416),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[22]),
+	.B1(n_417),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[118]),
+	.C1(n_1188),
+	.X(n_1605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689310 (
+	.A(n_1518),
+	.B(n_30691),
+	.Y(n_1604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689311 (
+	.A1(brqrv_top_brqrv_exu_i0_pc_x[31]),
+	.A2(n_30918),
+	.B1(n_31304),
+	.B2(n_39153),
+	.C1(n_1478),
+	.Y(brqrv_top_brqrv_pred_correct_npc_x[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g689312 (
+	.A(n_32322),
+	.B_N(n_32431),
+	.Y(n_1603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g689313 (
+	.A(n_32322),
+	.B_N(n_31274),
+	.Y(n_1602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g689314 (
+	.A(n_32322),
+	.B_N(n_31273),
+	.Y(n_1601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g689315 (
+	.A(n_32322),
+	.B_N(n_31271),
+	.Y(n_1600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g689316 (
+	.A(n_32322),
+	.B_N(n_31270),
+	.Y(n_1599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g689317 (
+	.A(n_32322),
+	.B_N(n_31269),
+	.Y(n_1598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g689318 (
+	.A(n_32322),
+	.B_N(n_31268),
+	.Y(n_1597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689319 (
+	.A(n_1513),
+	.B(n_30547),
+	.Y(n_1596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689320 (
+	.A(n_1515),
+	.B(n_30547),
+	.Y(n_1595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689321 (
+	.A(n_1509),
+	.B(n_30547),
+	.Y(n_1594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689322 (
+	.A1(n_416),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[21]),
+	.B1(n_417),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[117]),
+	.C1(n_1189),
+	.X(n_1593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g689323 (
+	.A1(n_616),
+	.A2(n_38479),
+	.B1(n_1349),
+	.Y(n_1592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g689324 (
+	.A(n_1290),
+	.B_N(brqrv_top_brqrv_dec_tlu_pc_r[13]),
+	.Y(n_327942_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g689326 (
+	.A(n_1290),
+	.B_N(brqrv_top_brqrv_dec_tlu_pc_r[4]),
+	.Y(n_328351_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g689327 (
+	.A(n_1290),
+	.B_N(brqrv_top_brqrv_dec_tlu_pc_r[3]),
+	.Y(n_328327_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g689328 (
+	.A(n_1290),
+	.B_N(brqrv_top_brqrv_dec_tlu_pc_r[5]),
+	.Y(n_328315_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g689329 (
+	.A(n_1290),
+	.B_N(brqrv_top_brqrv_dec_tlu_pc_r[7]),
+	.Y(n_328291_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g689330 (
+	.A(n_1290),
+	.B_N(brqrv_top_brqrv_dec_tlu_pc_r[8]),
+	.Y(n_328279_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689331 (
+	.A1(n_416),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[20]),
+	.B1(n_417),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[116]),
+	.C1(n_1212),
+	.X(n_1591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g689332 (
+	.A(n_1290),
+	.B_N(brqrv_top_brqrv_dec_tlu_pc_r[2]),
+	.Y(n_328339_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g689333 (
+	.A(n_1290),
+	.B_N(brqrv_top_brqrv_dec_tlu_pc_r[19]),
+	.Y(n_327882_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g689335 (
+	.A(n_1290),
+	.B_N(brqrv_top_brqrv_dec_tlu_pc_r[20]),
+	.Y(n_327990_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g689336 (
+	.A(n_1290),
+	.B_N(brqrv_top_brqrv_dec_tlu_pc_r[6]),
+	.Y(n_328303_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g689338 (
+	.A(n_1290),
+	.B_N(brqrv_top_brqrv_dec_tlu_pc_r[26]),
+	.Y(n_327822_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g689342 (
+	.A(n_1290),
+	.B_N(brqrv_top_brqrv_dec_tlu_pc_r[14]),
+	.Y(n_327930_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g689344 (
+	.A(n_1290),
+	.B_N(brqrv_top_brqrv_dec_tlu_pc_r[24]),
+	.Y(n_327846_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g689346 (
+	.A(n_1290),
+	.B_N(brqrv_top_brqrv_dec_tlu_pc_r[9]),
+	.Y(n_328267_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g689347 (
+	.A(n_1521),
+	.B_N(n_1330),
+	.Y(n_1590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g689348 (
+	.A(n_1506),
+	.B_N(n_1326),
+	.Y(n_1589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689349 (
+	.A(n_1385),
+	.B(n_1243),
+	.Y(n_31150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g689350 (
+	.A(n_31481),
+	.B(n_31510),
+	.X(n_31480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g689351 (
+	.A(n_1502),
+	.B_N(n_1324),
+	.Y(n_1588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689352 (
+	.A(n_1388),
+	.B(n_985),
+	.Y(n_31191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g689353 (
+	.A(n_1501),
+	.B_N(n_1327),
+	.Y(n_1587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689354 (
+	.A(n_1381),
+	.B(n_1083),
+	.Y(n_31153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g689355 (
+	.A(n_1500),
+	.B_N(n_1329),
+	.Y(n_1586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689356 (
+	.A(n_1374),
+	.B(n_1171),
+	.Y(n_32919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689357 (
+	.A(n_1412),
+	.B(n_987),
+	.Y(n_31183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689358 (
+	.A(n_1373),
+	.B(n_1174),
+	.Y(n_31147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g689359 (
+	.A(n_1499),
+	.B_N(n_1328),
+	.Y(n_1585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689360 (
+	.A(n_1369),
+	.B(n_1058),
+	.Y(n_31156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689361 (
+	.A(n_1368),
+	.B(n_1180),
+	.Y(n_31144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689362 (
+	.A(n_1482),
+	.B(n_1050),
+	.Y(n_32916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689363 (
+	.A(n_1363),
+	.B(n_1048),
+	.Y(n_32918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689364 (
+	.A(n_1358),
+	.B(n_1036),
+	.Y(n_32923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689365 (
+	.A(n_1347),
+	.B(n_953),
+	.Y(n_32922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689366 (
+	.A(n_1377),
+	.B(n_1077),
+	.Y(n_31209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689367 (
+	.A(n_1340),
+	.B(n_858),
+	.Y(n_32917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689368 (
+	.A(n_1416),
+	.B(n_889),
+	.Y(n_33553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689369 (
+	.A(n_1354),
+	.B(n_815),
+	.Y(n_32921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689370 (
+	.A(n_1357),
+	.B(n_772),
+	.Y(n_32920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g689371 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[15]),
+	.B_N(n_675),
+	.Y(n_1620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689372 (
+	.A(n_1325),
+	.B(n_1504),
+	.Y(n_1584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689373 (
+	.A(n_1350),
+	.B(n_31710),
+	.Y(n_33453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689374 (
+	.A(n_1353),
+	.B(n_32234),
+	.Y(n_33465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g689375 (
+	.A_N(n_1512),
+	.B(n_1510),
+	.Y(n_1583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689376 (
+	.A(n_1362),
+	.B(n_31710),
+	.Y(n_33454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689377 (
+	.A(n_1364),
+	.B(n_32234),
+	.Y(n_33460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g689378 (
+	.A1(n_739),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[1]),
+	.B1(n_740),
+	.B2(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[7]),
+	.C1(n_1411),
+	.Y(n_1619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689379 (
+	.A(n_1366),
+	.B(n_32234),
+	.Y(n_33464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689380 (
+	.A(n_1409),
+	.B(n_32234),
+	.Y(n_33466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689381 (
+	.A(n_1370),
+	.B(n_1067),
+	.Y(n_31218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689382 (
+	.A(n_1386),
+	.B(n_1092),
+	.Y(n_32401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689383 (
+	.A(n_30627),
+	.B(n_1471),
+	.Y(n_33419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g689384 (
+	.A(n_1273),
+	.B(n_32564),
+	.C(brqrv_top_brqrv_dec_lsu_valid_raw_d),
+	.X(n_31579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689385 (
+	.A(n_1382),
+	.B(n_1086),
+	.Y(n_32410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689386 (
+	.A(n_1399),
+	.B(n_1059),
+	.Y(n_32395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689387 (
+	.A(n_1375),
+	.B(n_1071),
+	.Y(n_31200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689388 (
+	.A(n_1392),
+	.B(n_1057),
+	.Y(n_31194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689389 (
+	.A(n_1378),
+	.B(n_1115),
+	.Y(n_31174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689390 (
+	.A(n_1345),
+	.B(n_1073),
+	.Y(n_32393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689391 (
+	.A(n_1390),
+	.B(n_1096),
+	.Y(n_31192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689392 (
+	.A(n_1387),
+	.B(n_1093),
+	.Y(n_31210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689393 (
+	.A(n_1400),
+	.B(n_1185),
+	.Y(n_31206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689394 (
+	.A(n_1384),
+	.B(n_1257),
+	.Y(n_32402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689395 (
+	.A(n_1371),
+	.B(n_1179),
+	.Y(n_31219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689396 (
+	.A(n_1379),
+	.B(n_1259),
+	.Y(n_31175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689397 (
+	.A(n_1383),
+	.B(n_1248),
+	.Y(n_32411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689398 (
+	.A(n_384),
+	.B(n_1437),
+	.Y(n_1618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689399 (
+	.A(n_1394),
+	.B(n_1103),
+	.Y(n_31184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689400 (
+	.A(n_1376),
+	.B(n_1181),
+	.Y(n_31185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689401 (
+	.A(n_1410),
+	.B(n_1121),
+	.Y(n_31201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689402 (
+	.A(n_1104),
+	.B(n_1396),
+	.Y(n_32413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689403 (
+	.A(n_1393),
+	.B(n_1037),
+	.Y(n_31221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689404 (
+	.A(n_1397),
+	.B(n_1107),
+	.Y(n_31203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689405 (
+	.A(n_1099),
+	.B(n_1391),
+	.Y(n_31177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689406 (
+	.A(n_1108),
+	.B(n_1398),
+	.Y(n_32404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g689407 (
+	.A1(n_394),
+	.A2(n_30700),
+	.B1(n_1477),
+	.Y(n_1617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g689441 (
+	.A1(n_380),
+	.A2(n_33341),
+	.B1(n_382),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[120]),
+	.X(n_1579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689442 (
+	.A1(n_352),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[668]),
+	.B1(n_494),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[636]),
+	.C1(n_1447),
+	.X(n_1578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689443 (
+	.A1(n_495),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[412]),
+	.B1(n_353),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[380]),
+	.C1(n_1448),
+	.X(n_1577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689444 (
+	.A1(n_359),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[699]),
+	.B1(n_350),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[571]),
+	.C1(n_1449),
+	.X(n_1576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689445 (
+	.A1(n_503),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[442]),
+	.B1(n_495),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[410]),
+	.C1(n_1452),
+	.X(n_1575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689446 (
+	.A1(n_503),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[441]),
+	.B1(n_495),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[409]),
+	.C1(n_1455),
+	.X(n_1574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689447 (
+	.A1(n_350),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[550]),
+	.B1(n_505),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[582]),
+	.C1(n_1457),
+	.X(n_1573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689448 (
+	.A1(n_359),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[692]),
+	.B1(n_508),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[532]),
+	.C1(n_1456),
+	.X(n_1572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689449 (
+	.A1(n_501),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[340]),
+	.B1(n_356),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[308]),
+	.C1(n_1458),
+	.X(n_1571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689450 (
+	.A1(n_352),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[659]),
+	.B1(n_494),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[627]),
+	.C1(n_1459),
+	.X(n_1570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689451 (
+	.A1(n_501),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[339]),
+	.B1(n_356),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[307]),
+	.C1(n_1460),
+	.X(n_1569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689452 (
+	.A1(n_359),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[690]),
+	.B1(n_352),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[658]),
+	.C1(n_1461),
+	.X(n_1568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689453 (
+	.A1(n_503),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[434]),
+	.B1(n_495),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[402]),
+	.C1(n_1462),
+	.X(n_1567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689454 (
+	.A1(n_347),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[816]),
+	.B1(n_496),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[848]),
+	.C1(n_1463),
+	.X(n_1566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689455 (
+	.A1(n_501),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[336]),
+	.B1(n_356),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[304]),
+	.C1(n_1464),
+	.X(n_1565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689456 (
+	.A1(n_507),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[485]),
+	.B1(n_493),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[709]),
+	.C1(n_1468),
+	.X(n_1564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g689457 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_miss_pending),
+	.B(n_31608),
+	.C(n_31598),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_n_1839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689458 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc1[30]),
+	.A2(n_39355),
+	.B1(n_39356),
+	.B2(brqrv_top_brqrv_ifu_aln_misc2[30]),
+	.C1(n_39357),
+	.C2(brqrv_top_brqrv_ifu_aln_misc0[30]),
+	.Y(n_1563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689459 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc0[27]),
+	.A2(n_39357),
+	.B1(n_39356),
+	.B2(brqrv_top_brqrv_ifu_aln_misc2[27]),
+	.C1(n_39355),
+	.C2(brqrv_top_brqrv_ifu_aln_misc1[27]),
+	.Y(n_1562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689460 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc2[49]),
+	.A2(n_39356),
+	.B1(n_39357),
+	.B2(brqrv_top_brqrv_ifu_aln_misc0[49]),
+	.C1(n_39355),
+	.C2(brqrv_top_brqrv_ifu_aln_misc1[49]),
+	.Y(n_1561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689461 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc0[23]),
+	.A2(n_39357),
+	.B1(n_39355),
+	.B2(brqrv_top_brqrv_ifu_aln_misc1[23]),
+	.C1(n_39356),
+	.C2(brqrv_top_brqrv_ifu_aln_misc2[23]),
+	.Y(n_1560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689462 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc1[22]),
+	.A2(n_39355),
+	.B1(n_39356),
+	.B2(brqrv_top_brqrv_ifu_aln_misc2[22]),
+	.C1(n_39357),
+	.C2(brqrv_top_brqrv_ifu_aln_misc0[22]),
+	.Y(n_1559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689463 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc0[24]),
+	.A2(n_39357),
+	.B1(n_39355),
+	.B2(brqrv_top_brqrv_ifu_aln_misc1[24]),
+	.C1(n_39356),
+	.C2(brqrv_top_brqrv_ifu_aln_misc2[24]),
+	.Y(n_1558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689464 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc0[33]),
+	.A2(n_39357),
+	.B1(n_39355),
+	.B2(brqrv_top_brqrv_ifu_aln_misc1[33]),
+	.C1(n_39356),
+	.C2(brqrv_top_brqrv_ifu_aln_misc2[33]),
+	.Y(n_1557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689465 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc1[32]),
+	.A2(n_39355),
+	.B1(n_39356),
+	.B2(brqrv_top_brqrv_ifu_aln_misc2[32]),
+	.C1(n_39357),
+	.C2(brqrv_top_brqrv_ifu_aln_misc0[32]),
+	.Y(n_1556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689466 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc0[21]),
+	.A2(n_39357),
+	.B1(n_39355),
+	.B2(brqrv_top_brqrv_ifu_aln_misc1[21]),
+	.C1(n_39356),
+	.C2(brqrv_top_brqrv_ifu_aln_misc2[21]),
+	.Y(n_1555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689467 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc0[20]),
+	.A2(n_39357),
+	.B1(n_39355),
+	.B2(brqrv_top_brqrv_ifu_aln_misc1[20]),
+	.C1(n_39356),
+	.C2(brqrv_top_brqrv_ifu_aln_misc2[20]),
+	.Y(n_1554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689468 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc1[25]),
+	.A2(n_39355),
+	.B1(n_39356),
+	.B2(brqrv_top_brqrv_ifu_aln_misc2[25]),
+	.C1(n_39357),
+	.C2(brqrv_top_brqrv_ifu_aln_misc0[25]),
+	.Y(n_1553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689469 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc0[26]),
+	.A2(n_39357),
+	.B1(n_39355),
+	.B2(brqrv_top_brqrv_ifu_aln_misc1[26]),
+	.C1(n_39356),
+	.C2(brqrv_top_brqrv_ifu_aln_misc2[26]),
+	.Y(n_1552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689470 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc0[31]),
+	.A2(n_39357),
+	.B1(n_39355),
+	.B2(brqrv_top_brqrv_ifu_aln_misc1[31]),
+	.C1(n_39356),
+	.C2(brqrv_top_brqrv_ifu_aln_misc2[31]),
+	.Y(n_1551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689471 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc0[19]),
+	.A2(n_39357),
+	.B1(n_39356),
+	.B2(brqrv_top_brqrv_ifu_aln_misc2[19]),
+	.C1(n_39355),
+	.C2(brqrv_top_brqrv_ifu_aln_misc1[19]),
+	.Y(n_1550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689472 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc0[46]),
+	.A2(n_39357),
+	.B1(n_39355),
+	.B2(brqrv_top_brqrv_ifu_aln_misc1[46]),
+	.C1(n_39356),
+	.C2(brqrv_top_brqrv_ifu_aln_misc2[46]),
+	.Y(n_1549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689473 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc2[48]),
+	.A2(n_39356),
+	.B1(n_39357),
+	.B2(brqrv_top_brqrv_ifu_aln_misc0[48]),
+	.C1(n_39355),
+	.C2(brqrv_top_brqrv_ifu_aln_misc1[48]),
+	.Y(n_1548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689474 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc2[47]),
+	.A2(n_39356),
+	.B1(n_39357),
+	.B2(brqrv_top_brqrv_ifu_aln_misc0[47]),
+	.C1(n_39355),
+	.C2(brqrv_top_brqrv_ifu_aln_misc1[47]),
+	.Y(n_1547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689475 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc0[40]),
+	.A2(n_39357),
+	.B1(n_39355),
+	.B2(brqrv_top_brqrv_ifu_aln_misc1[40]),
+	.C1(n_39356),
+	.C2(brqrv_top_brqrv_ifu_aln_misc2[40]),
+	.Y(n_1546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689476 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc0[41]),
+	.A2(n_39357),
+	.B1(n_39355),
+	.B2(brqrv_top_brqrv_ifu_aln_misc1[41]),
+	.C1(n_39356),
+	.C2(brqrv_top_brqrv_ifu_aln_misc2[41]),
+	.Y(n_1545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689477 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc1[36]),
+	.A2(n_39355),
+	.B1(n_39356),
+	.B2(brqrv_top_brqrv_ifu_aln_misc2[36]),
+	.C1(n_39357),
+	.C2(brqrv_top_brqrv_ifu_aln_misc0[36]),
+	.Y(n_1544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689478 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc0[42]),
+	.A2(n_39357),
+	.B1(n_39356),
+	.B2(brqrv_top_brqrv_ifu_aln_misc2[42]),
+	.C1(n_39355),
+	.C2(brqrv_top_brqrv_ifu_aln_misc1[42]),
+	.Y(n_1543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689479 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc0[37]),
+	.A2(n_39357),
+	.B1(n_39355),
+	.B2(brqrv_top_brqrv_ifu_aln_misc1[37]),
+	.C1(n_39356),
+	.C2(brqrv_top_brqrv_ifu_aln_misc2[37]),
+	.Y(n_1542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689480 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc1[45]),
+	.A2(n_39355),
+	.B1(n_39356),
+	.B2(brqrv_top_brqrv_ifu_aln_misc2[45]),
+	.C1(n_39357),
+	.C2(brqrv_top_brqrv_ifu_aln_misc0[45]),
+	.Y(n_1541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689481 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc0[43]),
+	.A2(n_39357),
+	.B1(n_39355),
+	.B2(brqrv_top_brqrv_ifu_aln_misc1[43]),
+	.C1(n_39356),
+	.C2(brqrv_top_brqrv_ifu_aln_misc2[43]),
+	.Y(n_1540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689482 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc0[38]),
+	.A2(n_39357),
+	.B1(n_39356),
+	.B2(brqrv_top_brqrv_ifu_aln_misc2[38]),
+	.C1(n_39355),
+	.C2(brqrv_top_brqrv_ifu_aln_misc1[38]),
+	.Y(n_1539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689483 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc0[35]),
+	.A2(n_39357),
+	.B1(n_39355),
+	.B2(brqrv_top_brqrv_ifu_aln_misc1[35]),
+	.C1(n_39356),
+	.C2(brqrv_top_brqrv_ifu_aln_misc2[35]),
+	.Y(n_1538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689484 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc0[34]),
+	.A2(n_39357),
+	.B1(n_39355),
+	.B2(brqrv_top_brqrv_ifu_aln_misc1[34]),
+	.C1(n_39356),
+	.C2(brqrv_top_brqrv_ifu_aln_misc2[34]),
+	.Y(n_1537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689485 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc0[44]),
+	.A2(n_39357),
+	.B1(n_39355),
+	.B2(brqrv_top_brqrv_ifu_aln_misc1[44]),
+	.C1(n_39356),
+	.C2(brqrv_top_brqrv_ifu_aln_misc2[44]),
+	.Y(n_1536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689486 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc1[39]),
+	.A2(n_39355),
+	.B1(n_39356),
+	.B2(brqrv_top_brqrv_ifu_aln_misc2[39]),
+	.C1(n_39357),
+	.C2(brqrv_top_brqrv_ifu_aln_misc0[39]),
+	.Y(n_1535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689487 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc0[29]),
+	.A2(n_39357),
+	.B1(n_39355),
+	.B2(brqrv_top_brqrv_ifu_aln_misc1[29]),
+	.C1(n_39356),
+	.C2(brqrv_top_brqrv_ifu_aln_misc2[29]),
+	.Y(n_1534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689488 (
+	.A1(brqrv_top_brqrv_ifu_aln_misc1[28]),
+	.A2(n_39355),
+	.B1(n_39356),
+	.B2(brqrv_top_brqrv_ifu_aln_misc2[28]),
+	.C1(n_39357),
+	.C2(brqrv_top_brqrv_ifu_aln_misc0[28]),
+	.Y(n_1533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g689489 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[2]),
+	.B(n_31831),
+	.C(brqrv_top_brqrv_dec_i0_predict_p_d[46]),
+	.D(n_674),
+	.Y(n_1532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g689490 (
+	.A(n_800),
+	.B(n_779),
+	.C(brqrv_top_brqrv_dec_decode_x_d[1]),
+	.Y(n_1531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g689491 (
+	.A1(n_820),
+	.A2(brqrv_top_brqrv_dec_dec_i0_instr_d[5]),
+	.B1(n_1224),
+	.Y(n_1530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689492 (
+	.A1(n_32349),
+	.A2(n_1311),
+	.B1(n_395),
+	.B2(n_31091),
+	.C1(n_31999),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689493 (
+	.A1(n_32349),
+	.A2(n_1310),
+	.B1(n_400),
+	.B2(n_31091),
+	.C1(n_31993),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689494 (
+	.A1(n_32349),
+	.A2(n_1309),
+	.B1(n_549),
+	.B2(n_31091),
+	.C1(n_31992),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689495 (
+	.A1(n_32349),
+	.A2(n_1307),
+	.B1(n_406),
+	.B2(n_31091),
+	.C1(n_31975),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689496 (
+	.A1(n_32349),
+	.A2(n_1306),
+	.B1(n_551),
+	.B2(n_31091),
+	.C1(n_31974),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689497 (
+	.A1(n_32349),
+	.A2(n_1312),
+	.B1(n_560),
+	.B2(n_31091),
+	.C1(n_31969),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689498 (
+	.A1(n_32349),
+	.A2(n_1314),
+	.B1(n_559),
+	.B2(n_31091),
+	.C1(n_31968),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689499 (
+	.A1(n_32349),
+	.A2(n_1316),
+	.B1(n_398),
+	.B2(n_31091),
+	.C1(n_31991),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689500 (
+	.A1(n_32349),
+	.A2(n_1315),
+	.B1(n_407),
+	.B2(n_31091),
+	.C1(n_31990),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689501 (
+	.A1(n_32349),
+	.A2(n_1317),
+	.B1(n_397),
+	.B2(n_31091),
+	.C1(n_31984),
+	.Y(brqrv_top_brqrv_dma_ctrl_n_1432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689502 (
+	.A1(n_31129),
+	.A2(n_1309),
+	.B1(n_549),
+	.B2(n_32330),
+	.C1(n_31016),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[48]_1648 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689503 (
+	.A1(n_31129),
+	.A2(n_1307),
+	.B1(n_406),
+	.B2(n_32330),
+	.C1(n_31012),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[47]_1647 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689504 (
+	.A1(n_31129),
+	.A2(n_1306),
+	.B1(n_551),
+	.B2(n_32330),
+	.C1(n_32143),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[46]_1646 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689505 (
+	.A1(n_31129),
+	.A2(n_1312),
+	.B1(n_560),
+	.B2(n_32330),
+	.C1(n_31011),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[41]_1641 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689506 (
+	.A1(n_31129),
+	.A2(n_1314),
+	.B1(n_559),
+	.B2(n_32330),
+	.C1(n_31010),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[40]_1640 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689507 (
+	.A1(n_31129),
+	.A2(n_1316),
+	.B1(n_398),
+	.B2(n_32330),
+	.C1(n_31015),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[39]_1639 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689508 (
+	.A1(n_31129),
+	.A2(n_1315),
+	.B1(n_407),
+	.B2(n_32330),
+	.C1(n_32148),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[38]_1638 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689509 (
+	.A1(n_31129),
+	.A2(n_1317),
+	.B1(n_397),
+	.B2(n_32330),
+	.C1(n_31013),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[32]_1632 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689510 (
+	.A1(n_31125),
+	.A2(n_1311),
+	.B1(n_395),
+	.B2(n_31095),
+	.C1(n_32074),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[55]_1523 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689511 (
+	.A1(n_31125),
+	.A2(n_1310),
+	.B1(n_400),
+	.B2(n_31095),
+	.C1(n_32068),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[49]_1517 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689512 (
+	.A1(n_31125),
+	.A2(n_1309),
+	.B1(n_549),
+	.B2(n_31095),
+	.C1(n_32067),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[48]_1516 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689513 (
+	.A1(n_31125),
+	.A2(n_1307),
+	.B1(n_406),
+	.B2(n_31095),
+	.C1(n_32043),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[47]_1515 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689514 (
+	.A1(n_31125),
+	.A2(n_1306),
+	.B1(n_551),
+	.B2(n_31095),
+	.C1(n_32042),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[46]_1514 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689515 (
+	.A1(n_31125),
+	.A2(n_1312),
+	.B1(n_560),
+	.B2(n_31095),
+	.C1(n_32037),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[41]_1509 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689516 (
+	.A1(n_31125),
+	.A2(n_1316),
+	.B1(n_398),
+	.B2(n_31095),
+	.C1(n_32066),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[39]_1507 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689517 (
+	.A1(n_31125),
+	.A2(n_1314),
+	.B1(n_559),
+	.B2(n_31095),
+	.C1(n_32036),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[40]_1508 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689518 (
+	.A1(n_31125),
+	.A2(n_1315),
+	.B1(n_407),
+	.B2(n_31095),
+	.C1(n_32065),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[38]_1506 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689519 (
+	.A1(n_32347),
+	.A2(n_1311),
+	.B1(n_395),
+	.B2(n_31093),
+	.C1(n_32015),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[55]_1589 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689520 (
+	.A1(n_32347),
+	.A2(n_1310),
+	.B1(n_400),
+	.B2(n_31093),
+	.C1(n_32009),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[49]_1583 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689521 (
+	.A1(n_32347),
+	.A2(n_1309),
+	.B1(n_549),
+	.B2(n_31093),
+	.C1(n_32008),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[48]_1582 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689522 (
+	.A1(n_32347),
+	.A2(n_1307),
+	.B1(n_406),
+	.B2(n_31093),
+	.C1(n_31983),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[47]_1581 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689523 (
+	.A1(n_32347),
+	.A2(n_1306),
+	.B1(n_551),
+	.B2(n_31093),
+	.C1(n_31982),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[46]_1580 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689524 (
+	.A1(n_32347),
+	.A2(n_1312),
+	.B1(n_560),
+	.B2(n_31093),
+	.C1(n_31977),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[41]_1575 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689525 (
+	.A1(n_32347),
+	.A2(n_1314),
+	.B1(n_559),
+	.B2(n_31093),
+	.C1(n_31976),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[40]_1574 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689526 (
+	.A1(n_32347),
+	.A2(n_1316),
+	.B1(n_398),
+	.B2(n_31093),
+	.C1(n_32007),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[39]_1573 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689527 (
+	.A1(n_32347),
+	.A2(n_1315),
+	.B1(n_407),
+	.B2(n_31093),
+	.C1(n_32006),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[38]_1572 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689528 (
+	.A1(n_32347),
+	.A2(n_1317),
+	.B1(n_397),
+	.B2(n_31093),
+	.C1(n_32000),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[32]_1566 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689529 (
+	.A1(n_31127),
+	.A2(n_1311),
+	.B1(n_395),
+	.B2(n_31089),
+	.C1(n_32059),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[55]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689530 (
+	.A1(n_31127),
+	.A2(n_1310),
+	.B1(n_400),
+	.B2(n_31089),
+	.C1(n_32053),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[49]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689531 (
+	.A1(n_31127),
+	.A2(n_1309),
+	.B1(n_549),
+	.B2(n_31089),
+	.C1(n_32052),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[48]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689532 (
+	.A1(n_31127),
+	.A2(n_1307),
+	.B1(n_406),
+	.B2(n_31089),
+	.C1(n_32035),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[47]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689533 (
+	.A1(n_31127),
+	.A2(n_1306),
+	.B1(n_551),
+	.B2(n_31089),
+	.C1(n_32034),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[46]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689534 (
+	.A1(n_31127),
+	.A2(n_1312),
+	.B1(n_560),
+	.B2(n_31089),
+	.C1(n_32029),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[41]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689535 (
+	.A1(n_31127),
+	.A2(n_1314),
+	.B1(n_559),
+	.B2(n_31089),
+	.C1(n_32028),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[40]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689536 (
+	.A1(n_31127),
+	.A2(n_1316),
+	.B1(n_398),
+	.B2(n_31089),
+	.C1(n_32051),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[39]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689537 (
+	.A1(n_31127),
+	.A2(n_1315),
+	.B1(n_407),
+	.B2(n_31089),
+	.C1(n_32050),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[38]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689538 (
+	.A1(n_31127),
+	.A2(n_1317),
+	.B1(n_397),
+	.B2(n_31089),
+	.C1(n_32044),
+	.Y(brqrv_top_brqrv_dma_ctrl_fifo_data_in[32]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689539 (
+	.A1(n_31129),
+	.A2(n_1310),
+	.B1(n_400),
+	.B2(n_32330),
+	.C1(n_32149),
+	.Y(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[49]_1649 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689540 (
+	.A1(n_495),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[413]),
+	.B1(n_353),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[381]),
+	.C1(n_1445),
+	.X(n_1529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g689541 (
+	.A1(n_380),
+	.A2(n_33342),
+	.B1(n_382),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[121]),
+	.X(n_1528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g689542 (
+	.A1(n_380),
+	.A2(n_33343),
+	.B1(n_382),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[122]),
+	.X(n_1527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32a_1 g689543 (
+	.A1(brqrv_top_brqrv_dec_dec_i0_instr_d[5]),
+	.A2(n_539),
+	.A3(n_674),
+	.B1(brqrv_top_brqrv_dec_dec_i0_instr_d[4]),
+	.B2(n_31827),
+	.X(n_1526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g689546 (
+	.A1(n_380),
+	.A2(n_33348),
+	.B1(n_382),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[127]),
+	.X(n_1525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g689547 (
+	.A1(n_380),
+	.A2(n_33347),
+	.B1(n_382),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[126]),
+	.X(n_1524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g689548 (
+	.A1(n_380),
+	.A2(n_33346),
+	.B1(n_382),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[125]),
+	.X(n_1523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g689549 (
+	.A1(n_380),
+	.A2(n_33344),
+	.B1(n_382),
+	.B2(brqrv_top_brqrv_lsu_stbuf_stbuf_data[123]),
+	.X(n_1522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g689550 (
+	.A1(n_1321),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[4]),
+	.B1(n_1322),
+	.B2(brqrv_top_brqrv_dec_i0_predict_p_d[40]),
+	.X(n_1582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g689551 (
+	.A1(n_394),
+	.A2(n_30891),
+	.B1(n_1487),
+	.Y(n_1581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g689552 (
+	.A1(n_29923),
+	.A2(n_1332),
+	.B1(n_714),
+	.Y(n_1580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g689553 (
+	.A(n_1519),
+	.Y(n_32860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g689554 (
+	.A(n_1516),
+	.Y(n_32861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g689555 (
+	.A(n_1511),
+	.Y(n_32862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g689556 (
+	.A(n_1508),
+	.Y(brqrv_top_brqrv_lsu_lsu_ld_data_m[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g689557 (
+	.A(n_1507),
+	.Y(n_32863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g689558 (
+	.A(n_1504),
+	.Y(n_1505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g689559 (
+	.A(n_1503),
+	.Y(n_32853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g689560 (
+	.A(n_1498),
+	.Y(brqrv_top_brqrv_lsu_lsu_ld_data_m[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g689561 (
+	.A(n_1497),
+	.Y(n_32855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g689562 (
+	.A(n_1494),
+	.Y(n_33160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g689563 (
+	.A(n_1493),
+	.Y(n_33159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689564 (
+	.A(n_1492),
+	.Y(n_33172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689565 (
+	.A(n_1488),
+	.Y(brqrv_top_brqrv_dec_tlu_n_4856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g689566 (
+	.A_N(n_1332),
+	.B(n_29923),
+	.Y(n_1487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689567 (
+	.A1(n_30593),
+	.A2(n_573),
+	.B1(n_576),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[68]),
+	.C1(n_574),
+	.C2(n_30594),
+	.Y(n_1486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689568 (
+	.A(n_1300),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[45]),
+	.Y(n_1485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689569 (
+	.A(n_1300),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[43]),
+	.Y(n_1484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689570 (
+	.A(n_39152),
+	.B(brqrv_top_brqrv_exu_pred_correct_npc_r[9]),
+	.Y(n_32203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689571 (
+	.A(n_39152),
+	.B(brqrv_top_brqrv_exu_pred_correct_npc_r[8]),
+	.Y(n_32204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689572 (
+	.A(n_39152),
+	.B(brqrv_top_brqrv_exu_pred_correct_npc_r[3]),
+	.Y(n_31043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689573 (
+	.A(n_39152),
+	.B(brqrv_top_brqrv_exu_pred_correct_npc_r[5]),
+	.Y(n_32201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689574 (
+	.A(n_39152),
+	.B(brqrv_top_brqrv_exu_pred_correct_npc_r[4]),
+	.Y(n_32200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689575 (
+	.A(n_39152),
+	.B(brqrv_top_brqrv_exu_pred_correct_npc_r[7]),
+	.Y(n_31041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689576 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[177]),
+	.A2(n_337),
+	.B1(n_322),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[1009]),
+	.C1(n_39164),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[49]),
+	.Y(n_1483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689577 (
+	.A(n_39152),
+	.B(brqrv_top_brqrv_exu_pred_correct_npc_r[24]),
+	.Y(n_31692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689578 (
+	.A(n_39152),
+	.B(brqrv_top_brqrv_exu_pred_correct_npc_r[19]),
+	.Y(n_31691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689579 (
+	.A(n_39152),
+	.B(brqrv_top_brqrv_exu_pred_correct_npc_r[20]),
+	.Y(n_31690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689580 (
+	.A(n_39152),
+	.B(brqrv_top_brqrv_exu_pred_correct_npc_r[17]),
+	.Y(n_31689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689581 (
+	.A(n_39152),
+	.B(brqrv_top_brqrv_exu_pred_correct_npc_r[16]),
+	.Y(n_31688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689582 (
+	.A(n_39152),
+	.B(brqrv_top_brqrv_exu_pred_correct_npc_r[15]),
+	.Y(n_31687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689583 (
+	.A(n_39152),
+	.B(brqrv_top_brqrv_exu_pred_correct_npc_r[13]),
+	.Y(n_31686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689584 (
+	.A(n_39152),
+	.B(brqrv_top_brqrv_exu_pred_correct_npc_r[14]),
+	.Y(n_31685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689585 (
+	.A(n_39152),
+	.B(brqrv_top_brqrv_exu_pred_correct_npc_r[18]),
+	.Y(n_31684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689586 (
+	.A(n_39152),
+	.B(brqrv_top_brqrv_exu_pred_correct_npc_r[26]),
+	.Y(n_32614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689587 (
+	.A(n_39152),
+	.B(brqrv_top_brqrv_exu_pred_correct_npc_r[27]),
+	.Y(n_31683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689588 (
+	.A(n_39152),
+	.B(brqrv_top_brqrv_exu_pred_correct_npc_r[21]),
+	.Y(n_31682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689589 (
+	.A(n_39152),
+	.B(brqrv_top_brqrv_exu_pred_correct_npc_r[30]),
+	.Y(n_31693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689590 (
+	.A(n_39152),
+	.B(brqrv_top_brqrv_exu_pred_correct_npc_r[28]),
+	.Y(n_31694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689591 (
+	.A(n_39152),
+	.B(brqrv_top_brqrv_exu_pred_correct_npc_r[2]),
+	.Y(n_31042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689592 (
+	.A(n_39152),
+	.B(brqrv_top_brqrv_exu_pred_correct_npc_r[6]),
+	.Y(n_32202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689593 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[132]),
+	.A2(n_5424),
+	.B1(n_463),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_598),
+	.C1(n_464),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_addr[100]),
+	.Y(n_1482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689594 (
+	.A(n_1240),
+	.B(n_676),
+	.Y(n_1481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689595 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[190]),
+	.A2(n_337),
+	.B1(n_39154),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[158]),
+	.C1(n_318),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[126]),
+	.Y(n_1480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689596 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[146]),
+	.A2(n_39154),
+	.B1(n_39155),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[82]),
+	.C1(n_39164),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[50]),
+	.Y(n_1479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g689597 (
+	.A1(n_661),
+	.A2(n_31098),
+	.B1(brqrv_top_brqrv_exu_i0_pc_x[31]),
+	.Y(n_1478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689598 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[23]),
+	.B(n_1308),
+	.Y(brqrv_top_brqrv_dec_tlu_mrac_in[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689599 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[9]),
+	.B(n_1303),
+	.Y(brqrv_top_brqrv_dec_tlu_mrac_in[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g689600 (
+	.A(n_539),
+	.B(n_31736),
+	.C(n_512),
+	.D(n_33354),
+	.Y(n_33087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g689601 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[12]),
+	.B(n_31736),
+	.C(n_512),
+	.D(n_33354),
+	.Y(n_33144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g689602 (
+	.A_N(n_29927),
+	.B(n_394),
+	.C(n_29923),
+	.D(n_29930),
+	.Y(n_1477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g689603 (
+	.A1(n_594),
+	.A2(n_32676),
+	.B1(n_30438),
+	.C1(n_665),
+	.Y(n_1476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689604 (
+	.A1(n_577),
+	.A2(brqrv_top_brqrv_dec_dec_i0_instr_d[21]),
+	.B1(brqrv_top_brqrv_dec_decode_x_d[5]),
+	.B2(n_532),
+	.C1(n_744),
+	.Y(n_1475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g689605 (
+	.A1(n_32303),
+	.A2(n_646),
+	.B1(n_31305),
+	.Y(n_1474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g689606 (
+	.A1(n_32305),
+	.A2(n_645),
+	.B1(n_31305),
+	.Y(n_1473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g689607 (
+	.A1(n_32306),
+	.A2(n_696),
+	.B1(n_31305),
+	.Y(n_1472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g689608 (
+	.A1(n_569),
+	.A2(n_30540),
+	.B1(n_566),
+	.B2(n_30600),
+	.C1(n_1191),
+	.Y(n_1471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g689609 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[5]),
+	.B(n_515),
+	.C(n_31748),
+	.D(n_31665),
+	.X(n_1470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g689610 (
+	.A1(brqrv_top_brqrv_dec_dec_i0_waddr_r[2]),
+	.A2(n_531),
+	.B1(n_794),
+	.Y(n_1469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689611 (
+	.A1(n_352),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[645]),
+	.B1(n_494),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[613]),
+	.C1(n_1167),
+	.X(n_1468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g689612 (
+	.A1(n_498),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[901]),
+	.B1(n_504),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[869]),
+	.C1(n_767),
+	.Y(n_1467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689613 (
+	.A1(n_498),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[904]),
+	.B1(n_504),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[872]),
+	.C1(n_1117),
+	.X(n_1466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g689614 (
+	.A1(n_519),
+	.A2(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[0]),
+	.B1(n_785),
+	.Y(n_1465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689615 (
+	.A1(n_503),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[432]),
+	.B1(n_495),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[400]),
+	.C1(n_852),
+	.X(n_1464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689616 (
+	.A1(n_498),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[912]),
+	.B1(n_357),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[944]),
+	.C1(n_855),
+	.X(n_1463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689617 (
+	.A1(n_355),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[242]),
+	.B1(n_360),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[274]),
+	.C1(n_861),
+	.X(n_1462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689618 (
+	.A1(n_507),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[498]),
+	.B1(n_508),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[530]),
+	.C1(n_866),
+	.X(n_1461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689619 (
+	.A1(n_503),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[435]),
+	.B1(n_360),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[275]),
+	.C1(n_872),
+	.X(n_1460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689620 (
+	.A1(n_359),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[691]),
+	.B1(n_508),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[531]),
+	.C1(n_875),
+	.X(n_1459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689621 (
+	.A1(n_503),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[436]),
+	.B1(n_360),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[276]),
+	.C1(n_880),
+	.X(n_1458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689622 (
+	.A1(n_359),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[678]),
+	.B1(n_508),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[518]),
+	.C1(n_878),
+	.X(n_1457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689623 (
+	.A1(n_352),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[660]),
+	.B1(n_494),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[628]),
+	.C1(n_884),
+	.X(n_1456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689624 (
+	.A1(n_355),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[249]),
+	.B1(n_360),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[281]),
+	.C1(n_893),
+	.X(n_1455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689625 (
+	.A1(n_360),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[264]),
+	.B1(n_356),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[296]),
+	.C1(n_1270),
+	.X(n_1454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g689626 (
+	.A1(n_498),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[921]),
+	.B1(n_357),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[953]),
+	.C1(n_896),
+	.Y(n_1453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689627 (
+	.A1(n_355),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[250]),
+	.B1(n_360),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[282]),
+	.C1(n_904),
+	.X(n_1452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g689628 (
+	.A(n_30463),
+	.B(brqrv_top_brqrv_dec_tlu_take_int_timer0_int),
+	.C(n_30261),
+	.D(n_30462),
+	.X(n_1451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g689629 (
+	.A1(n_498),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[922]),
+	.B1(n_504),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[890]),
+	.C1(n_911),
+	.Y(n_1450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689630 (
+	.A1(n_352),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[667]),
+	.B1(n_494),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[635]),
+	.C1(n_916),
+	.X(n_1449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689631 (
+	.A1(n_499),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[476]),
+	.B1(n_501),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[348]),
+	.C1(n_931),
+	.X(n_1448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689632 (
+	.A1(n_359),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[700]),
+	.B1(n_508),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[540]),
+	.C1(n_933),
+	.X(n_1447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g689633 (
+	.A1(n_354),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[764]),
+	.B1(n_510),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[796]),
+	.C1(n_935),
+	.Y(n_1446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689634 (
+	.A1(n_499),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[477]),
+	.B1(n_501),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[349]),
+	.C1(n_940),
+	.X(n_1445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689635 (
+	.A1(n_350),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[573]),
+	.B1(n_505),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[605]),
+	.C1(n_942),
+	.X(n_1444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g689636 (
+	.A1(n_498),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[925]),
+	.B1(n_357),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[957]),
+	.C1(n_944),
+	.Y(n_1443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689637 (
+	.A1(n_352),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[670]),
+	.B1(n_494),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[638]),
+	.C1(n_950),
+	.X(n_1442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g689638 (
+	.A1(n_357),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[958]),
+	.B1(n_510),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[798]),
+	.C1(n_957),
+	.Y(n_1441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689639 (
+	.A1(n_350),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[575]),
+	.B1(n_505),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[607]),
+	.C1(n_962),
+	.X(n_1440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689640 (
+	.A1(n_354),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[767]),
+	.B1(n_496),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[863]),
+	.C1(n_966),
+	.X(n_1439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g689641 (
+	.A1(n_736),
+	.A2(brqrv_top_brqrv_dec_i0_predict_p_d[48]),
+	.B1(brqrv_top_brqrv_ifu_i0_pc[11]),
+	.Y(n_1521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g689642 (
+	.A1(n_541),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[10]),
+	.B1(n_542),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[42]),
+	.C1(n_971),
+	.Y(n_1520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g689643 (
+	.A1(n_344),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [49]),
+	.B1(n_488),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [10]),
+	.C1(n_981),
+	.Y(n_1519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g689644 (
+	.A1(n_420),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[110]),
+	.B1(n_565),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[78]),
+	.C1(n_1199),
+	.Y(n_1518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689645 (
+	.A1(n_344),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [57]),
+	.B1(n_488),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [18]),
+	.C1(n_992),
+	.X(n_32868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g689646 (
+	.A_N(n_31530),
+	.B(n_29916),
+	.Y(n_31509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g689647 (
+	.A1(n_541),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[11]),
+	.B1(n_385),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[107]),
+	.C1(n_1038),
+	.Y(n_1517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g689648 (
+	.A1(n_344),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [50]),
+	.B1(n_488),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [11]),
+	.C1(n_1047),
+	.Y(n_1516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g689649 (
+	.A_N(n_32217),
+	.B(n_29915),
+	.Y(n_31481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g689650 (
+	.A1(n_541),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[9]),
+	.B1(n_542),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[41]),
+	.C1(n_930),
+	.Y(n_1515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689651 (
+	.A1(n_344),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [58]),
+	.B1(n_488),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [19]),
+	.C1(n_1055),
+	.X(n_32869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g689652 (
+	.A1(n_420),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[107]),
+	.B1(n_565),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[75]),
+	.C1(n_1209),
+	.Y(n_1514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g689653 (
+	.A1(n_541),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[12]),
+	.B1(n_542),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[44]),
+	.C1(n_1111),
+	.Y(n_1513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g689654 (
+	.A(n_1322),
+	.B(brqrv_top_brqrv_ifu_i0_pc[4]),
+	.X(n_1512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g689655 (
+	.A1(n_344),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [51]),
+	.B1(n_488),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [12]),
+	.C1(n_1272),
+	.Y(n_1511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689656 (
+	.A1(n_344),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [59]),
+	.B1(n_488),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [20]),
+	.C1(n_932),
+	.X(n_32870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g689657 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[4]),
+	.B(n_1322),
+	.X(n_1510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g689658 (
+	.A1(n_541),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[8]),
+	.B1(n_542),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[40]),
+	.C1(n_886),
+	.Y(n_1509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689659 (
+	.A1(n_33299),
+	.A2(n_490),
+	.B1(n_33285),
+	.B2(n_489),
+	.C1(n_1151),
+	.X(brqrv_top_brqrv_lsu_lsu_ld_data_m[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g689660 (
+	.A1(n_33290),
+	.A2(n_490),
+	.B1(n_33282),
+	.B2(n_489),
+	.C1(n_1184),
+	.Y(n_1508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g689661 (
+	.A1(n_344),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [52]),
+	.B1(n_488),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [13]),
+	.C1(n_1172),
+	.Y(n_1507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g689662 (
+	.A1(n_736),
+	.A2(brqrv_top_brqrv_dec_i0_predict_p_d[42]),
+	.B1(brqrv_top_brqrv_ifu_i0_pc[5]),
+	.Y(n_1506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g689663 (
+	.A1(n_736),
+	.A2(brqrv_top_brqrv_dec_i0_predict_p_d[43]),
+	.B1(brqrv_top_brqrv_ifu_i0_pc[6]),
+	.Y(n_1504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g689664 (
+	.A1(n_344),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [42]),
+	.B1(n_488),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [3]),
+	.C1(n_845),
+	.Y(n_1503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g689665 (
+	.A1(n_736),
+	.A2(brqrv_top_brqrv_dec_i0_predict_p_d[44]),
+	.B1(brqrv_top_brqrv_ifu_i0_pc[7]),
+	.Y(n_1502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g689666 (
+	.A1(n_736),
+	.A2(brqrv_top_brqrv_dec_i0_predict_p_d[45]),
+	.B1(brqrv_top_brqrv_ifu_i0_pc[8]),
+	.Y(n_1501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g689667 (
+	.A1(n_736),
+	.A2(brqrv_top_brqrv_dec_i0_predict_p_d[46]),
+	.B1(brqrv_top_brqrv_ifu_i0_pc[9]),
+	.Y(n_1500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g689668 (
+	.A1(n_736),
+	.A2(brqrv_top_brqrv_dec_i0_predict_p_d[47]),
+	.B1(brqrv_top_brqrv_ifu_i0_pc[10]),
+	.Y(n_1499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689669 (
+	.A1(n_33295),
+	.A2(n_490),
+	.B1(n_33284),
+	.B2(n_489),
+	.C1(n_1135),
+	.X(brqrv_top_brqrv_lsu_lsu_ld_data_m[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g689670 (
+	.A1(n_33281),
+	.A2(n_490),
+	.B1(n_33273),
+	.B2(n_489),
+	.C1(n_835),
+	.Y(n_1498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g689671 (
+	.A1(n_344),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [44]),
+	.B1(n_488),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [5]),
+	.C1(n_939),
+	.Y(n_1497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689672 (
+	.A1(n_39160),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [148]),
+	.B1(n_488),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [31]),
+	.C1(n_812),
+	.X(n_32431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689673 (
+	.A1(n_39160),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [147]),
+	.B1(n_488),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [30]),
+	.C1(n_809),
+	.X(n_31274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689674 (
+	.A1(n_39160),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [146]),
+	.B1(n_488),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [29]),
+	.C1(n_924),
+	.X(n_31273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689675 (
+	.A1(n_39160),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [144]),
+	.B1(n_488),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [27]),
+	.C1(n_796),
+	.X(n_31271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689676 (
+	.A1(n_39160),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [143]),
+	.B1(n_488),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [26]),
+	.C1(n_792),
+	.X(n_31270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689677 (
+	.A1(n_39160),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [142]),
+	.B1(n_488),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [25]),
+	.C1(n_788),
+	.X(n_31269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689678 (
+	.A1(n_39160),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [141]),
+	.B1(n_488),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [24]),
+	.C1(n_780),
+	.X(n_31268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689679 (
+	.A1(n_344),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [60]),
+	.B1(n_488),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [21]),
+	.C1(n_1120),
+	.X(n_32871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689680 (
+	.A1(n_344),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [61]),
+	.B1(n_488),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [22]),
+	.C1(n_749),
+	.X(n_32872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689681 (
+	.A1(n_33182),
+	.A2(n_340),
+	.B1(n_483),
+	.B2(n_33414),
+	.C1(n_758),
+	.X(brqrv_top_brqrv_exu_n_894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689682 (
+	.A1(n_33183),
+	.A2(n_340),
+	.B1(n_483),
+	.B2(n_33415),
+	.C1(n_831),
+	.X(brqrv_top_brqrv_exu_n_895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689683 (
+	.A1(n_33174),
+	.A2(n_339),
+	.B1(n_19640),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[31]),
+	.C1(n_970),
+	.X(brqrv_top_brqrv_exu_n_917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g689684 (
+	.A1(n_541),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[2]),
+	.B1(n_542),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[34]),
+	.C1(n_865),
+	.Y(n_1496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g689685 (
+	.A_N(n_31315),
+	.B(n_31385),
+	.Y(n_31314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g689686 (
+	.A1(n_541),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[3]),
+	.B1(n_542),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[35]),
+	.C1(n_1018),
+	.Y(n_1495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g689687 (
+	.A1(n_30887),
+	.A2(n_32620),
+	.B1(n_712),
+	.C1(n_1097),
+	.Y(brqrv_top_brqrv_exu_n_893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689688 (
+	.A(n_1237),
+	.B(n_31681),
+	.Y(brqrv_top_brqrv_exu_i0_rs1_d[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689689 (
+	.A1(n_33186),
+	.A2(n_340),
+	.B1(n_483),
+	.B2(n_33418),
+	.C1(n_828),
+	.X(brqrv_top_brqrv_exu_n_898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689690 (
+	.A1(n_33166),
+	.A2(n_339),
+	.B1(n_19640),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[23]),
+	.C1(n_891),
+	.X(brqrv_top_brqrv_exu_n_909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689691 (
+	.A1(n_341),
+	.A2(n_34770),
+	.B1(n_342),
+	.B2(brqrv_top_brqrv_exu_alu_result_x[17]),
+	.C1(n_34771),
+	.C2(n_487),
+	.Y(n_1494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689692 (
+	.A1(n_341),
+	.A2(n_34768),
+	.B1(n_342),
+	.B2(brqrv_top_brqrv_exu_alu_result_x[16]),
+	.C1(n_34769),
+	.C2(n_487),
+	.Y(n_1493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689693 (
+	.A1(n_341),
+	.A2(n_34794),
+	.B1(n_342),
+	.B2(brqrv_top_brqrv_exu_alu_result_x[29]),
+	.C1(n_34795),
+	.C2(n_487),
+	.Y(n_1492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_2 g689694 (
+	.A1(n_423),
+	.A2(n_31761),
+	.B1(n_424),
+	.B2(n_31734),
+	.C1(n_1210),
+	.X(n_1491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g689695 (
+	.A1(n_420),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[123]),
+	.B1(n_565),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[91]),
+	.C1(n_1204),
+	.Y(n_1490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689696 (
+	.A(n_1299),
+	.B(n_31645),
+	.Y(n_1489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689697 (
+	.A(n_1291),
+	.B(n_33495),
+	.Y(n_1488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689698 (
+	.A(n_1337),
+	.Y(n_33446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689699 (
+	.A(n_1336),
+	.Y(n_33441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689700 (
+	.A(n_1335),
+	.Y(n_33443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689701 (
+	.A(n_1334),
+	.Y(n_33442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689702 (
+	.A(n_1333),
+	.Y(n_33444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g689703 (
+	.A(n_1436),
+	.Y(n_33165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g689704 (
+	.A(n_1435),
+	.Y(n_33162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689705 (
+	.A(n_1434),
+	.Y(n_33173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689706 (
+	.A(n_1433),
+	.Y(n_33169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g689707 (
+	.A(n_1432),
+	.Y(n_33163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689708 (
+	.A(n_1431),
+	.Y(n_33167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689709 (
+	.A(n_1430),
+	.Y(n_33168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g689710 (
+	.A(n_1429),
+	.Y(n_33164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g689711 (
+	.A(n_1428),
+	.Y(n_33156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g689712 (
+	.A(n_1427),
+	.Y(n_33161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689713 (
+	.A(n_1426),
+	.Y(n_33170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g689714 (
+	.A(n_1425),
+	.Y(n_33158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689715 (
+	.A(n_1424),
+	.Y(n_33171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g689716 (
+	.A(n_1423),
+	.Y(n_33148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g689717 (
+	.A(n_1422),
+	.Y(n_33154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g689718 (
+	.A(n_1421),
+	.Y(n_33157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g689719 (
+	.A(n_1420),
+	.Y(n_33196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g689720 (
+	.A(n_1419),
+	.Y(n_33198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g689721 (
+	.A(n_1418),
+	.Y(n_33199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689722 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[208]),
+	.A2(n_319),
+	.B1(n_318),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[112]),
+	.C1(n_39164),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[48]),
+	.Y(n_1417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689723 (
+	.A1(brqrv_top_brqrv_dma_ctrl_n_593),
+	.A2(n_463),
+	.B1(n_5424),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[131]),
+	.C1(n_464),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_addr[99]),
+	.Y(n_1416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689725 (
+	.A(n_1275),
+	.B(n_1266),
+	.Y(n_1415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g689726 (
+	.A(brqrv_top_brqrv_dec_i0_predict_p_d[47]),
+	.B(n_513),
+	.C(n_31748),
+	.D(n_31877),
+	.X(n_1414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689727 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[1007]),
+	.A2(n_322),
+	.B1(n_337),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[175]),
+	.C1(n_39164),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[47]),
+	.Y(n_1413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689728 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[201]),
+	.A2(n_464),
+	.B1(n_465),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[137]),
+	.C1(n_5457),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[73]),
+	.Y(n_1412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689729 (
+	.A(n_1276),
+	.B(n_1277),
+	.Y(n_1411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689730 (
+	.A1(brqrv_top_brqrv_dma_ctrl_n_1094),
+	.A2(n_463),
+	.B1(n_5457),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[83]),
+	.C1(n_465),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[147]),
+	.Y(n_1410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689731 (
+	.A1(brqrv_top_brqrv_dec_i0_result_r[26]),
+	.A2(n_29931),
+	.B1(n_570),
+	.B2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[26]),
+	.C1(n_567),
+	.C2(brqrv_top_brqrv_lsu_fir_addr[26]),
+	.Y(n_1409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 g689732 (
+	.A1(brqrv_top_brqrv_dec_dec_i0_instr_d[24]),
+	.A2(n_31766),
+	.A3(n_31823),
+	.B1(n_515),
+	.B2(n_31662),
+	.Y(n_1408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689733 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[1006]),
+	.A2(n_322),
+	.B1(n_337),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[174]),
+	.C1(n_39164),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[46]),
+	.Y(n_1407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689734 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[1021]),
+	.A2(n_322),
+	.B1(n_337),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[189]),
+	.C1(n_39164),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[61]),
+	.Y(n_1406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689735 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[6]),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[5]),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[4]),
+	.B2(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[4]),
+	.C1(n_739),
+	.C2(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[2]),
+	.Y(n_1405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32o_1 g689736 (
+	.A1(n_512),
+	.A2(brqrv_top_brqrv_dec_i0_predict_p_d[46]),
+	.A3(brqrv_top_brqrv_dec_dec_i0_instr_d[5]),
+	.B1(brqrv_top_brqrv_dec_decode_i0_dec_n_62),
+	.B2(n_555),
+	.X(n_1404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689737 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[1005]),
+	.A2(n_322),
+	.B1(n_337),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[173]),
+	.C1(n_39164),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[45]),
+	.Y(n_1403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689738 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[1004]),
+	.A2(n_322),
+	.B1(n_337),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[172]),
+	.C1(n_39164),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[44]),
+	.Y(n_1402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689739 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[1003]),
+	.A2(n_322),
+	.B1(n_337),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[171]),
+	.C1(n_39164),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[43]),
+	.Y(n_1401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689740 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[316]),
+	.A2(n_5424),
+	.B1(n_464),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[252]),
+	.C1(n_463),
+	.C2(brqrv_top_brqrv_dma_ctrl_n_1299),
+	.Y(n_1400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689741 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[284]),
+	.A2(n_5424),
+	.B1(n_463),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1139),
+	.C1(n_464),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[220]),
+	.Y(n_1399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689742 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[285]),
+	.A2(n_5424),
+	.B1(n_463),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1144),
+	.C1(n_464),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[221]),
+	.Y(n_1398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689743 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[283]),
+	.A2(n_5424),
+	.B1(n_463),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1134),
+	.C1(n_464),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[219]),
+	.Y(n_1397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689744 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[286]),
+	.A2(n_5424),
+	.B1(n_463),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1149),
+	.C1(n_464),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[222]),
+	.Y(n_1396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689745 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[1002]),
+	.A2(n_322),
+	.B1(n_337),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[170]),
+	.C1(n_39164),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[42]),
+	.Y(n_1395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689746 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[289]),
+	.A2(n_5424),
+	.B1(n_463),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1164),
+	.C1(n_464),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[225]),
+	.Y(n_1394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689747 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[287]),
+	.A2(n_5424),
+	.B1(n_463),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1154),
+	.C1(n_464),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[223]),
+	.Y(n_1393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689748 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[282]),
+	.A2(n_5424),
+	.B1(n_463),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1129),
+	.C1(n_464),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[218]),
+	.Y(n_1392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689749 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[280]),
+	.A2(n_5424),
+	.B1(n_463),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1119),
+	.C1(n_464),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[216]),
+	.Y(n_1391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689750 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[146]),
+	.A2(n_465),
+	.B1(n_463),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1089),
+	.C1(n_5457),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[82]),
+	.Y(n_1390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689751 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[188]),
+	.A2(n_337),
+	.B1(n_39154),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[156]),
+	.C1(n_318),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[124]),
+	.Y(n_1389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689752 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[202]),
+	.A2(n_464),
+	.B1(n_465),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[138]),
+	.C1(n_5457),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[74]),
+	.Y(n_1388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689753 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[212]),
+	.A2(n_464),
+	.B1(n_465),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[148]),
+	.C1(n_5457),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[84]),
+	.Y(n_1387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689754 (
+	.A1(brqrv_top_brqrv_dma_ctrl_n_1064),
+	.A2(n_463),
+	.B1(n_5457),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[77]),
+	.C1(n_465),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[141]),
+	.Y(n_1386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689755 (
+	.A1(brqrv_top_brqrv_dma_ctrl_n_1024),
+	.A2(n_463),
+	.B1(n_5457),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[69]),
+	.C1(n_465),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[133]),
+	.Y(n_1385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689756 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[149]),
+	.A2(n_465),
+	.B1(n_463),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1104),
+	.C1(n_5457),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[85]),
+	.Y(n_1384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689757 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[150]),
+	.A2(n_465),
+	.B1(n_463),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1109),
+	.C1(n_5457),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[86]),
+	.Y(n_1383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689758 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[206]),
+	.A2(n_464),
+	.B1(n_465),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[142]),
+	.C1(n_5457),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[78]),
+	.Y(n_1382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689759 (
+	.A1(brqrv_top_brqrv_dma_ctrl_n_1029),
+	.A2(n_463),
+	.B1(n_5457),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[70]),
+	.C1(n_465),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[134]),
+	.Y(n_1381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689760 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[1000]),
+	.A2(n_322),
+	.B1(n_337),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[168]),
+	.C1(n_39164),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[40]),
+	.Y(n_1380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689761 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[144]),
+	.A2(n_465),
+	.B1(n_463),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1079),
+	.C1(n_5457),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[80]),
+	.Y(n_1379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689762 (
+	.A1(brqrv_top_brqrv_dma_ctrl_n_1039),
+	.A2(n_463),
+	.B1(n_5457),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[72]),
+	.C1(n_465),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[136]),
+	.Y(n_1378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689763 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[140]),
+	.A2(n_465),
+	.B1(n_463),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1059),
+	.C1(n_5457),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[76]),
+	.Y(n_1377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689764 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[89]),
+	.A2(n_5457),
+	.B1(n_464),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[217]),
+	.C1(n_465),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[153]),
+	.Y(n_1376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689765 (
+	.A1(n_34692),
+	.A2(n_564),
+	.B1(n_31061),
+	.B2(brqrv_top_brqrv_exu_i0_pc_x[29]),
+	.C1(n_29876),
+	.X(brqrv_top_brqrv_pred_correct_npc_x[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689766 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[139]),
+	.A2(n_465),
+	.B1(n_463),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1054),
+	.C1(n_5457),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[75]),
+	.Y(n_1375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689767 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[135]),
+	.A2(n_5424),
+	.B1(n_463),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_613),
+	.C1(n_464),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_addr[103]),
+	.Y(n_1374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689768 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[259]),
+	.A2(n_5424),
+	.B1(n_463),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1014),
+	.C1(n_464),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[195]),
+	.Y(n_1373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689769 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[134]),
+	.A2(n_39154),
+	.B1(n_39155),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[70]),
+	.C1(n_39164),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[38]),
+	.Y(n_1372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689770 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[151]),
+	.A2(n_465),
+	.B1(n_463),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1114),
+	.C1(n_5457),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[87]),
+	.Y(n_1371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689771 (
+	.A1(brqrv_top_brqrv_dma_ctrl_n_1074),
+	.A2(n_463),
+	.B1(n_5457),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[79]),
+	.C1(n_465),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[143]),
+	.Y(n_1370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689772 (
+	.A1(brqrv_top_brqrv_dma_ctrl_n_1034),
+	.A2(n_463),
+	.B1(n_5457),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[71]),
+	.C1(n_465),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[135]),
+	.Y(n_1369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689773 (
+	.A1(brqrv_top_brqrv_dma_ctrl_n_999),
+	.A2(n_463),
+	.B1(n_5457),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[64]),
+	.C1(n_465),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[128]),
+	.Y(n_1368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689774 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[133]),
+	.A2(n_39154),
+	.B1(n_39155),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[69]),
+	.C1(n_39164),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[37]),
+	.Y(n_1367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689775 (
+	.A1(brqrv_top_brqrv_dec_i0_result_r[24]),
+	.A2(n_29931),
+	.B1(n_570),
+	.B2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[24]),
+	.C1(n_567),
+	.C2(brqrv_top_brqrv_lsu_fir_addr[24]),
+	.Y(n_1366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689776 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[164]),
+	.A2(n_337),
+	.B1(n_319),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[196]),
+	.C1(n_39154),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[132]),
+	.Y(n_1365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689777 (
+	.A1(brqrv_top_brqrv_dec_i0_result_r[20]),
+	.A2(n_29931),
+	.B1(n_570),
+	.B2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[20]),
+	.C1(n_567),
+	.C2(brqrv_top_brqrv_lsu_fir_addr[20]),
+	.Y(n_1364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689778 (
+	.A1(brqrv_top_brqrv_dma_ctrl_n_608),
+	.A2(n_463),
+	.B1(n_5424),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[134]),
+	.C1(n_464),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_addr[102]),
+	.Y(n_1363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689779 (
+	.A1(brqrv_top_brqrv_dec_i0_result_r[14]),
+	.A2(n_29931),
+	.B1(n_39150),
+	.B2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[14]),
+	.C1(n_579),
+	.C2(brqrv_top_brqrv_lsu_fir_addr[14]),
+	.Y(n_1362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689780 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[131]),
+	.A2(n_39154),
+	.B1(n_39155),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[67]),
+	.C1(n_39164),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[35]),
+	.Y(n_1361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689781 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[179]),
+	.A2(n_337),
+	.B1(n_322),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[1011]),
+	.C1(n_39164),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[51]),
+	.Y(n_1360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689782 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[1019]),
+	.A2(n_322),
+	.B1(n_337),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[187]),
+	.C1(n_39164),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[59]),
+	.Y(n_1359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689783 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[139]),
+	.A2(n_5424),
+	.B1(n_463),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_633),
+	.C1(n_464),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_addr[107]),
+	.Y(n_1358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689784 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[136]),
+	.A2(n_5424),
+	.B1(n_463),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_618),
+	.C1(n_464),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_addr[104]),
+	.Y(n_1357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689785 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[130]),
+	.A2(n_39154),
+	.B1(n_39155),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[66]),
+	.C1(n_39164),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[34]),
+	.Y(n_1356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689786 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[212]),
+	.A2(n_319),
+	.B1(n_318),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[116]),
+	.C1(n_39164),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[52]),
+	.Y(n_1355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689787 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[137]),
+	.A2(n_5424),
+	.B1(n_463),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_623),
+	.C1(n_464),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_addr[105]),
+	.Y(n_1354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689788 (
+	.A1(brqrv_top_brqrv_dec_i0_result_r[25]),
+	.A2(n_29931),
+	.B1(n_570),
+	.B2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[25]),
+	.C1(n_567),
+	.C2(brqrv_top_brqrv_lsu_fir_addr[25]),
+	.Y(n_1353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689789 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[129]),
+	.A2(n_39154),
+	.B1(n_318),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[97]),
+	.C1(n_39155),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[65]),
+	.Y(n_1352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689790 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[160]),
+	.A2(n_337),
+	.B1(n_322),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[992]),
+	.C1(n_39164),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[32]),
+	.Y(n_1351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689791 (
+	.A1(brqrv_top_brqrv_dec_i0_result_r[13]),
+	.A2(n_29931),
+	.B1(n_39150),
+	.B2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[13]),
+	.C1(n_579),
+	.C2(brqrv_top_brqrv_lsu_fir_addr[13]),
+	.Y(n_1350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689792 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[5]),
+	.A2(n_444),
+	.B1(n_441),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[37]),
+	.C1(n_30604),
+	.C2(n_537),
+	.Y(n_1349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689793 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[186]),
+	.A2(n_337),
+	.B1(n_39154),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[154]),
+	.C1(n_318),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[122]),
+	.Y(n_1348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689794 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_addr[138]),
+	.A2(n_5424),
+	.B1(n_463),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_628),
+	.C1(n_464),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_addr[106]),
+	.Y(n_1347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689795 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[1013]),
+	.A2(n_322),
+	.B1(n_39154),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[149]),
+	.C1(n_39164),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[53]),
+	.Y(n_1346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689796 (
+	.A1(brqrv_top_brqrv_dma_ctrl_fifo_data[273]),
+	.A2(n_5424),
+	.B1(n_463),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1084),
+	.C1(n_464),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_data[209]),
+	.Y(n_1345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689797 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[191]),
+	.A2(n_337),
+	.B1(n_39154),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[159]),
+	.C1(n_318),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[127]),
+	.Y(n_1344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689798 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[150]),
+	.A2(n_39154),
+	.B1(n_337),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[182]),
+	.C1(n_319),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[214]),
+	.Y(n_1343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689799 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[1015]),
+	.A2(n_322),
+	.B1(n_337),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[183]),
+	.C1(n_39164),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[55]),
+	.Y(n_1342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689800 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[217]),
+	.A2(n_319),
+	.B1(n_337),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[185]),
+	.C1(n_39155),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[89]),
+	.Y(n_1341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689801 (
+	.A1(brqrv_top_brqrv_dma_ctrl_n_603),
+	.A2(n_463),
+	.B1(n_5424),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[133]),
+	.C1(n_464),
+	.C2(brqrv_top_brqrv_dma_ctrl_fifo_addr[101]),
+	.Y(n_1340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689802 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[184]),
+	.A2(n_337),
+	.B1(n_39154),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[152]),
+	.C1(n_318),
+	.C2(brqrv_top_brqrv_dec_arf_gpr_out[120]),
+	.Y(n_1339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g689803 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wraddr_r[4]),
+	.B(brqrv_top_brqrv_dec_dec_csr_wraddr_r[5]),
+	.C(n_29924),
+	.D(n_30300),
+	.X(n_31529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g689804 (
+	.A1_N(brqrv_top_brqrv_dec_i0_predict_p_d[39]),
+	.A2_N(n_736),
+	.B1(brqrv_top_brqrv_ifu_aln_first2B),
+	.B2(n_736),
+	.Y(n_1338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g689805 (
+	.A1(n_30672),
+	.A2(n_31863),
+	.B1(n_30625),
+	.B2(n_548),
+	.C1(n_625),
+	.X(brqrv_top_brqrv_lsu_bus_read_data_m[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 g689806 (
+	.A1(n_607),
+	.A2(n_30656),
+	.B1(n_31862),
+	.B2(n_29877),
+	.C1(n_658),
+	.Y(n_1438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689807 (
+	.A1(brqrv_top_brqrv_dec_i0_result_r[6]),
+	.A2(n_29931),
+	.B1(n_39157),
+	.B2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[6]),
+	.C1(n_552),
+	.C2(brqrv_top_brqrv_lsu_fir_addr[6]),
+	.Y(n_1337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g689808 (
+	.A1(n_33495),
+	.A2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[2]),
+	.B1(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r_d1[2]),
+	.X(brqrv_top_brqrv_dec_tlu_pc_r[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g689809 (
+	.A1(n_33495),
+	.A2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[17]),
+	.B1(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r_d1[17]),
+	.X(brqrv_top_brqrv_dec_tlu_pc_r[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g689810 (
+	.A1(n_33495),
+	.A2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[18]),
+	.B1(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r_d1[18]),
+	.X(brqrv_top_brqrv_dec_tlu_pc_r[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g689811 (
+	.A1(n_33495),
+	.A2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[3]),
+	.B1(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r_d1[3]),
+	.X(brqrv_top_brqrv_dec_tlu_pc_r[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g689812 (
+	.A1(n_33495),
+	.A2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[4]),
+	.B1(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r_d1[4]),
+	.X(brqrv_top_brqrv_dec_tlu_pc_r[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689813 (
+	.A1(brqrv_top_brqrv_dec_i0_result_r[1]),
+	.A2(n_29931),
+	.B1(n_39157),
+	.B2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[1]),
+	.C1(n_552),
+	.C2(brqrv_top_brqrv_lsu_fir_addr[1]),
+	.Y(n_1336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689814 (
+	.A1(brqrv_top_brqrv_dec_i0_result_r[3]),
+	.A2(n_29931),
+	.B1(n_39157),
+	.B2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[3]),
+	.C1(n_552),
+	.C2(brqrv_top_brqrv_lsu_fir_addr[3]),
+	.Y(n_1335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g689815 (
+	.A1(n_33495),
+	.A2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[5]),
+	.B1(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r_d1[5]),
+	.X(brqrv_top_brqrv_dec_tlu_pc_r[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g689816 (
+	.A1(n_33495),
+	.A2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[19]),
+	.B1(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r_d1[19]),
+	.X(brqrv_top_brqrv_dec_tlu_pc_r[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g689817 (
+	.A1(n_33495),
+	.A2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[6]),
+	.B1(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r_d1[6]),
+	.X(brqrv_top_brqrv_dec_tlu_pc_r[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689818 (
+	.A1(brqrv_top_brqrv_dec_i0_result_r[2]),
+	.A2(n_29931),
+	.B1(n_39157),
+	.B2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[2]),
+	.C1(n_552),
+	.C2(brqrv_top_brqrv_lsu_fir_addr[2]),
+	.Y(n_1334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g689819 (
+	.A1(n_33495),
+	.A2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[27]),
+	.B1(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r_d1[27]),
+	.X(brqrv_top_brqrv_dec_tlu_pc_r[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689820 (
+	.A1(brqrv_top_brqrv_dec_i0_result_r[4]),
+	.A2(n_29931),
+	.B1(n_39157),
+	.B2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[4]),
+	.C1(n_552),
+	.C2(brqrv_top_brqrv_lsu_fir_addr[4]),
+	.Y(n_1333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g689821 (
+	.A1(n_33495),
+	.A2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[20]),
+	.B1(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r_d1[20]),
+	.X(brqrv_top_brqrv_dec_tlu_pc_r[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689822 (
+	.A1(n_736),
+	.A2(brqrv_top_brqrv_dec_i0_predict_p_d[38]),
+	.B1(n_735),
+	.B2(brqrv_top_brqrv_ifu_aln_first2B),
+	.Y(n_1437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g689823 (
+	.A1(n_33495),
+	.A2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[28]),
+	.B1(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r_d1[28]),
+	.X(brqrv_top_brqrv_dec_tlu_pc_r[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g689824 (
+	.A1(n_33495),
+	.A2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[26]),
+	.B1(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r_d1[26]),
+	.X(brqrv_top_brqrv_dec_tlu_pc_r[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g689825 (
+	.A1(n_33495),
+	.A2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[16]),
+	.B1(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r_d1[16]),
+	.X(brqrv_top_brqrv_dec_tlu_pc_r[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g689826 (
+	.A1(n_33495),
+	.A2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[30]),
+	.B1(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r_d1[30]),
+	.X(brqrv_top_brqrv_dec_tlu_pc_r[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g689827 (
+	.A1(n_33495),
+	.A2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[15]),
+	.B1(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r_d1[15]),
+	.X(brqrv_top_brqrv_dec_tlu_pc_r[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g689828 (
+	.A1(n_33495),
+	.A2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[24]),
+	.B1(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r_d1[24]),
+	.X(brqrv_top_brqrv_dec_tlu_pc_r[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g689829 (
+	.A1(n_33495),
+	.A2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[14]),
+	.B1(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r_d1[14]),
+	.X(brqrv_top_brqrv_dec_tlu_pc_r[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g689830 (
+	.A1(n_33495),
+	.A2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[21]),
+	.B1(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r_d1[21]),
+	.X(brqrv_top_brqrv_dec_tlu_pc_r[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g689831 (
+	.A1(n_33495),
+	.A2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[13]),
+	.B1(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r_d1[13]),
+	.X(brqrv_top_brqrv_dec_tlu_pc_r[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g689832 (
+	.A1(n_33495),
+	.A2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[9]),
+	.B1(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r_d1[9]),
+	.X(brqrv_top_brqrv_dec_tlu_pc_r[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g689833 (
+	.A1(n_33495),
+	.A2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[8]),
+	.B1(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r_d1[8]),
+	.X(brqrv_top_brqrv_dec_tlu_pc_r[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g689834 (
+	.A1(n_33495),
+	.A2(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[7]),
+	.B1(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.B2(brqrv_top_brqrv_dec_tlu_pc_r_d1[7]),
+	.X(brqrv_top_brqrv_dec_tlu_pc_r[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689835 (
+	.A1(n_341),
+	.A2(n_34780),
+	.B1(n_342),
+	.B2(brqrv_top_brqrv_exu_alu_result_x[22]),
+	.C1(n_34781),
+	.C2(n_487),
+	.Y(n_1436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689836 (
+	.A1(n_341),
+	.A2(n_34774),
+	.B1(n_342),
+	.B2(brqrv_top_brqrv_exu_alu_result_x[19]),
+	.C1(n_34775),
+	.C2(n_487),
+	.Y(n_1435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689837 (
+	.A1(n_341),
+	.A2(n_34796),
+	.B1(n_342),
+	.B2(brqrv_top_brqrv_exu_alu_result_x[30]),
+	.C1(n_34797),
+	.C2(n_487),
+	.Y(n_1434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689838 (
+	.A1(n_341),
+	.A2(n_34788),
+	.B1(n_342),
+	.B2(brqrv_top_brqrv_exu_alu_result_x[26]),
+	.C1(n_34789),
+	.C2(n_487),
+	.Y(n_1433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689839 (
+	.A1(n_341),
+	.A2(n_34776),
+	.B1(n_342),
+	.B2(brqrv_top_brqrv_exu_alu_result_x[20]),
+	.C1(n_34777),
+	.C2(n_487),
+	.Y(n_1432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689840 (
+	.A1(n_341),
+	.A2(n_34784),
+	.B1(n_342),
+	.B2(brqrv_top_brqrv_exu_alu_result_x[24]),
+	.C1(n_34785),
+	.C2(n_487),
+	.Y(n_1431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689841 (
+	.A1(n_341),
+	.A2(n_34786),
+	.B1(n_342),
+	.B2(brqrv_top_brqrv_exu_alu_result_x[25]),
+	.C1(n_34787),
+	.C2(n_487),
+	.Y(n_1430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689842 (
+	.A1(n_341),
+	.A2(n_34778),
+	.B1(n_342),
+	.B2(brqrv_top_brqrv_exu_alu_result_x[21]),
+	.C1(n_34779),
+	.C2(n_487),
+	.Y(n_1429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689843 (
+	.A1(n_341),
+	.A2(n_34762),
+	.B1(n_342),
+	.B2(brqrv_top_brqrv_exu_alu_result_x[13]),
+	.C1(n_34763),
+	.C2(n_487),
+	.Y(n_1428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689844 (
+	.A1(n_341),
+	.A2(n_34772),
+	.B1(n_342),
+	.B2(brqrv_top_brqrv_exu_alu_result_x[18]),
+	.C1(n_34773),
+	.C2(n_487),
+	.Y(n_1427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689845 (
+	.A1(n_341),
+	.A2(n_34790),
+	.B1(n_342),
+	.B2(brqrv_top_brqrv_exu_alu_result_x[27]),
+	.C1(n_34791),
+	.C2(n_487),
+	.Y(n_1426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689846 (
+	.A1(n_341),
+	.A2(n_34766),
+	.B1(n_342),
+	.B2(brqrv_top_brqrv_exu_alu_result_x[15]),
+	.C1(n_34767),
+	.C2(n_487),
+	.Y(n_1425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689847 (
+	.A1(n_341),
+	.A2(n_34792),
+	.B1(n_342),
+	.B2(brqrv_top_brqrv_exu_alu_result_x[28]),
+	.C1(n_34793),
+	.C2(n_487),
+	.Y(n_1424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689848 (
+	.A1(n_341),
+	.A2(n_34746),
+	.B1(n_342),
+	.B2(brqrv_top_brqrv_exu_alu_result_x[5]),
+	.C1(n_34747),
+	.C2(n_487),
+	.Y(n_1423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689849 (
+	.A1(n_341),
+	.A2(n_34758),
+	.B1(n_342),
+	.B2(brqrv_top_brqrv_exu_alu_result_x[11]),
+	.C1(n_34759),
+	.C2(n_487),
+	.Y(n_1422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g689850 (
+	.A1(n_341),
+	.A2(n_34764),
+	.B1(n_342),
+	.B2(brqrv_top_brqrv_exu_alu_result_x[14]),
+	.C1(n_34765),
+	.C2(n_487),
+	.Y(n_1421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g689851 (
+	.A1(brqrv_top_brqrv_lsu_bus_read_data_m[22]),
+	.A2(n_348),
+	.B1(brqrv_top_brqrv_lsu_lsu_ld_data_m[22]),
+	.B2(n_500),
+	.C1(n_30570),
+	.Y(n_1420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g689852 (
+	.A1(brqrv_top_brqrv_lsu_bus_read_data_m[24]),
+	.A2(n_348),
+	.B1(brqrv_top_brqrv_lsu_lsu_ld_data_m[24]),
+	.B2(n_500),
+	.C1(n_30570),
+	.Y(n_1419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g689853 (
+	.A1(brqrv_top_brqrv_lsu_bus_read_data_m[25]),
+	.A2(n_348),
+	.B1(brqrv_top_brqrv_lsu_lsu_ld_data_m[25]),
+	.B2(n_500),
+	.C1(n_30570),
+	.Y(n_1418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g689854 (
+	.A(n_1331),
+	.Y(n_31604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g689855 (
+	.A(n_33421),
+	.Y(n_1323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g689857 (
+	.A(n_1318),
+	.Y(n_33189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689860 (
+	.A(n_1303),
+	.Y(brqrv_top_brqrv_dec_dec_csr_wrdata_r[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689861 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[9]),
+	.Y(n_1302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g689863 (
+	.A(n_1300),
+	.Y(n_1299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g689864 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_offset_d[11]),
+	.Y(n_1298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g689865 (
+	.A(n_1296),
+	.Y(n_1297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689867 (
+	.A(n_1294),
+	.Y(n_32416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689870 (
+	.A(n_1291),
+	.Y(n_30482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689871 (
+	.A(n_1290),
+	.Y(brqrv_top_brqrv_dec_tlu_request_debug_mode_r), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g689872 (
+	.A(n_1289),
+	.Y(n_32417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689873 (
+	.A1(n_482),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[805]),
+	.B1(n_477),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[837]),
+	.Y(n_1288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689874 (
+	.A1(n_478),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[933]),
+	.B1(n_474),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[773]),
+	.Y(n_1287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689875 (
+	.A1(n_317),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[427]),
+	.B1(n_334),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[267]),
+	.Y(n_1286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689876 (
+	.A1(n_325),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[395]),
+	.B1(n_39156),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[363]),
+	.Y(n_1285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689877 (
+	.A1(n_333),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[331]),
+	.B1(n_39163),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[299]),
+	.Y(n_1284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689878 (
+	.A1(n_481),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[235]),
+	.B1(n_323),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[459]),
+	.Y(n_1283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689879 (
+	.A1(n_316),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[907]),
+	.B1(n_473),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[875]),
+	.Y(n_1282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689880 (
+	.A1(n_316),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[901]),
+	.B1(n_473),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[869]),
+	.Y(n_1281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689883 (
+	.A1(n_547),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[20]),
+	.B1(n_388),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[52]),
+	.Y(n_1279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689884 (
+	.A1(n_331),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[645]),
+	.B1(n_329),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[581]),
+	.Y(n_1278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g689885 (
+	.A_N(n_31601),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[3]),
+	.Y(n_1277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g689886 (
+	.A_N(n_31600),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[5]),
+	.Y(n_1276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g689887 (
+	.A_N(n_31600),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[4]),
+	.Y(n_1275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689888 (
+	.A(brqrv_top_brqrv_dma_mem_addr[2]),
+	.B(n_741),
+	.Y(n_31168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689891 (
+	.A1(n_482),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[811]),
+	.B1(n_477),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[843]),
+	.Y(n_1274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689892 (
+	.A(n_742),
+	.B(n_31060),
+	.Y(n_1273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g689895 (
+	.A1(n_345),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [90]),
+	.B1(n_39160),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [129]),
+	.X(n_1272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g689896 (
+	.A(n_309),
+	.B(n_31645),
+	.Y(n_1271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g689897 (
+	.A_N(brqrv_top_brqrv_dec_tlu_exc_cause_r[4]),
+	.B(n_32676),
+	.Y(brqrv_top_brqrv_dec_tlu_exc_cause_r[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g689898 (
+	.A1(n_355),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[232]),
+	.B1(n_501),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[328]),
+	.X(n_1270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689899 (
+	.A1(n_39162),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[715]),
+	.B1(n_39161),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[523]),
+	.Y(n_1269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689900 (
+	.A1(n_325),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[396]),
+	.B1(n_39156),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[364]),
+	.Y(n_1268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689901 (
+	.A1(n_317),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[428]),
+	.B1(n_334),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[268]),
+	.Y(n_1267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g689902 (
+	.A_N(n_31601),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[2]),
+	.Y(n_1266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689903 (
+	.A1(n_333),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[332]),
+	.B1(n_39163),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[300]),
+	.Y(n_1265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689904 (
+	.A1(n_481),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[236]),
+	.B1(n_323),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[460]),
+	.Y(n_1264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689905 (
+	.A1(n_316),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[908]),
+	.B1(n_473),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[876]),
+	.Y(n_1263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689906 (
+	.A1(n_475),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[748]),
+	.B1(n_328),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[972]),
+	.Y(n_1262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689907 (
+	.A1(n_479),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[508]),
+	.B1(n_39161),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[540]),
+	.Y(n_1261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689908 (
+	.A1(n_330),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[620]),
+	.B1(n_327),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[556]),
+	.Y(n_1260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689909 (
+	.A1(n_5424),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[272]),
+	.B1(n_464),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[208]),
+	.Y(n_1259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689910 (
+	.A1(n_475),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[741]),
+	.B1(n_328),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[965]),
+	.Y(n_1258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689911 (
+	.A1(n_5424),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[277]),
+	.B1(n_464),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[213]),
+	.Y(n_1257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689912 (
+	.A1(n_317),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[429]),
+	.B1(n_334),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[269]),
+	.Y(n_1256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g689913 (
+	.A(n_519),
+	.B(n_673),
+	.X(n_1255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689914 (
+	.A(n_729),
+	.B(n_535),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[39]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689915 (
+	.A(n_729),
+	.B(n_536),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[40]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689916 (
+	.A(n_731),
+	.B(n_538),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[107]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689917 (
+	.A(n_731),
+	.B(n_373),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[106]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689918 (
+	.A(n_731),
+	.B(n_386),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[105]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689919 (
+	.A(n_731),
+	.B(n_536),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[104]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689920 (
+	.A(n_731),
+	.B(n_535),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[103]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689921 (
+	.A(n_731),
+	.B(n_379),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[102]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689922 (
+	.A(n_731),
+	.B(n_543),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[101]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689923 (
+	.A(n_730),
+	.B(n_384),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689924 (
+	.A(n_731),
+	.B(n_381),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[98]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689925 (
+	.A(n_731),
+	.B(n_384),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[97]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689926 (
+	.A(n_671),
+	.B(n_373),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[74]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689927 (
+	.A(n_671),
+	.B(n_386),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[73]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689928 (
+	.A(n_671),
+	.B(n_535),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[71]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689929 (
+	.A(n_671),
+	.B(n_379),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[70]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689930 (
+	.A(n_671),
+	.B(n_381),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[66]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689931 (
+	.A(n_671),
+	.B(n_384),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[65]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689932 (
+	.A1(n_325),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[397]),
+	.B1(n_39156),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[365]),
+	.Y(n_1254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689933 (
+	.A1(n_511),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[1008]),
+	.B1(n_492),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[48]),
+	.Y(n_1253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689934 (
+	.A(n_730),
+	.B(n_538),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689935 (
+	.A(n_730),
+	.B(n_373),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689936 (
+	.A(n_730),
+	.B(n_386),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689937 (
+	.A(n_730),
+	.B(n_535),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689938 (
+	.A(n_730),
+	.B(n_536),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689939 (
+	.A(n_730),
+	.B(n_379),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689940 (
+	.A(n_730),
+	.B(n_381),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689941 (
+	.A(n_729),
+	.B(n_373),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[42]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689942 (
+	.A(n_729),
+	.B(n_538),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[43]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689943 (
+	.A(n_729),
+	.B(n_386),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[41]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689944 (
+	.A(n_729),
+	.B(n_379),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[38]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689945 (
+	.A(n_729),
+	.B(n_543),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[37]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689946 (
+	.A(n_729),
+	.B(n_384),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[33]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689947 (
+	.A(n_729),
+	.B(n_381),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[34]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g689948 (
+	.A1(n_479),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[490]),
+	.B1(n_39162),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[714]),
+	.Y(n_1252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689949 (
+	.A(n_671),
+	.B(n_543),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[69]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689950 (
+	.A(n_671),
+	.B(n_536),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[72]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689951 (
+	.A(n_730),
+	.B(n_543),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689952 (
+	.A(n_671),
+	.B(n_538),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[75]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689953 (
+	.A(n_729),
+	.B(n_370),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[46]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689954 (
+	.A(n_671),
+	.B(n_366),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[86]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689955 (
+	.A(n_671),
+	.B(n_372),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[82]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689956 (
+	.A(n_731),
+	.B(n_369),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[127]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689957 (
+	.A(n_731),
+	.B(n_371),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[126]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689958 (
+	.A(n_731),
+	.B(n_523),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[125]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689959 (
+	.A(n_731),
+	.B(n_528),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[124]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689960 (
+	.A(n_731),
+	.B(n_533),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[123]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689961 (
+	.A(n_731),
+	.B(n_367),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[122]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689962 (
+	.A(n_731),
+	.B(n_526),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[120]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689963 (
+	.A(n_731),
+	.B(n_522),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[119]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689964 (
+	.A(n_731),
+	.B(n_368),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[117]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689965 (
+	.A(n_731),
+	.B(n_366),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[118]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689966 (
+	.A(n_731),
+	.B(n_524),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[116]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689967 (
+	.A(n_731),
+	.B(n_527),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[115]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689968 (
+	.A(n_731),
+	.B(n_372),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[114]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689969 (
+	.A(n_731),
+	.B(n_525),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[113]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689970 (
+	.A(n_730),
+	.B(n_529),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689971 (
+	.A(n_730),
+	.B(n_530),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689972 (
+	.A(n_731),
+	.B(n_529),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[100]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689973 (
+	.A(n_671),
+	.B(n_371),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[94]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689974 (
+	.A(n_671),
+	.B(n_528),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[92]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689975 (
+	.A(n_671),
+	.B(n_367),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[90]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689976 (
+	.A(n_671),
+	.B(n_530),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[89]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689977 (
+	.A(n_671),
+	.B(n_522),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[87]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689978 (
+	.A(n_671),
+	.B(n_526),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[88]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689979 (
+	.A(n_671),
+	.B(n_368),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[85]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689980 (
+	.A(n_671),
+	.B(n_524),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[84]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689981 (
+	.A(n_671),
+	.B(n_364),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[80]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689982 (
+	.A(n_671),
+	.B(n_370),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[78]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689983 (
+	.A(n_729),
+	.B(n_364),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[48]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689984 (
+	.A(n_671),
+	.B(n_529),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[68]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689985 (
+	.A(n_730),
+	.B(n_369),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689986 (
+	.A(n_730),
+	.B(n_371),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689987 (
+	.A(n_730),
+	.B(n_523),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689988 (
+	.A(n_730),
+	.B(n_528),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689989 (
+	.A(n_730),
+	.B(n_533),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689990 (
+	.A(n_730),
+	.B(n_367),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689991 (
+	.A(n_730),
+	.B(n_526),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689992 (
+	.A(n_730),
+	.B(n_522),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689993 (
+	.A(n_730),
+	.B(n_366),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689994 (
+	.A(n_730),
+	.B(n_368),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689995 (
+	.A(n_730),
+	.B(n_524),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689996 (
+	.A(n_730),
+	.B(n_527),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689997 (
+	.A(n_730),
+	.B(n_372),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689998 (
+	.A(n_730),
+	.B(n_525),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g689999 (
+	.A(n_730),
+	.B(n_364),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690000 (
+	.A(n_730),
+	.B(n_370),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690001 (
+	.A(n_729),
+	.B(n_369),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[63]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690002 (
+	.A(n_729),
+	.B(n_371),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[62]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690003 (
+	.A(n_729),
+	.B(n_523),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[61]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690004 (
+	.A(n_671),
+	.B(n_527),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[83]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690005 (
+	.A(n_730),
+	.B(n_365),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690006 (
+	.A(n_729),
+	.B(n_528),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[60]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690007 (
+	.A(n_729),
+	.B(n_533),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[59]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690008 (
+	.A(n_729),
+	.B(n_367),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[58]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690009 (
+	.A(n_729),
+	.B(n_530),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[57]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690010 (
+	.A(n_729),
+	.B(n_526),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[56]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690011 (
+	.A(n_729),
+	.B(n_522),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[55]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690012 (
+	.A(n_729),
+	.B(n_366),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[54]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690013 (
+	.A(n_729),
+	.B(n_368),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[53]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690014 (
+	.A(n_729),
+	.B(n_527),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[51]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690015 (
+	.A(n_729),
+	.B(n_524),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[52]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690016 (
+	.A(n_729),
+	.B(n_525),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[49]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690017 (
+	.A(n_729),
+	.B(n_365),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[47]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690018 (
+	.A(n_729),
+	.B(n_529),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[36]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690019 (
+	.A(n_671),
+	.B(n_523),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[93]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690020 (
+	.A(n_731),
+	.B(n_364),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[112]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690021 (
+	.A(n_671),
+	.B(n_533),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[91]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690022 (
+	.A(n_731),
+	.B(n_370),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[110]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690023 (
+	.A(n_671),
+	.B(n_525),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[81]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690024 (
+	.A(n_671),
+	.B(n_369),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[95]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690025 (
+	.A1(n_333),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[333]),
+	.B1(n_39163),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[301]),
+	.Y(n_1251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690026 (
+	.A(n_671),
+	.B(n_365),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[79]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690027 (
+	.A(n_729),
+	.B(n_372),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[50]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690028 (
+	.A(n_731),
+	.B(n_365),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[111]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690029 (
+	.A(n_731),
+	.B(n_530),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[121]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690030 (
+	.A(n_729),
+	.B(n_521),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[35]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690031 (
+	.A(n_731),
+	.B(n_520),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[109]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690032 (
+	.A(n_731),
+	.B(n_363),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[108]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690033 (
+	.A(n_731),
+	.B(n_521),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[99]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690034 (
+	.A(n_671),
+	.B(n_520),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[77]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690035 (
+	.A(n_671),
+	.B(n_363),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[76]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690036 (
+	.A(n_671),
+	.B(n_521),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[67]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690037 (
+	.A(n_730),
+	.B(n_520),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690038 (
+	.A(n_730),
+	.B(n_363),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690039 (
+	.A(n_730),
+	.B(n_521),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690040 (
+	.A(n_729),
+	.B(n_520),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[45]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690041 (
+	.A(n_729),
+	.B(n_363),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[44]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g690042 (
+	.A1(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.A2(brqrv_top_brqrv_lsu_error_pkt_r[38]),
+	.B1(brqrv_top_brqrv_dec_tlu_inst_acc_r),
+	.Y(n_1250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690043 (
+	.A1(n_481),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[237]),
+	.B1(n_323),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[461]),
+	.Y(n_1249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690044 (
+	.A1(n_5424),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[278]),
+	.B1(n_464),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[214]),
+	.Y(n_1248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690045 (
+	.A1(n_478),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[941]),
+	.B1(n_474),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[781]),
+	.Y(n_1247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690046 (
+	.A1(n_482),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[813]),
+	.B1(n_477),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[845]),
+	.Y(n_1246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690047 (
+	.A1(n_475),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[749]),
+	.B1(n_328),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[973]),
+	.Y(n_1245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690048 (
+	.A1(n_337),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[165]),
+	.B1(n_322),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[997]),
+	.Y(n_1244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690049 (
+	.A1(n_5424),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[261]),
+	.B1(n_464),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[197]),
+	.Y(n_1243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690050 (
+	.A1(n_39154),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[141]),
+	.B1(n_39155),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[77]),
+	.Y(n_1242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690051 (
+	.A1(n_39161),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[525]),
+	.B1(n_330),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[621]),
+	.Y(n_1241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g690052 (
+	.A1(n_387),
+	.A2(brqrv_top_brqrv_dec_i0_predict_p_d[44]),
+	.B1(n_31826),
+	.Y(n_1240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g690053 (
+	.A1(brqrv_top_brqrv_ifu_i0_pc[14]),
+	.A2(brqrv_top_brqrv_ifu_i0_pc[13]),
+	.B1(n_675),
+	.Y(n_1239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g690054 (
+	.A1(brqrv_top_brqrv_dec_dec_i0_instr_d[21]),
+	.A2(n_429),
+	.B1(n_30710),
+	.Y(n_1238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g690055 (
+	.A1(n_261605_BAR),
+	.A2(brqrv_top_brqrv_dbg_cmd_wrdata[0]),
+	.B1(n_33206),
+	.Y(n_1237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690056 (
+	.A1(n_39156),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[381]),
+	.B1(n_333),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[349]),
+	.Y(n_1236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g690057 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[4]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[5]),
+	.C(n_512),
+	.X(n_1235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690058 (
+	.A1(n_325),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[413]),
+	.B1(n_39163),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[317]),
+	.Y(n_1234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690059 (
+	.A1(n_481),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[253]),
+	.B1(n_334),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[285]),
+	.Y(n_1233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690060 (
+	.A1(n_475),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[765]),
+	.B1(n_474),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[797]),
+	.Y(n_1232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690061 (
+	.A1(n_317),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[430]),
+	.B1(n_334),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[270]),
+	.Y(n_1231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690062 (
+	.A1(n_477),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[861]),
+	.B1(n_473),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[893]),
+	.Y(n_1230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690063 (
+	.A1(n_333),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[334]),
+	.B1(n_39163),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[302]),
+	.Y(n_1229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690064 (
+	.A1(n_316),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[910]),
+	.B1(n_473),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[878]),
+	.Y(n_1228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690065 (
+	.A1(n_482),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[814]),
+	.B1(n_477),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[846]),
+	.Y(n_1227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690066 (
+	.A1(n_39154),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[142]),
+	.B1(n_39155),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[78]),
+	.Y(n_1226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g690067 (
+	.A(n_31832),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[2]),
+	.C(n_31879),
+	.Y(n_1225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g690068 (
+	.A(brqrv_top_brqrv_dec_i0_predict_p_d[42]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[14]),
+	.C(n_31826),
+	.Y(n_1224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690069 (
+	.A1(n_319),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[221]),
+	.B1(n_39155),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[93]),
+	.Y(n_1223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690070 (
+	.A1(n_39162),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[718]),
+	.B1(n_39161),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[526]),
+	.Y(n_1222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g690071 (
+	.A1(n_586),
+	.A2(n_30584),
+	.B1(n_454),
+	.B2(n_30583),
+	.Y(n_1221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g690072 (
+	.A1(n_609),
+	.A2(n_30637),
+	.B1(n_602),
+	.B2(n_30638),
+	.Y(n_1220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690073 (
+	.A1(n_330),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[622]),
+	.B1(n_327),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[558]),
+	.Y(n_1219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g690074 (
+	.A1(n_612),
+	.A2(n_30648),
+	.B1(n_600),
+	.B2(n_30646),
+	.Y(n_1218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g690075 (
+	.A1(n_455),
+	.A2(n_30554),
+	.B1(n_624),
+	.B2(n_30555),
+	.Y(n_1217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g690076 (
+	.A1(n_613),
+	.A2(n_30554),
+	.B1(n_620),
+	.B2(n_30555),
+	.Y(n_1216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g690077 (
+	.A1(n_608),
+	.A2(n_30556),
+	.B1(n_597),
+	.B2(n_30557),
+	.Y(n_1215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g690078 (
+	.A1(n_605),
+	.A2(n_30560),
+	.B1(n_439),
+	.B2(n_30561),
+	.Y(n_1214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g690079 (
+	.A1(n_581),
+	.A2(n_30584),
+	.B1(n_431),
+	.B2(n_30583),
+	.Y(n_1213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g690080 (
+	.A1_N(n_31863),
+	.A2_N(n_30586),
+	.B1(n_595),
+	.B2(n_30588),
+	.Y(n_1212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g690081 (
+	.A1(n_614),
+	.A2(n_30556),
+	.B1(n_460),
+	.B2(n_30557),
+	.Y(n_1211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g690082 (
+	.A1(n_421),
+	.A2(n_30703),
+	.B1(n_422),
+	.B2(n_31733),
+	.X(n_1210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g690083 (
+	.A1(n_426),
+	.A2(n_30703),
+	.B1(n_430),
+	.B2(n_31733),
+	.Y(n_1209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g690084 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[19]),
+	.A2_N(n_568),
+	.B1(n_621),
+	.B2(n_30588),
+	.Y(n_1208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g690085 (
+	.A1(n_451),
+	.A2(n_30554),
+	.B1(n_591),
+	.B2(n_30555),
+	.Y(n_1207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g690086 (
+	.A1(n_588),
+	.A2(n_30556),
+	.B1(n_442),
+	.B2(n_30557),
+	.Y(n_1206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g690087 (
+	.A1(n_615),
+	.A2(n_30560),
+	.B1(n_587),
+	.B2(n_30561),
+	.Y(n_1205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g690088 (
+	.A1(n_598),
+	.A2(n_30703),
+	.B1(n_603),
+	.B2(n_31733),
+	.Y(n_1204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g690089 (
+	.A1_N(n_30613),
+	.A2_N(n_573),
+	.B1(n_583),
+	.B2(n_30692),
+	.Y(n_1203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g690090 (
+	.A1(n_590),
+	.A2(n_30554),
+	.B1(n_433),
+	.B2(n_30555),
+	.Y(n_1202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g690091 (
+	.A1(n_448),
+	.A2(n_30556),
+	.B1(n_592),
+	.B2(n_30557),
+	.Y(n_1201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g690092 (
+	.A1(n_447),
+	.A2(n_30560),
+	.B1(n_619),
+	.B2(n_30561),
+	.Y(n_1200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g690093 (
+	.A1(n_622),
+	.A2(n_30703),
+	.B1(n_459),
+	.B2(n_31733),
+	.Y(n_1199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g690094 (
+	.A1(n_596),
+	.A2(n_30560),
+	.B1(n_604),
+	.B2(n_30561),
+	.Y(n_1198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g690095 (
+	.A1(n_617),
+	.A2(n_30849),
+	.B1(n_434),
+	.B2(n_30815),
+	.Y(n_1197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g690096 (
+	.A1_N(n_432),
+	.A2_N(n_30603),
+	.B1(n_457),
+	.B2(n_30814),
+	.Y(n_1196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g690097 (
+	.A1(n_584),
+	.A2(n_30640),
+	.B1(n_438),
+	.B2(n_30639),
+	.Y(n_1195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g690098 (
+	.A1(n_446),
+	.A2(n_30637),
+	.B1(n_461),
+	.B2(n_30638),
+	.Y(n_1194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g690099 (
+	.A1(n_611),
+	.A2(n_30584),
+	.B1(n_606),
+	.B2(n_30583),
+	.Y(n_1193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g690100 (
+	.A1(n_593),
+	.A2(n_30640),
+	.B1(n_437),
+	.B2(n_30639),
+	.Y(n_1192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690101 (
+	.A1(n_419),
+	.A2(n_30602),
+	.B1(n_415),
+	.B2(n_30601),
+	.X(n_1191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g690102 (
+	.A1(n_623),
+	.A2(n_30648),
+	.B1(n_618),
+	.B2(n_30646),
+	.Y(n_1190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g690103 (
+	.A1_N(n_548),
+	.A2_N(n_30541),
+	.B1(n_440),
+	.B2(n_30588),
+	.Y(n_1189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g690104 (
+	.A1_N(n_548),
+	.A2_N(n_30537),
+	.B1(n_436),
+	.B2(n_30588),
+	.Y(n_1188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690105 (
+	.A1(n_330),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[637]),
+	.B1(n_327),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[573]),
+	.Y(n_1187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690106 (
+	.A1(n_358),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[72]),
+	.B1(n_497),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[168]),
+	.Y(n_1186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690107 (
+	.A1(n_5457),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[124]),
+	.B1(n_465),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[188]),
+	.Y(n_1185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690108 (
+	.A1(n_33308),
+	.A2(n_346),
+	.B1(n_33307),
+	.B2(n_491),
+	.X(n_1184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690109 (
+	.A1(n_479),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[509]),
+	.B1(n_331),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[669]),
+	.Y(n_1183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690110 (
+	.A1(n_330),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[614]),
+	.B1(n_327),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[550]),
+	.Y(n_1182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690111 (
+	.A1(n_5424),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[281]),
+	.B1(n_463),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1124),
+	.Y(n_1181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690112 (
+	.A1(n_5424),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[256]),
+	.B1(n_464),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[192]),
+	.Y(n_1180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690113 (
+	.A1(n_5424),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[279]),
+	.B1(n_464),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[215]),
+	.Y(n_1179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690114 (
+	.A1(n_39162),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[710]),
+	.B1(n_39161),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[518]),
+	.Y(n_1178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690115 (
+	.A1(n_481),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[239]),
+	.B1(n_323),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[463]),
+	.Y(n_1177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690116 (
+	.A1(n_39162),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[709]),
+	.B1(n_39161),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[517]),
+	.Y(n_1176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690117 (
+	.A1(n_317),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[431]),
+	.B1(n_334),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[271]),
+	.Y(n_1175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690118 (
+	.A1(n_5457),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[67]),
+	.B1(n_465),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[131]),
+	.Y(n_1174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690119 (
+	.A1(n_479),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[498]),
+	.B1(n_39161),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[530]),
+	.Y(n_1173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690120 (
+	.A1(n_345),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [91]),
+	.B1(n_39160),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [130]),
+	.X(n_1172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690121 (
+	.A1(n_5457),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[39]),
+	.B1(n_465),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[71]),
+	.Y(n_1171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690122 (
+	.A1(n_316),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[911]),
+	.B1(n_473),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[879]),
+	.Y(n_1170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690123 (
+	.A1(n_478),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[943]),
+	.B1(n_474),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[783]),
+	.Y(n_1169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690124 (
+	.A1(n_323),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[477]),
+	.B1(n_317),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[445]),
+	.Y(n_1168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690125 (
+	.A1(n_359),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[677]),
+	.B1(n_508),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[517]),
+	.X(n_1167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690126 (
+	.A1(n_479),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[510]),
+	.B1(n_321),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[702]),
+	.Y(n_1166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690127 (
+	.A1(n_325),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[398]),
+	.B1(n_39156),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[366]),
+	.Y(n_1165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690128 (
+	.A1(n_323),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[466]),
+	.B1(n_325),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[402]),
+	.Y(n_1164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690129 (
+	.A1(n_330),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[638]),
+	.B1(n_327),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[574]),
+	.Y(n_1163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690130 (
+	.A1(n_318),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[114]),
+	.B1(n_322),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[1010]),
+	.Y(n_1162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690131 (
+	.A1(n_319),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[222]),
+	.B1(n_322),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[1022]),
+	.Y(n_1161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690132 (
+	.A1(n_475),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[754]),
+	.B1(n_474),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[786]),
+	.Y(n_1160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690133 (
+	.A1(n_316),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[914]),
+	.B1(n_478),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[946]),
+	.Y(n_1159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690134 (
+	.A1(n_482),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[818]),
+	.B1(n_477),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[850]),
+	.Y(n_1158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690135 (
+	.A1(n_328),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[978]),
+	.B1(n_473),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[882]),
+	.Y(n_1157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690136 (
+	.A1(n_327),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[562]),
+	.B1(n_329),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[594]),
+	.Y(n_1156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690137 (
+	.A1(n_321),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[690]),
+	.B1(n_331),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[658]),
+	.Y(n_1155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690138 (
+	.A1(n_39162),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[722]),
+	.B1(n_330),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[626]),
+	.Y(n_1154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690139 (
+	.A1(n_323),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[456]),
+	.B1(n_334),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[264]),
+	.Y(n_1153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690140 (
+	.A1(n_477),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[862]),
+	.B1(n_473),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[894]),
+	.Y(n_1152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690141 (
+	.A1(n_33298),
+	.A2(n_346),
+	.B1(n_33297),
+	.B2(n_491),
+	.X(n_1151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690142 (
+	.A1(n_475),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[766]),
+	.B1(n_474),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[798]),
+	.Y(n_1150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690143 (
+	.A1(n_325),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[414]),
+	.B1(n_39163),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[318]),
+	.Y(n_1149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690144 (
+	.A1(n_39156),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[382]),
+	.B1(n_333),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[350]),
+	.Y(n_1148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690145 (
+	.A1(n_39162),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[721]),
+	.B1(n_39161),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[529]),
+	.Y(n_1147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690146 (
+	.A1(n_481),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[254]),
+	.B1(n_334),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[286]),
+	.Y(n_1146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690147 (
+	.A1(n_39154),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[145]),
+	.B1(n_39155),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[81]),
+	.Y(n_1145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690148 (
+	.A1(n_316),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[913]),
+	.B1(n_473),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[881]),
+	.Y(n_1144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690149 (
+	.A1(n_478),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[945]),
+	.B1(n_474),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[785]),
+	.Y(n_1143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690150 (
+	.A1(n_508),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[520]),
+	.B1(n_505),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[584]),
+	.Y(n_1142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690151 (
+	.A1(n_482),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[817]),
+	.B1(n_477),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[849]),
+	.Y(n_1141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690152 (
+	.A1(n_475),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[753]),
+	.B1(n_328),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[977]),
+	.Y(n_1140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690153 (
+	.A1(n_325),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[401]),
+	.B1(n_39156),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[369]),
+	.Y(n_1139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690154 (
+	.A1(n_481),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[241]),
+	.B1(n_323),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[465]),
+	.Y(n_1138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690155 (
+	.A1(n_333),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[337]),
+	.B1(n_39163),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[305]),
+	.Y(n_1137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690156 (
+	.A1(n_317),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[433]),
+	.B1(n_334),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[273]),
+	.Y(n_1136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690157 (
+	.A1(n_33294),
+	.A2(n_346),
+	.B1(n_33293),
+	.B2(n_491),
+	.X(n_1135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690158 (
+	.A1(n_317),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[434]),
+	.B1(n_333),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[338]),
+	.Y(n_1134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690159 (
+	.A1(n_330),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[624]),
+	.B1(n_327),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[560]),
+	.Y(n_1133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690160 (
+	.A1(n_39162),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[720]),
+	.B1(n_39161),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[528]),
+	.Y(n_1132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690161 (
+	.A1(n_337),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[176]),
+	.B1(n_39155),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[80]),
+	.Y(n_1131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690162 (
+	.A1(n_361),
+	.A2(n_30702),
+	.B1(n_517),
+	.B2(n_30701),
+	.Y(n_1130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690163 (
+	.A1(n_482),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[816]),
+	.B1(n_477),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[848]),
+	.Y(n_1129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690164 (
+	.A1(n_475),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[752]),
+	.B1(n_328),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[976]),
+	.Y(n_1128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690165 (
+	.A1(n_325),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[400]),
+	.B1(n_39156),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[368]),
+	.Y(n_1127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690166 (
+	.A1(n_317),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[432]),
+	.B1(n_334),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[272]),
+	.Y(n_1126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690167 (
+	.A1(n_333),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[336]),
+	.B1(n_39163),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[304]),
+	.Y(n_1125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690168 (
+	.A1(n_481),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[240]),
+	.B1(n_323),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[464]),
+	.Y(n_1124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690169 (
+	.A1(n_39154),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[143]),
+	.B1(n_39155),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[79]),
+	.Y(n_1123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690170 (
+	.A1(n_325),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[399]),
+	.B1(n_39156),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[367]),
+	.Y(n_1122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690171 (
+	.A1(n_5424),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[275]),
+	.B1(n_464),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[211]),
+	.Y(n_1121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690172 (
+	.A1(n_345),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [99]),
+	.B1(n_39160),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [138]),
+	.X(n_1120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g690173 (
+	.A1(brqrv_top_brqrv_dec_i0_predict_p_d[42]),
+	.A2(n_31824),
+	.B1(brqrv_top_brqrv_dec_dec_i0_instr_d[14]),
+	.B2(n_31763),
+	.Y(n_1119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690174 (
+	.A1(n_39162),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[719]),
+	.B1(n_39161),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[527]),
+	.Y(n_1118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690175 (
+	.A1(n_357),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[936]),
+	.B1(n_506),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[968]),
+	.X(n_1117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690176 (
+	.A1(n_330),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[623]),
+	.B1(n_327),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[559]),
+	.Y(n_1116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690177 (
+	.A1(n_5424),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[264]),
+	.B1(n_464),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[200]),
+	.Y(n_1115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690178 (
+	.A1(n_475),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[751]),
+	.B1(n_328),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[975]),
+	.Y(n_1114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g690179 (
+	.A(n_738),
+	.B(n_31096),
+	.X(n_32061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g690180 (
+	.A(n_672),
+	.B(n_31096),
+	.X(n_32063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g690181 (
+	.A(n_672),
+	.B(n_31092),
+	.X(n_31988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g690182 (
+	.A(n_738),
+	.B(n_31092),
+	.X(n_31986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g690183 (
+	.A(n_29925),
+	.B(n_31525),
+	.X(n_31524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g690184 (
+	.A_N(n_31522),
+	.B(n_29925),
+	.Y(n_31521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g690185 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_miss_state[0]),
+	.B(n_32207),
+	.X(brqrv_top_brqrv_ifu_mem_ctl_n_3474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g690186 (
+	.A(n_31739),
+	.B(n_674),
+	.X(n_31613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g690187 (
+	.A(n_672),
+	.B(n_31094),
+	.X(n_32004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g690188 (
+	.A(n_738),
+	.B(n_31094),
+	.X(n_32002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g690189 (
+	.A(n_672),
+	.B(n_31090),
+	.X(n_32048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g690190 (
+	.A(n_738),
+	.B(n_31090),
+	.X(n_32046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g690194 (
+	.A(n_394),
+	.B(n_29927),
+	.C(n_29930),
+	.Y(n_1332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 g690195 (
+	.A1(brqrv_top_brqrv_dec_tlu_request_debug_mode_done_f),
+	.A2(brqrv_top_brqrv_dec_tlu_request_debug_mode_r_d1),
+	.B1_N(brqrv_top_brqrv_dec_tlu_dbg_halted),
+	.Y(n_31385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690196 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wraddr_r[5]),
+	.B(brqrv_top_brqrv_dec_dec_csr_wraddr_r[4]),
+	.Y(n_32217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g690197 (
+	.A(brqrv_top_brqrv_dec_i0_predict_p_d[44]),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[42]),
+	.C(n_512),
+	.Y(n_1331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g690198 (
+	.A(n_736),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[48]),
+	.C(brqrv_top_brqrv_ifu_i0_pc[11]),
+	.Y(n_1330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g690199 (
+	.A(n_736),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[46]),
+	.C(brqrv_top_brqrv_ifu_i0_pc[9]),
+	.Y(n_1329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g690200 (
+	.A(n_736),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[47]),
+	.C(brqrv_top_brqrv_ifu_i0_pc[10]),
+	.Y(n_1328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 g690201 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[5]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[3]),
+	.C_N(brqrv_top_brqrv_dec_dec_i0_instr_d[2]),
+	.X(n_31643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 g690202 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_miss_state[2]),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_miss_state[0]),
+	.C_N(brqrv_top_brqrv_ifu_mem_ctl_miss_state[1]),
+	.X(n_31608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g690203 (
+	.A1_N(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[6]),
+	.A2_N(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[6]),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[6]),
+	.B2(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[6]),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_n_2294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g690204 (
+	.A(n_736),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[45]),
+	.C(brqrv_top_brqrv_ifu_i0_pc[8]),
+	.Y(n_1327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g690205 (
+	.A(n_736),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[42]),
+	.C(brqrv_top_brqrv_ifu_i0_pc[5]),
+	.Y(n_1326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 g690206 (
+	.A(n_736),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[43]),
+	.C(brqrv_top_brqrv_ifu_i0_pc[6]),
+	.X(n_1325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g690207 (
+	.A(n_736),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[44]),
+	.C(brqrv_top_brqrv_ifu_i0_pc[7]),
+	.Y(n_1324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g690208 (
+	.A1(n_450),
+	.A2(n_30687),
+	.B1(n_518),
+	.B2(n_30689),
+	.Y(n_34716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g690209 (
+	.A1(n_30545),
+	.A2(n_30698),
+	.B1(n_30544),
+	.X(n_33421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g690210 (
+	.A_N(brqrv_top_brqrv_ifu_mem_ctl_miss_state[0]),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_miss_state[2]),
+	.C(brqrv_top_brqrv_ifu_mem_ctl_miss_state[1]),
+	.Y(n_31598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690211 (
+	.A(n_515),
+	.B(n_737),
+	.Y(n_34723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690212 (
+	.A(n_362),
+	.B(n_737),
+	.Y(n_34718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g690215 (
+	.A1(n_601),
+	.A2(n_30687),
+	.B1(n_513),
+	.B2(n_30689),
+	.Y(n_34717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g690217 (
+	.A_N(n_31047),
+	.B(n_29925),
+	.Y(n_31530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g690219 (
+	.A(n_735),
+	.B_N(brqrv_top_brqrv_dec_i0_predict_p_d[41]),
+	.Y(n_1322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g690220 (
+	.A(n_735),
+	.B_N(brqrv_top_brqrv_dec_i0_predict_p_d[40]),
+	.Y(n_1321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690221 (
+	.A1(n_33303),
+	.A2(n_462),
+	.B1(n_33272),
+	.B2(brqrv_top_brqrv_lsu_n_1518),
+	.Y(n_1320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690222 (
+	.A1(n_33463),
+	.A2(n_315),
+	.B1(n_30413),
+	.B2(brqrv_top_brqrv_dec_decode_write_csr_data[23]),
+	.X(brqrv_top_brqrv_dec_dec_csr_wrdata_r[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g690223 (
+	.A1(n_30571),
+	.A2(n_30854),
+	.B1(n_30570),
+	.Y(n_1318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690224 (
+	.A1(n_33294),
+	.A2(n_462),
+	.B1(n_33268),
+	.B2(brqrv_top_brqrv_lsu_n_1518),
+	.Y(n_1317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690225 (
+	.A1(n_33314),
+	.A2(n_462),
+	.B1(n_33275),
+	.B2(brqrv_top_brqrv_lsu_n_1518),
+	.Y(n_1316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690226 (
+	.A1(n_33307),
+	.A2(n_462),
+	.B1(n_33274),
+	.B2(brqrv_top_brqrv_lsu_n_1518),
+	.Y(n_1315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690227 (
+	.A1(n_33293),
+	.A2(n_462),
+	.B1(n_33276),
+	.B2(brqrv_top_brqrv_lsu_n_1518),
+	.Y(n_1314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690228 (
+	.A1(n_33300),
+	.A2(n_462),
+	.B1(n_33270),
+	.B2(brqrv_top_brqrv_lsu_n_1518),
+	.Y(n_1313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690229 (
+	.A1(n_33297),
+	.A2(n_462),
+	.B1(n_33277),
+	.B2(brqrv_top_brqrv_lsu_n_1518),
+	.Y(n_1312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690230 (
+	.A1(n_33309),
+	.A2(n_462),
+	.B1(n_33291),
+	.B2(brqrv_top_brqrv_lsu_n_1518),
+	.Y(n_1311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690231 (
+	.A1(n_33296),
+	.A2(n_462),
+	.B1(n_33285),
+	.B2(brqrv_top_brqrv_lsu_n_1518),
+	.Y(n_1310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690232 (
+	.A1(n_33292),
+	.A2(n_462),
+	.B1(n_33284),
+	.B2(brqrv_top_brqrv_lsu_n_1518),
+	.Y(n_1309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690233 (
+	.A1(n_33462),
+	.A2(n_315),
+	.B1(n_30413),
+	.B2(brqrv_top_brqrv_dec_decode_write_csr_data[22]),
+	.X(brqrv_top_brqrv_dec_dec_csr_wrdata_r[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690234 (
+	.A1(n_33312),
+	.A2(n_462),
+	.B1(n_33283),
+	.B2(brqrv_top_brqrv_lsu_n_1518),
+	.Y(n_1307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690235 (
+	.A1(n_33306),
+	.A2(n_462),
+	.B1(n_33282),
+	.B2(brqrv_top_brqrv_lsu_n_1518),
+	.Y(n_1306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690237 (
+	.A1(n_33447),
+	.A2(n_315),
+	.B1(n_30413),
+	.B2(brqrv_top_brqrv_dec_decode_write_csr_data[7]),
+	.X(brqrv_top_brqrv_dec_dec_csr_wrdata_r[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690238 (
+	.A1(n_33448),
+	.A2(n_315),
+	.B1(n_30413),
+	.B2(brqrv_top_brqrv_dec_decode_write_csr_data[8]),
+	.Y(n_1303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690239 (
+	.A1(n_33449),
+	.A2(n_315),
+	.B1(n_30413),
+	.B2(brqrv_top_brqrv_dec_decode_write_csr_data[9]),
+	.X(brqrv_top_brqrv_dec_dec_csr_wrdata_r[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690241 (
+	.A(n_673),
+	.B(n_309),
+	.Y(n_1300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690242 (
+	.A(n_392),
+	.B(n_737),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_offset_d[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690243 (
+	.A(n_392),
+	.B(n_735),
+	.Y(n_1296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690244 (
+	.A1(n_33445),
+	.A2(n_315),
+	.B1(n_30413),
+	.B2(brqrv_top_brqrv_dec_decode_write_csr_data[5]),
+	.X(brqrv_top_brqrv_dec_dec_csr_wrdata_r[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690245 (
+	.A(n_31214),
+	.B(brqrv_top_brqrv_dma_mem_addr[2]),
+	.Y(n_1294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690246 (
+	.A(n_31212),
+	.B(brqrv_top_brqrv_dma_mem_addr[2]),
+	.Y(n_1293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690248 (
+	.A(brqrv_top_brqrv_dec_tlu_dbg_halted),
+	.B(n_717),
+	.Y(n_1291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g690249 (
+	.A_N(brqrv_top_brqrv_dec_tlu_trigger_hit_dmode_r),
+	.B(brqrv_top_brqrv_dec_tlu_ebreak_to_debug_mode_r_BAR),
+	.C(n_663),
+	.X(n_1290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690250 (
+	.A(n_31213),
+	.B(brqrv_top_brqrv_dma_mem_addr[2]),
+	.Y(n_1289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690251 (
+	.A1(n_498),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[923]),
+	.B1(n_357),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[955]),
+	.Y(n_1112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690252 (
+	.A1(n_385),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[108]),
+	.B1(n_377),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[76]),
+	.X(n_1111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690253 (
+	.A1(n_325),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[390]),
+	.B1(n_39156),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[358]),
+	.Y(n_1110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690254 (
+	.A1(n_323),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[458]),
+	.B1(n_334),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[266]),
+	.Y(n_1109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690255 (
+	.A1(n_5457),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[93]),
+	.B1(n_465),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[157]),
+	.Y(n_1108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690256 (
+	.A1(n_5457),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[91]),
+	.B1(n_465),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[155]),
+	.Y(n_1107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690257 (
+	.A1(n_481),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[252]),
+	.B1(n_317),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[444]),
+	.Y(n_1106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690258 (
+	.A1(n_39154),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[138]),
+	.B1(n_39155),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[74]),
+	.Y(n_1105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690259 (
+	.A1(n_5457),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[94]),
+	.B1(n_465),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[158]),
+	.Y(n_1104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690260 (
+	.A1(n_5457),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[97]),
+	.B1(n_465),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[161]),
+	.Y(n_1103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690261 (
+	.A1(n_316),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[906]),
+	.B1(n_473),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[874]),
+	.Y(n_1102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690262 (
+	.A1(n_482),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[810]),
+	.B1(n_477),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[842]),
+	.Y(n_1101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690263 (
+	.A1(n_319),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[220]),
+	.B1(n_322),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[1020]),
+	.Y(n_1100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690264 (
+	.A1(n_5457),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[88]),
+	.B1(n_465),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[152]),
+	.Y(n_1099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690265 (
+	.A1(n_478),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[938]),
+	.B1(n_474),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[778]),
+	.Y(n_1098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g690266 (
+	.A1_N(n_30611),
+	.A2_N(n_30709),
+	.B1(n_339),
+	.B2(n_33150),
+	.Y(n_1097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690267 (
+	.A1(n_5424),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[274]),
+	.B1(n_464),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[210]),
+	.Y(n_1096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690268 (
+	.A1(n_331),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[650]),
+	.B1(n_330),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[618]),
+	.Y(n_1095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690269 (
+	.A1(n_321),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[682]),
+	.B1(n_39161),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[522]),
+	.Y(n_1094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690270 (
+	.A1(n_5424),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[276]),
+	.B1(n_463),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1099),
+	.Y(n_1093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690271 (
+	.A1(n_5424),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[269]),
+	.B1(n_464),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[205]),
+	.Y(n_1092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690272 (
+	.A1(n_475),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[746]),
+	.B1(n_328),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[970]),
+	.Y(n_1091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690273 (
+	.A1(n_482),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[828]),
+	.B1(n_477),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[860]),
+	.Y(n_1090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690274 (
+	.A1(n_316),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[924]),
+	.B1(n_478),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[956]),
+	.Y(n_1089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690275 (
+	.A1(n_39156),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[360]),
+	.B1(n_39163),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[296]),
+	.Y(n_1088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690276 (
+	.A1(n_328),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[988]),
+	.B1(n_473),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[892]),
+	.Y(n_1087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690277 (
+	.A1(n_5424),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[270]),
+	.B1(n_463),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1069),
+	.Y(n_1086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690278 (
+	.A1(n_39154),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[136]),
+	.B1(n_39155),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[72]),
+	.Y(n_1085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690279 (
+	.A1(n_475),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[764]),
+	.B1(n_474),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[796]),
+	.Y(n_1084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690280 (
+	.A1(n_5424),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[262]),
+	.B1(n_464),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[198]),
+	.Y(n_1083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690281 (
+	.A1(n_482),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[808]),
+	.B1(n_477),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[840]),
+	.Y(n_1082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690282 (
+	.A1(n_478),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[936]),
+	.B1(n_474),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[776]),
+	.Y(n_1081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690283 (
+	.A1(n_316),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[904]),
+	.B1(n_473),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[872]),
+	.Y(n_1080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690284 (
+	.A1(n_331),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[668]),
+	.B1(n_327),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[572]),
+	.Y(n_1079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690285 (
+	.A1(n_327),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[552]),
+	.B1(n_329),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[584]),
+	.Y(n_1078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690286 (
+	.A1(n_5424),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[268]),
+	.B1(n_464),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[204]),
+	.Y(n_1077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690287 (
+	.A1(n_331),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[648]),
+	.B1(n_330),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[616]),
+	.Y(n_1076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690288 (
+	.A1(n_330),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[636]),
+	.B1(n_329),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[604]),
+	.Y(n_1075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690289 (
+	.A1(n_321),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[680]),
+	.B1(n_39161),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[520]),
+	.Y(n_1074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690290 (
+	.A1(n_5457),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[81]),
+	.B1(n_465),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[145]),
+	.Y(n_1073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690291 (
+	.A1(n_39162),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[732]),
+	.B1(n_321),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[700]),
+	.Y(n_1072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690292 (
+	.A1(n_5424),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[267]),
+	.B1(n_464),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[203]),
+	.Y(n_1071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690293 (
+	.A1(n_337),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[166]),
+	.B1(n_322),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[998]),
+	.Y(n_1070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690294 (
+	.A1(n_478),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[940]),
+	.B1(n_474),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[780]),
+	.Y(n_1069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690295 (
+	.A1(n_482),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[806]),
+	.B1(n_477),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[838]),
+	.Y(n_1068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690296 (
+	.A1(n_5424),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[271]),
+	.B1(n_464),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[207]),
+	.Y(n_1067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690297 (
+	.A1(n_316),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[902]),
+	.B1(n_473),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[870]),
+	.Y(n_1066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690298 (
+	.A1(n_475),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[742]),
+	.B1(n_328),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[966]),
+	.Y(n_1065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690299 (
+	.A1(n_333),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[326]),
+	.B1(n_39163),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[294]),
+	.Y(n_1064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690300 (
+	.A1(n_317),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[422]),
+	.B1(n_334),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[262]),
+	.Y(n_1063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690301 (
+	.A1(n_481),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[230]),
+	.B1(n_323),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[454]),
+	.Y(n_1062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690302 (
+	.A1(n_39156),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[362]),
+	.B1(n_39163),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[298]),
+	.Y(n_1061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690303 (
+	.A1(n_325),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[389]),
+	.B1(n_39156),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[357]),
+	.Y(n_1060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690304 (
+	.A1(n_5457),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[92]),
+	.B1(n_465),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[156]),
+	.Y(n_1059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690305 (
+	.A1(n_5424),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[263]),
+	.B1(n_464),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[199]),
+	.Y(n_1058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690306 (
+	.A1(n_5457),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[90]),
+	.B1(n_465),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[154]),
+	.Y(n_1057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690307 (
+	.A1(n_481),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[229]),
+	.B1(n_323),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[453]),
+	.Y(n_1056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690308 (
+	.A1(n_345),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [97]),
+	.B1(n_39160),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [136]),
+	.X(n_1055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690309 (
+	.A1(n_333),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[325]),
+	.B1(n_39163),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[293]),
+	.Y(n_1054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690310 (
+	.A1(n_317),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[421]),
+	.B1(n_334),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[261]),
+	.Y(n_1053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690311 (
+	.A1(n_325),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[388]),
+	.B1(n_333),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[324]),
+	.Y(n_1052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690312 (
+	.A1(n_323),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[452]),
+	.B1(n_334),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[260]),
+	.Y(n_1051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690313 (
+	.A1(n_5457),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[36]),
+	.B1(n_465),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[68]),
+	.Y(n_1050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690314 (
+	.A1(n_318),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[100]),
+	.B1(n_322),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[996]),
+	.Y(n_1049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690315 (
+	.A1(n_5457),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[38]),
+	.B1(n_465),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[70]),
+	.Y(n_1048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690316 (
+	.A1(n_345),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [89]),
+	.B1(n_39160),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [128]),
+	.X(n_1047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690317 (
+	.A1(n_316),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[900]),
+	.B1(n_473),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[868]),
+	.Y(n_1046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690318 (
+	.A1(n_478),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[932]),
+	.B1(n_474),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[772]),
+	.Y(n_1045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690319 (
+	.A1(n_482),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[804]),
+	.B1(n_477),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[836]),
+	.Y(n_1044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690320 (
+	.A1(n_475),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[740]),
+	.B1(n_328),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[964]),
+	.Y(n_1043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690321 (
+	.A1(n_331),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[644]),
+	.B1(n_330),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[612]),
+	.Y(n_1042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690322 (
+	.A1(n_321),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[676]),
+	.B1(n_39161),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[516]),
+	.Y(n_1041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690323 (
+	.A1(n_327),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[548]),
+	.B1(n_329),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[580]),
+	.Y(n_1040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690324 (
+	.A1(n_479),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[484]),
+	.B1(n_39162),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[708]),
+	.Y(n_1039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g690325 (
+	.A1(n_430),
+	.A2(n_30635),
+	.B1(n_582),
+	.B2(n_30634),
+	.Y(n_1038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690326 (
+	.A1(n_5457),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[95]),
+	.B1(n_465),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_data[159]),
+	.Y(n_1037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690327 (
+	.A1(n_5457),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[43]),
+	.B1(n_465),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[75]),
+	.Y(n_1036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690328 (
+	.A1(n_331),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[643]),
+	.B1(n_329),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[579]),
+	.Y(n_1035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690329 (
+	.A1(n_39162),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[707]),
+	.B1(n_39161),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[515]),
+	.Y(n_1034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690330 (
+	.A1(n_481),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[251]),
+	.B1(n_325),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[411]),
+	.Y(n_1033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690331 (
+	.A1(n_337),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[163]),
+	.B1(n_322),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[995]),
+	.Y(n_1032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690332 (
+	.A1(n_39156),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[379]),
+	.B1(n_39163),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[315]),
+	.Y(n_1031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690333 (
+	.A1(n_475),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[739]),
+	.B1(n_328),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[963]),
+	.Y(n_1030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690334 (
+	.A1(n_482),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[803]),
+	.B1(n_477),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[835]),
+	.Y(n_1029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690335 (
+	.A1(n_478),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[931]),
+	.B1(n_474),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[771]),
+	.Y(n_1028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690336 (
+	.A1(n_319),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[219]),
+	.B1(n_39155),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[91]),
+	.Y(n_1027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690337 (
+	.A1(n_316),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[899]),
+	.B1(n_473),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[867]),
+	.Y(n_1026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690338 (
+	.A1(n_481),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[227]),
+	.B1(n_323),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[451]),
+	.Y(n_1025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690339 (
+	.A1(n_325),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[387]),
+	.B1(n_39156),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[355]),
+	.Y(n_1024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690340 (
+	.A1(n_333),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[323]),
+	.B1(n_39163),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[291]),
+	.Y(n_1023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690341 (
+	.A1(n_317),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[419]),
+	.B1(n_334),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[259]),
+	.Y(n_1022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690342 (
+	.A1(n_475),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[750]),
+	.B1(n_328),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[974]),
+	.Y(n_1021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690343 (
+	.A1(n_475),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[763]),
+	.B1(n_474),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[795]),
+	.Y(n_1020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690344 (
+	.A1(n_477),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[859]),
+	.B1(n_473),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[891]),
+	.Y(n_1019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g690345 (
+	.A1(n_427),
+	.A2(n_30633),
+	.B1(n_578),
+	.B2(n_30634),
+	.Y(n_1018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690346 (
+	.A1(n_334),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[258]),
+	.B1(n_333),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[322]),
+	.Y(n_1017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690347 (
+	.A1(n_478),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[955]),
+	.B1(n_328),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[987]),
+	.Y(n_1016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690348 (
+	.A1(n_323),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[450]),
+	.B1(n_325),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[386]),
+	.Y(n_1015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690349 (
+	.A1(n_316),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[923]),
+	.B1(n_482),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[827]),
+	.Y(n_1014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690350 (
+	.A1(n_337),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[162]),
+	.B1(n_322),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[994]),
+	.Y(n_1013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690351 (
+	.A1(n_479),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[507]),
+	.B1(n_39161),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[539]),
+	.Y(n_1012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690352 (
+	.A1(n_331),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[667]),
+	.B1(n_327),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[571]),
+	.Y(n_1011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690353 (
+	.A1(n_475),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[738]),
+	.B1(n_328),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[962]),
+	.Y(n_1010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690354 (
+	.A1(n_316),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[898]),
+	.B1(n_473),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[866]),
+	.Y(n_1009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690355 (
+	.A1(n_330),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[635]),
+	.B1(n_329),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[603]),
+	.Y(n_1008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690356 (
+	.A1(n_482),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[802]),
+	.B1(n_477),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[834]),
+	.Y(n_1007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690357 (
+	.A1(n_478),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[930]),
+	.B1(n_474),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[770]),
+	.Y(n_1006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690358 (
+	.A1(n_39162),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[706]),
+	.B1(n_329),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[578]),
+	.Y(n_1005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690359 (
+	.A1(n_331),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[642]),
+	.B1(n_330),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[610]),
+	.Y(n_1004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690360 (
+	.A1(n_39162),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[731]),
+	.B1(n_321),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[699]),
+	.Y(n_1003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690361 (
+	.A1(n_321),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[674]),
+	.B1(n_327),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[546]),
+	.Y(n_1002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690362 (
+	.A1(n_479),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[482]),
+	.B1(n_39161),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[514]),
+	.Y(n_1001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690363 (
+	.A1(n_39162),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[705]),
+	.B1(n_39161),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[513]),
+	.Y(n_1000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690364 (
+	.A1(n_330),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[609]),
+	.B1(n_327),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[545]),
+	.Y(n_999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690365 (
+	.A1(n_322),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[993]),
+	.B1(n_39164),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[33]),
+	.Y(n_998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690366 (
+	.A1(n_482),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[801]),
+	.B1(n_477),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[833]),
+	.Y(n_997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690367 (
+	.A1(n_316),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[897]),
+	.B1(n_478),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[929]),
+	.Y(n_996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690368 (
+	.A1(n_328),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[961]),
+	.B1(n_473),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[865]),
+	.Y(n_995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690369 (
+	.A1(n_475),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[737]),
+	.B1(n_474),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[769]),
+	.Y(n_994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690370 (
+	.A1(n_323),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[449]),
+	.B1(n_39156),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[353]),
+	.Y(n_993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690371 (
+	.A1(n_345),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [96]),
+	.B1(n_39160),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [135]),
+	.X(n_992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690372 (
+	.A1(n_481),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[225]),
+	.B1(n_334),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[257]),
+	.Y(n_991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690373 (
+	.A1(n_333),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[321]),
+	.B1(n_39163),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[289]),
+	.Y(n_990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690374 (
+	.A1(n_317),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[417]),
+	.B1(n_325),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[385]),
+	.Y(n_989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690375 (
+	.A1(n_482),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[815]),
+	.B1(n_477),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[847]),
+	.Y(n_988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690376 (
+	.A1(n_5424),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[265]),
+	.B1(n_463),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1044),
+	.Y(n_987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 g690377 (
+	.A0(brqrv_top_brqrv_lsu_fir_addr[19]),
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[19]),
+	.S(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addr_external_r),
+	.X(n_986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690378 (
+	.A1(n_5424),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_data[266]),
+	.B1(n_463),
+	.B2(brqrv_top_brqrv_dma_ctrl_n_1049),
+	.Y(n_985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690379 (
+	.A1(n_317),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[416]),
+	.B1(n_39156),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[352]),
+	.Y(n_984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690380 (
+	.A1(n_323),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[448]),
+	.B1(n_334),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[256]),
+	.Y(n_983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690381 (
+	.A1(n_361),
+	.A2(n_30679),
+	.B1(n_517),
+	.B2(n_30678),
+	.Y(n_982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690382 (
+	.A1(n_345),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [88]),
+	.B1(n_39160),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [127]),
+	.X(n_981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690383 (
+	.A1(n_39154),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[128]),
+	.B1(n_39155),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[64]),
+	.Y(n_980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690384 (
+	.A1(n_482),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[800]),
+	.B1(n_477),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[832]),
+	.Y(n_979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690385 (
+	.A1(n_478),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[928]),
+	.B1(n_474),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[768]),
+	.Y(n_978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690386 (
+	.A1(n_316),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[896]),
+	.B1(n_473),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[864]),
+	.Y(n_977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690387 (
+	.A1(n_475),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[736]),
+	.B1(n_328),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[960]),
+	.Y(n_976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690388 (
+	.A1(n_327),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[544]),
+	.B1(n_329),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[576]),
+	.Y(n_975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690389 (
+	.A1(n_321),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[672]),
+	.B1(n_39161),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[512]),
+	.Y(n_974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690390 (
+	.A1(n_331),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[640]),
+	.B1(n_330),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[608]),
+	.Y(n_973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690391 (
+	.A1(n_479),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[480]),
+	.B1(n_39162),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[704]),
+	.Y(n_972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690392 (
+	.A1(n_385),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[106]),
+	.B1(n_377),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[74]),
+	.X(n_971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690393 (
+	.A1(n_33205),
+	.A2(n_340),
+	.B1(n_483),
+	.B2(n_33437),
+	.X(n_970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690394 (
+	.A1(n_323),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[478]),
+	.B1(n_317),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[446]),
+	.Y(n_969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690395 (
+	.A1(n_499),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[479]),
+	.B1(n_495),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[415]),
+	.Y(n_968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690396 (
+	.A1(n_39162),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[730]),
+	.B1(n_39161),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[538]),
+	.Y(n_967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690397 (
+	.A1(n_357),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[959]),
+	.B1(n_506),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[991]),
+	.X(n_966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690398 (
+	.A1(n_511),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[1023]),
+	.B1(n_492),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[63]),
+	.Y(n_965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690399 (
+	.A1(n_330),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[634]),
+	.B1(n_327),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[570]),
+	.Y(n_964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690400 (
+	.A1(n_319),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[218]),
+	.B1(n_322),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[1018]),
+	.Y(n_963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690401 (
+	.A1(n_507),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[511]),
+	.B1(n_493),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[735]),
+	.X(n_962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690402 (
+	.A1(n_475),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[762]),
+	.B1(n_474),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[794]),
+	.Y(n_961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690403 (
+	.A1(n_347),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[830]),
+	.B1(n_496),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[862]),
+	.Y(n_960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690404 (
+	.A1(n_498),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[926]),
+	.B1(n_504),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[894]),
+	.Y(n_959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690405 (
+	.A1(n_316),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[922]),
+	.B1(n_482),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[826]),
+	.Y(n_958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690406 (
+	.A1(n_354),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[766]),
+	.B1(n_506),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[990]),
+	.X(n_957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690407 (
+	.A1(n_316),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[926]),
+	.B1(n_482),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[830]),
+	.Y(n_956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690408 (
+	.A1(n_358),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[94]),
+	.B1(n_511),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[1022]),
+	.Y(n_955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690409 (
+	.A1(n_356),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[318]),
+	.B1(n_497),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[190]),
+	.Y(n_954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690410 (
+	.A1(n_5457),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[42]),
+	.B1(n_465),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[74]),
+	.Y(n_953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690411 (
+	.A1(n_477),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[858]),
+	.B1(n_473),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[890]),
+	.Y(n_952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690412 (
+	.A1(n_478),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[954]),
+	.B1(n_328),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[986]),
+	.Y(n_951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690413 (
+	.A1(n_350),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[574]),
+	.B1(n_505),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[606]),
+	.X(n_950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690414 (
+	.A1(n_323),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[474]),
+	.B1(n_317),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[442]),
+	.Y(n_949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690415 (
+	.A1(n_325),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[410]),
+	.B1(n_39163),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[314]),
+	.Y(n_948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690416 (
+	.A1(n_499),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[454]),
+	.B1(n_360),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[262]),
+	.Y(n_947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690417 (
+	.A1(n_354),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[765]),
+	.B1(n_510),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[797]),
+	.Y(n_946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690418 (
+	.A1(n_39156),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[378]),
+	.B1(n_333),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[346]),
+	.Y(n_945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690419 (
+	.A1(n_347),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[829]),
+	.B1(n_496),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[861]),
+	.X(n_944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690420 (
+	.A1(n_481),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[250]),
+	.B1(n_334),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[282]),
+	.Y(n_943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690421 (
+	.A1(n_507),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[509]),
+	.B1(n_508),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[541]),
+	.X(n_942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690422 (
+	.A1(n_354),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[742]),
+	.B1(n_506),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[966]),
+	.Y(n_941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690423 (
+	.A1(n_503),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[445]),
+	.B1(n_356),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[317]),
+	.X(n_940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690424 (
+	.A1(n_345),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [83]),
+	.B1(n_39160),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [122]),
+	.X(n_939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690425 (
+	.A1(n_330),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[619]),
+	.B1(n_327),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[555]),
+	.Y(n_938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690426 (
+	.A1(n_498),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[924]),
+	.B1(n_357),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[956]),
+	.Y(n_937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690427 (
+	.A1(n_547),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[17]),
+	.B1(n_388),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[49]),
+	.Y(n_936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690428 (
+	.A1(n_506),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[988]),
+	.B1(n_504),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[892]),
+	.X(n_935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690429 (
+	.A1(n_357),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[934]),
+	.B1(n_510),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[774]),
+	.Y(n_934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690430 (
+	.A1(n_507),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[508]),
+	.B1(n_493),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[732]),
+	.X(n_933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690431 (
+	.A1(n_345),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [98]),
+	.B1(n_39160),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [137]),
+	.X(n_932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690432 (
+	.A1(n_503),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[444]),
+	.B1(n_356),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[316]),
+	.X(n_931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690433 (
+	.A1(n_385),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[105]),
+	.B1(n_377),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[73]),
+	.X(n_930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690434 (
+	.A1(n_39156),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[380]),
+	.B1(n_39163),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[316]),
+	.Y(n_929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690435 (
+	.A1(n_347),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[806]),
+	.B1(n_496),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[838]),
+	.Y(n_928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690436 (
+	.A1(n_478),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[942]),
+	.B1(n_474),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[782]),
+	.Y(n_927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690437 (
+	.A1(n_499),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[475]),
+	.B1(n_495),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[411]),
+	.Y(n_926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690438 (
+	.A1(n_506),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[987]),
+	.B1(n_504),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[891]),
+	.Y(n_925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690439 (
+	.A1(n_344),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [68]),
+	.B1(n_345),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [107]),
+	.X(n_924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690440 (
+	.A1(n_478),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[934]),
+	.B1(n_474),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[774]),
+	.Y(n_923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690441 (
+	.A1(n_323),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[473]),
+	.B1(n_325),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[409]),
+	.Y(n_922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690442 (
+	.A1(n_347),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[827]),
+	.B1(n_496),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[859]),
+	.Y(n_921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690443 (
+	.A1(n_354),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[763]),
+	.B1(n_510),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[795]),
+	.Y(n_920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690444 (
+	.A1(n_358),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[91]),
+	.B1(n_511),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[1019]),
+	.Y(n_919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690445 (
+	.A1(n_39156),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[377]),
+	.B1(n_39163),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[313]),
+	.Y(n_918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690446 (
+	.A1(n_498),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[902]),
+	.B1(n_504),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[870]),
+	.Y(n_917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690447 (
+	.A1(n_507),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[507]),
+	.B1(n_508),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[539]),
+	.X(n_916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690448 (
+	.A1(n_322),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[1017]),
+	.B1(n_39164),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[57]),
+	.Y(n_915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690449 (
+	.A1(n_351),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[198]),
+	.B1(n_492),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[38]),
+	.Y(n_914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690450 (
+	.A1(n_354),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[762]),
+	.B1(n_506),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[986]),
+	.Y(n_913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690451 (
+	.A1(n_357),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[954]),
+	.B1(n_510),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[794]),
+	.Y(n_912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690452 (
+	.A1(n_347),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[826]),
+	.B1(n_496),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[858]),
+	.X(n_911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690453 (
+	.A1(n_475),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[761]),
+	.B1(n_474),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[793]),
+	.Y(n_910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690454 (
+	.A1(n_511),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[1018]),
+	.B1(n_492),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[58]),
+	.Y(n_909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690455 (
+	.A1(n_358),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[90]),
+	.B1(n_350),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[570]),
+	.Y(n_908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690456 (
+	.A1(n_316),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[921]),
+	.B1(n_482),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[825]),
+	.Y(n_907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690457 (
+	.A1(n_33153),
+	.A2(n_339),
+	.B1(n_19640),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[10]),
+	.X(n_906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690458 (
+	.A1(n_477),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[857]),
+	.B1(n_473),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[889]),
+	.Y(n_905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690459 (
+	.A1(n_501),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[346]),
+	.B1(n_356),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[314]),
+	.X(n_904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690460 (
+	.A1(n_478),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[953]),
+	.B1(n_328),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[985]),
+	.Y(n_903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690461 (
+	.A1(n_479),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[505]),
+	.B1(n_39161),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[537]),
+	.Y(n_902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690462 (
+	.A1(n_331),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[665]),
+	.B1(n_327),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[569]),
+	.Y(n_901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690463 (
+	.A1(n_330),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[633]),
+	.B1(n_329),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[601]),
+	.Y(n_900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690464 (
+	.A1(n_347),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[825]),
+	.B1(n_496),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[857]),
+	.Y(n_899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690465 (
+	.A1(n_354),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[761]),
+	.B1(n_510),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[793]),
+	.Y(n_898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690466 (
+	.A1(n_39162),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[729]),
+	.B1(n_321),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[697]),
+	.Y(n_897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690467 (
+	.A1(n_506),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[985]),
+	.B1(n_504),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[889]),
+	.X(n_896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690468 (
+	.A1(n_511),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[1017]),
+	.B1(n_492),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[57]),
+	.Y(n_895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690469 (
+	.A1(n_358),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[89]),
+	.B1(n_508),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[537]),
+	.Y(n_894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690470 (
+	.A1(n_501),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[345]),
+	.B1(n_356),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[313]),
+	.X(n_893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690471 (
+	.A1(n_478),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[944]),
+	.B1(n_474),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[784]),
+	.Y(n_892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690472 (
+	.A1(n_33197),
+	.A2(n_340),
+	.B1(n_483),
+	.B2(n_33429),
+	.X(n_891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690473 (
+	.A1(n_547),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[16]),
+	.B1(n_388),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[48]),
+	.Y(n_890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690474 (
+	.A1(n_5457),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[35]),
+	.B1(n_465),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[67]),
+	.Y(n_889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690475 (
+	.A1(n_39154),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[140]),
+	.B1(n_39155),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[76]),
+	.Y(n_888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690476 (
+	.A1(n_316),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[912]),
+	.B1(n_473),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[880]),
+	.Y(n_887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690477 (
+	.A1(n_385),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[104]),
+	.B1(n_377),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[72]),
+	.X(n_886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690478 (
+	.A1(n_506),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[980]),
+	.B1(n_510),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[788]),
+	.Y(n_885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690479 (
+	.A1(n_350),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[564]),
+	.B1(n_505),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[596]),
+	.X(n_884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690480 (
+	.A1(n_358),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[84]),
+	.B1(n_511),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[1012]),
+	.Y(n_883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690481 (
+	.A1(n_479),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[504]),
+	.B1(n_321),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[696]),
+	.Y(n_882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690482 (
+	.A1(n_330),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[632]),
+	.B1(n_327),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[568]),
+	.Y(n_881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690483 (
+	.A1(n_355),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[244]),
+	.B1(n_499),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[468]),
+	.X(n_880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690484 (
+	.A1(n_475),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[744]),
+	.B1(n_328),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[968]),
+	.Y(n_879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690485 (
+	.A1(n_507),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[486]),
+	.B1(n_493),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[710]),
+	.X(n_878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690486 (
+	.A1(n_319),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[216]),
+	.B1(n_322),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[1016]),
+	.Y(n_877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690487 (
+	.A1(n_506),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[979]),
+	.B1(n_347),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[819]),
+	.Y(n_876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690488 (
+	.A1(n_507),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[499]),
+	.B1(n_493),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[723]),
+	.X(n_875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690489 (
+	.A1(n_358),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[83]),
+	.B1(n_511),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[1011]),
+	.Y(n_874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690490 (
+	.A1(n_478),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[952]),
+	.B1(n_328),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[984]),
+	.Y(n_873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690491 (
+	.A1(n_355),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[243]),
+	.B1(n_499),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[467]),
+	.X(n_872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690492 (
+	.A1(n_316),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[920]),
+	.B1(n_482),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[824]),
+	.Y(n_871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690493 (
+	.A1(n_477),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[856]),
+	.B1(n_473),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[888]),
+	.Y(n_870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690494 (
+	.A1(n_475),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[760]),
+	.B1(n_474),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[792]),
+	.Y(n_869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690495 (
+	.A1(n_506),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[978]),
+	.B1(n_510),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[786]),
+	.Y(n_868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690496 (
+	.A1(n_323),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[472]),
+	.B1(n_317),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[440]),
+	.Y(n_867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690497 (
+	.A1(n_350),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[562]),
+	.B1(n_505),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[594]),
+	.X(n_866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690498 (
+	.A1(n_385),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[98]),
+	.B1(n_377),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[66]),
+	.X(n_865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690499 (
+	.A1(n_325),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[408]),
+	.B1(n_39163),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[312]),
+	.Y(n_864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690500 (
+	.A1(n_511),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[1010]),
+	.B1(n_492),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[50]),
+	.Y(n_863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690501 (
+	.A1(n_39156),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[376]),
+	.B1(n_333),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[344]),
+	.Y(n_862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690502 (
+	.A1(n_501),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[338]),
+	.B1(n_356),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[306]),
+	.X(n_861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690503 (
+	.A1(n_481),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[248]),
+	.B1(n_334),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[280]),
+	.Y(n_860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690504 (
+	.A1(n_478),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[957]),
+	.B1(n_328),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[989]),
+	.Y(n_859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690505 (
+	.A1(n_5457),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[37]),
+	.B1(n_465),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[69]),
+	.Y(n_858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690506 (
+	.A1(n_493),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[720]),
+	.B1(n_352),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[656]),
+	.Y(n_857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690507 (
+	.A1(n_39161),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[535]),
+	.B1(n_329),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[599]),
+	.Y(n_856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690508 (
+	.A1(n_506),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[976]),
+	.B1(n_504),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[880]),
+	.X(n_855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690509 (
+	.A1(n_482),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[812]),
+	.B1(n_477),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[844]),
+	.Y(n_854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690510 (
+	.A1(n_39162),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[727]),
+	.B1(n_331),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[663]),
+	.Y(n_853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690511 (
+	.A1(n_499),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[464]),
+	.B1(n_353),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[368]),
+	.X(n_852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690512 (
+	.A1(n_319),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[215]),
+	.B1(n_39155),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[87]),
+	.Y(n_851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690513 (
+	.A1(n_321),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[689]),
+	.B1(n_329),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[593]),
+	.Y(n_850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690514 (
+	.A1(n_478),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[951]),
+	.B1(n_328),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[983]),
+	.Y(n_849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690515 (
+	.A1(n_316),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[919]),
+	.B1(n_482),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[823]),
+	.Y(n_848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690516 (
+	.A1(n_316),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[909]),
+	.B1(n_473),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[877]),
+	.Y(n_847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690517 (
+	.A1(n_477),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[855]),
+	.B1(n_473),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[887]),
+	.Y(n_846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690518 (
+	.A1(n_345),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [81]),
+	.B1(n_39160),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [120]),
+	.X(n_845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690519 (
+	.A1(n_475),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[759]),
+	.B1(n_474),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[791]),
+	.Y(n_844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690520 (
+	.A1(n_333),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[343]),
+	.B1(n_39163),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[311]),
+	.Y(n_843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690521 (
+	.A1(n_39162),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[716]),
+	.B1(n_39161),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[524]),
+	.Y(n_842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690522 (
+	.A1(n_325),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[407]),
+	.B1(n_334),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[279]),
+	.Y(n_841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690523 (
+	.A1(n_323),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[471]),
+	.B1(n_317),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[439]),
+	.Y(n_840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690524 (
+	.A1(n_481),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[247]),
+	.B1(n_39156),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[375]),
+	.Y(n_839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690525 (
+	.A1(n_478),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[939]),
+	.B1(n_474),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[779]),
+	.Y(n_838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690526 (
+	.A1(n_479),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[488]),
+	.B1(n_39162),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[712]),
+	.Y(n_837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690527 (
+	.A1(n_481),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[246]),
+	.B1(n_317),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[438]),
+	.Y(n_836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g690528 (
+	.A1_N(n_346),
+	.A2_N(n_33289),
+	.B1(n_30803),
+	.B2(n_563),
+	.Y(n_835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690529 (
+	.A1(n_39156),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[374]),
+	.B1(n_39163),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[310]),
+	.Y(n_834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690530 (
+	.A1(n_318),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[118]),
+	.B1(n_322),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[1014]),
+	.Y(n_833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690531 (
+	.A1(n_475),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[747]),
+	.B1(n_328),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[971]),
+	.Y(n_832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690532 (
+	.A1(n_33152),
+	.A2(n_339),
+	.B1(n_19640),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[9]),
+	.X(n_831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690533 (
+	.A1(n_478),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[950]),
+	.B1(n_328),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[982]),
+	.Y(n_830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690534 (
+	.A1(n_316),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[918]),
+	.B1(n_482),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[822]),
+	.Y(n_829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690535 (
+	.A1(n_33155),
+	.A2(n_339),
+	.B1(n_19640),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[12]),
+	.X(n_828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690536 (
+	.A1(n_477),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[854]),
+	.B1(n_473),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[886]),
+	.Y(n_827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690537 (
+	.A1(n_475),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[758]),
+	.B1(n_474),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[790]),
+	.Y(n_826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690538 (
+	.A1(n_479),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[511]),
+	.B1(n_331),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[671]),
+	.Y(n_825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690539 (
+	.A1(n_479),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[502]),
+	.B1(n_39161),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[534]),
+	.Y(n_824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690540 (
+	.A1(n_331),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[662]),
+	.B1(n_327),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[566]),
+	.Y(n_823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690541 (
+	.A1(n_330),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[630]),
+	.B1(n_329),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[598]),
+	.Y(n_822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690542 (
+	.A1(n_39162),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[726]),
+	.B1(n_321),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[694]),
+	.Y(n_821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g690543 (
+	.A1(n_539),
+	.A2(n_31741),
+	.B1(n_555),
+	.B2(n_31762),
+	.Y(n_820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690544 (
+	.A1(n_478),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[958]),
+	.B1(n_328),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[990]),
+	.Y(n_819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690545 (
+	.A1(n_330),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[639]),
+	.B1(n_327),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[575]),
+	.Y(n_818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690546 (
+	.A1(n_327),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[554]),
+	.B1(n_329),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[586]),
+	.Y(n_817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690547 (
+	.A1(n_319),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[223]),
+	.B1(n_322),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[1023]),
+	.Y(n_816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690548 (
+	.A1(n_5457),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[41]),
+	.B1(n_465),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[73]),
+	.Y(n_815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690549 (
+	.A1(n_317),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[437]),
+	.B1(n_333),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[341]),
+	.Y(n_814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690550 (
+	.A1(n_323),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[469]),
+	.B1(n_325),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[405]),
+	.Y(n_813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690551 (
+	.A1(n_344),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [70]),
+	.B1(n_345),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [109]),
+	.X(n_812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690552 (
+	.A1(n_39155),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[85]),
+	.B1(n_318),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[117]),
+	.Y(n_811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690554 (
+	.A1(n_475),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[757]),
+	.B1(n_474),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[789]),
+	.Y(n_810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690555 (
+	.A1(n_344),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [69]),
+	.B1(n_345),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [108]),
+	.X(n_809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690556 (
+	.A1(n_475),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[767]),
+	.B1(n_474),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[799]),
+	.Y(n_808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690557 (
+	.A1(n_316),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[917]),
+	.B1(n_478),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[949]),
+	.Y(n_807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g690558 (
+	.A1_N(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[2]),
+	.A2_N(n_531),
+	.B1(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[2]),
+	.B2(n_531),
+	.Y(n_806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690559 (
+	.A1(n_482),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[821]),
+	.B1(n_477),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[853]),
+	.Y(n_805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690560 (
+	.A1(n_328),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[981]),
+	.B1(n_473),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[885]),
+	.Y(n_804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690561 (
+	.A1(n_478),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[959]),
+	.B1(n_328),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[991]),
+	.Y(n_803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690562 (
+	.A1(n_327),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[565]),
+	.B1(n_329),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[597]),
+	.Y(n_802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690563 (
+	.A1(n_321),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[693]),
+	.B1(n_331),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[661]),
+	.Y(n_801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g690564 (
+	.A1_N(brqrv_top_brqrv_dec_decode_x_d[6]),
+	.A2_N(n_531),
+	.B1(brqrv_top_brqrv_dec_decode_x_d[6]),
+	.B2(n_531),
+	.Y(n_800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690565 (
+	.A1(n_39162),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[725]),
+	.B1(n_330),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[629]),
+	.Y(n_799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690566 (
+	.A1(n_477),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[863]),
+	.B1(n_473),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[895]),
+	.Y(n_798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690567 (
+	.A1(n_479),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[501]),
+	.B1(n_39161),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[533]),
+	.Y(n_797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690568 (
+	.A1(n_344),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [66]),
+	.B1(n_345),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [105]),
+	.X(n_796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690569 (
+	.A1(n_316),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[927]),
+	.B1(n_482),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[831]),
+	.Y(n_795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g690570 (
+	.A1_N(brqrv_top_brqrv_dec_dec_i0_waddr_r[3]),
+	.A2_N(n_518),
+	.B1(brqrv_top_brqrv_dec_dec_i0_waddr_r[3]),
+	.B2(n_518),
+	.Y(n_794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690571 (
+	.A1(n_39156),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[383]),
+	.B1(n_333),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[351]),
+	.Y(n_793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690572 (
+	.A1(n_344),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [65]),
+	.B1(n_345),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [104]),
+	.X(n_792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g690573 (
+	.A1_N(brqrv_top_brqrv_dec_dec_i0_waddr_r[0]),
+	.A2_N(n_519),
+	.B1(brqrv_top_brqrv_dec_dec_i0_waddr_r[0]),
+	.B2(n_519),
+	.Y(n_791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690574 (
+	.A1(n_361),
+	.A2(n_30593),
+	.B1(n_517),
+	.B2(n_30592),
+	.Y(n_790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690575 (
+	.A1(n_323),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[479]),
+	.B1(n_317),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[447]),
+	.Y(n_789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690576 (
+	.A1(n_344),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [64]),
+	.B1(n_345),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [103]),
+	.X(n_788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690577 (
+	.A1(n_39156),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[372]),
+	.B1(n_39163),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[308]),
+	.Y(n_787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690578 (
+	.A1(n_325),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[415]),
+	.B1(n_39163),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[319]),
+	.Y(n_786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g690579 (
+	.A1_N(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[3]),
+	.A2_N(n_518),
+	.B1(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[3]),
+	.B2(n_518),
+	.Y(n_785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690580 (
+	.A1(n_39154),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[139]),
+	.B1(n_39155),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[75]),
+	.Y(n_784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690581 (
+	.A1(n_323),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[468]),
+	.B1(n_334),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[276]),
+	.Y(n_783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690582 (
+	.A1(n_481),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[255]),
+	.B1(n_334),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[287]),
+	.Y(n_782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690583 (
+	.A1(n_337),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[180]),
+	.B1(n_39155),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[84]),
+	.Y(n_781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690584 (
+	.A1(n_344),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [63]),
+	.B1(n_345),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [102]),
+	.X(n_780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g690585 (
+	.A1_N(brqrv_top_brqrv_dec_decode_x_d[7]),
+	.A2_N(n_518),
+	.B1(brqrv_top_brqrv_dec_decode_x_d[7]),
+	.B2(n_518),
+	.Y(n_779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690586 (
+	.A1(n_316),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[916]),
+	.B1(n_473),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[884]),
+	.Y(n_778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690587 (
+	.A1(n_357),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[933]),
+	.B1(n_347),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[805]),
+	.Y(n_777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690588 (
+	.A1(n_478),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[948]),
+	.B1(n_474),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[788]),
+	.Y(n_776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690589 (
+	.A1(n_482),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[820]),
+	.B1(n_477),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[852]),
+	.Y(n_775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690590 (
+	.A1(n_475),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[756]),
+	.B1(n_328),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[980]),
+	.Y(n_774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690591 (
+	.A1(n_331),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[660]),
+	.B1(n_330),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[628]),
+	.Y(n_773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690592 (
+	.A1(n_5457),
+	.A2(brqrv_top_brqrv_dma_ctrl_fifo_addr[40]),
+	.B1(n_465),
+	.B2(brqrv_top_brqrv_dma_ctrl_fifo_addr[72]),
+	.Y(n_772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690593 (
+	.A1(n_321),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[692]),
+	.B1(n_39161),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[532]),
+	.Y(n_771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690594 (
+	.A1(n_354),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[741]),
+	.B1(n_510),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[773]),
+	.Y(n_770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690595 (
+	.A1(n_327),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[564]),
+	.B1(n_329),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[596]),
+	.Y(n_769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690596 (
+	.A1(n_479),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[500]),
+	.B1(n_39162),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[724]),
+	.Y(n_768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690597 (
+	.A1(n_506),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[965]),
+	.B1(n_496),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[837]),
+	.X(n_767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690598 (
+	.A1(n_333),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[335]),
+	.B1(n_39163),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[303]),
+	.Y(n_766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690599 (
+	.A1(n_358),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[69]),
+	.B1(n_497),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[165]),
+	.Y(n_765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690600 (
+	.A1(n_317),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[435]),
+	.B1(n_39156),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[371]),
+	.Y(n_764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690601 (
+	.A1(n_323),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[467]),
+	.B1(n_39163),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[307]),
+	.Y(n_763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690602 (
+	.A1(n_39154),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[147]),
+	.B1(n_39155),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[83]),
+	.Y(n_762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690603 (
+	.A1(n_503),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[421]),
+	.B1(n_492),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[37]),
+	.Y(n_761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690604 (
+	.A1(n_316),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[915]),
+	.B1(n_473),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[883]),
+	.Y(n_760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690605 (
+	.A1(n_478),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[947]),
+	.B1(n_474),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[787]),
+	.Y(n_759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690606 (
+	.A1(n_33151),
+	.A2(n_339),
+	.B1(n_19640),
+	.B2(brqrv_top_brqrv_dec_i0_result_r[8]),
+	.X(n_758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690607 (
+	.A1(n_316),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[925]),
+	.B1(n_482),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[829]),
+	.Y(n_757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690608 (
+	.A1(n_482),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[819]),
+	.B1(n_477),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[851]),
+	.Y(n_756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690609 (
+	.A1(n_475),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[755]),
+	.B1(n_328),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[979]),
+	.Y(n_755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690610 (
+	.A1(n_327),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[563]),
+	.B1(n_329),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[595]),
+	.Y(n_754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690611 (
+	.A1(n_321),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[691]),
+	.B1(n_331),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[659]),
+	.Y(n_753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690612 (
+	.A1(n_39162),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[723]),
+	.B1(n_330),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[627]),
+	.Y(n_752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690613 (
+	.A1(n_479),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[499]),
+	.B1(n_39161),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[531]),
+	.Y(n_751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690614 (
+	.A1(n_481),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[238]),
+	.B1(n_323),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[462]),
+	.Y(n_750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690615 (
+	.A1(n_345),
+	.A2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [100]),
+	.B1(n_39160),
+	.B2(\brqrv_top_mem_Gen_dccm_enable.dccm_dccm_bank_dout [139]),
+	.X(n_749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g690616 (
+	.A1(n_39162),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[717]),
+	.B1(n_327),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[557]),
+	.Y(n_748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g690617 (
+	.A1_N(brqrv_top_brqrv_dec_decode_x_d[8]),
+	.A2_N(n_513),
+	.B1(brqrv_top_brqrv_dec_decode_x_d[8]),
+	.B2(n_513),
+	.Y(n_747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g690618 (
+	.A(brqrv_top_brqrv_dec_dec_nonblock_load_waddr[4]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[24]),
+	.Y(n_746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g690619 (
+	.A1_N(brqrv_top_brqrv_dec_dec_i0_waddr_r[4]),
+	.A2_N(n_513),
+	.B1(brqrv_top_brqrv_dec_dec_i0_waddr_r[4]),
+	.B2(n_513),
+	.Y(n_745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 g690620 (
+	.A1_N(brqrv_top_brqrv_dec_decode_x_d[4]),
+	.A2_N(n_519),
+	.B1(brqrv_top_brqrv_dec_decode_x_d[4]),
+	.B2(n_519),
+	.Y(n_744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690621 (
+	.A1(n_534),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[31]),
+	.B1(n_544),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[31]),
+	.X(n_33348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690622 (
+	.A1(n_534),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[25]),
+	.B1(n_544),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[25]),
+	.X(n_33342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690623 (
+	.A1(n_534),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[26]),
+	.B1(n_544),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[26]),
+	.X(n_33343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690624 (
+	.A1(n_534),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[27]),
+	.B1(n_544),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[27]),
+	.X(n_33344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690625 (
+	.A1(n_534),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[29]),
+	.B1(n_544),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[29]),
+	.X(n_33346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690628 (
+	.A1(n_534),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[30]),
+	.B1(n_544),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[30]),
+	.X(n_33347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g690629 (
+	.A1(n_534),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[24]),
+	.B1(n_544),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[24]),
+	.X(n_33341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g690630 (
+	.A(n_742),
+	.Y(n_31051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g690631 (
+	.A(n_741),
+	.Y(n_31213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g690632 (
+	.A(n_31603),
+	.Y(n_740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g690635 (
+	.A(n_736),
+	.Y(n_735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 g690639 (
+	.A(n_33495),
+	.Y(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690640 (
+	.A(n_463),
+	.B(brqrv_top_brqrv_dma_ctrl_n_1304),
+	.Y(n_32212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690641 (
+	.A(n_476),
+	.B(brqrv_top_brqrv_iccm_dma_rdata[63]),
+	.Y(n_727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690642 (
+	.A(n_39156),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[370]),
+	.Y(n_726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690643 (
+	.A(n_476),
+	.B(brqrv_top_brqrv_iccm_dma_rdata[61]),
+	.Y(n_725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690644 (
+	.A(n_476),
+	.B(brqrv_top_brqrv_iccm_dma_rdata[58]),
+	.Y(n_724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690645 (
+	.A(n_476),
+	.B(brqrv_top_brqrv_iccm_dma_rdata[56]),
+	.Y(n_723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690646 (
+	.A(n_39164),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[62]),
+	.Y(n_722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690647 (
+	.A(n_39155),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[94]),
+	.Y(n_721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690648 (
+	.A(n_494),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[633]),
+	.Y(n_720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690649 (
+	.A(n_479),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[486]),
+	.Y(n_719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690650 (
+	.A(n_355),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[254]),
+	.Y(n_718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g690651 (
+	.A_N(brqrv_top_brqrv_dec_tlu_dec_tlu_flush_noredir_r_d1),
+	.B(brqrv_top_brqrv_dec_tlu_flush_lower_wb),
+	.Y(n_717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690652 (
+	.A(n_351),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[220]),
+	.Y(n_716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690653 (
+	.A(n_479),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[497]),
+	.Y(n_715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g690654 (
+	.A_N(n_30699),
+	.B(n_30262),
+	.Y(n_714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690655 (
+	.A(n_476),
+	.B(brqrv_top_brqrv_iccm_dma_rdata[62]),
+	.Y(n_713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 g690656 (
+	.A(n_31434),
+	.B(n_31430),
+	.X(n_31429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690657 (
+	.A(n_19640),
+	.B(brqrv_top_brqrv_dec_i0_result_r[7]),
+	.Y(n_712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690658 (
+	.A(n_351),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[221]),
+	.Y(n_711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690659 (
+	.A(n_39154),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[144]),
+	.Y(n_710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690660 (
+	.A(n_39155),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[90]),
+	.Y(n_709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690661 (
+	.A(n_39164),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[58]),
+	.Y(n_708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690662 (
+	.A(n_481),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[232]),
+	.Y(n_707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690663 (
+	.A(n_388),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[50]),
+	.Y(n_706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690664 (
+	.A(n_338),
+	.B(n_33181),
+	.Y(n_705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690665 (
+	.A(n_479),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[491]),
+	.Y(n_704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690666 (
+	.A(n_506),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[989]),
+	.Y(n_703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690667 (
+	.A(n_576),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[67]),
+	.Y(n_702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690668 (
+	.A(n_481),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[224]),
+	.Y(n_701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g690669 (
+	.A(n_30697),
+	.B_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[38]),
+	.Y(n_700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g690670 (
+	.A(n_30696),
+	.B_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[70]),
+	.Y(n_699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690671 (
+	.A(n_333),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[347]),
+	.Y(n_698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690672 (
+	.A(n_358),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[93]),
+	.Y(n_697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690673 (
+	.A(n_31111),
+	.B(brqrv_top_brqrv_exu_i0_pc_x[22]),
+	.Y(n_696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690674 (
+	.A(n_329),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[605]),
+	.Y(n_695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690675 (
+	.A(n_479),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[483]),
+	.Y(n_694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690676 (
+	.A(n_388),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[51]),
+	.Y(n_693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690677 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifc_iccm_access_f),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_ifc_region_acc_fault_final_f),
+	.Y(n_264676_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690678 (
+	.A(n_375),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[56]),
+	.Y(n_692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690679 (
+	.A(n_481),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[234]),
+	.Y(n_691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690680 (
+	.A(n_463),
+	.B(brqrv_top_brqrv_dma_ctrl_n_1314),
+	.Y(n_32214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690681 (
+	.A(n_411),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[115]),
+	.Y(n_690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690682 (
+	.A(n_540),
+	.B(n_545),
+	.Y(n_32419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690683 (
+	.A(n_479),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[492]),
+	.Y(n_689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690684 (
+	.A(n_39156),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[373]),
+	.Y(n_688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690685 (
+	.A(n_375),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[59]),
+	.Y(n_687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690686 (
+	.A(n_479),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[485]),
+	.Y(n_686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690687 (
+	.A(n_39164),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[60]),
+	.Y(n_685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690688 (
+	.A(n_39155),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[68]),
+	.Y(n_684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690689 (
+	.A(n_39155),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[92]),
+	.Y(n_683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690690 (
+	.A(n_329),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[589]),
+	.Y(n_682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690691 (
+	.A(n_329),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[577]),
+	.Y(n_681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690692 (
+	.A(n_463),
+	.B(brqrv_top_brqrv_dma_ctrl_n_1309),
+	.Y(n_32213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690693 (
+	.A(n_322),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[1008]),
+	.Y(n_680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690694 (
+	.A(n_463),
+	.B(brqrv_top_brqrv_dma_ctrl_n_1289),
+	.Y(n_32211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690695 (
+	.A(n_468),
+	.B(n_33142),
+	.Y(n_31623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690696 (
+	.A(n_468),
+	.B(n_33350),
+	.Y(n_31644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690697 (
+	.A(n_31767),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[12]),
+	.Y(brqrv_top_brqrv_dec_decode_i0_dec_n_75), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g690698 (
+	.A_N(brqrv_top_brqrv_ifu_mem_ctl_miss_state[1]),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_miss_state[2]),
+	.Y(n_32207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690699 (
+	.A(n_4921),
+	.B(n_31113),
+	.Y(n_31009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690700 (
+	.A(n_4921),
+	.B(n_32329),
+	.Y(n_31017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690701 (
+	.A(brqrv_top_brqrv_lsu_addr_in_pic_m),
+	.B(brqrv_top_brqrv_lsu_addr_in_dccm_m),
+	.Y(n_742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g690702 (
+	.A_N(n_30478),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_miss_state[0]),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_n_3477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690703 (
+	.A(n_468),
+	.B(n_33128),
+	.Y(n_31641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g690704 (
+	.A(n_31117),
+	.B(n_31096),
+	.X(n_32060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g690705 (
+	.A_N(brqrv_top_brqrv_dec_tlu_dbg_halted),
+	.B(brqrv_top_brqrv_dec_tlu_dbg_tlu_halted),
+	.Y(n_31315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g690706 (
+	.A_N(n_29926),
+	.B(n_29914),
+	.Y(n_31522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690707 (
+	.A(n_4921),
+	.B(n_31114),
+	.Y(n_32135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690708 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_n_2291),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_n_2292),
+	.Y(n_31593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690710 (
+	.A(n_34726),
+	.B(n_34715),
+	.Y(n_32840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g690711 (
+	.A_N(n_29914),
+	.B(n_29926),
+	.Y(n_31047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690712 (
+	.A(n_31767),
+	.B(n_555),
+	.Y(brqrv_top_brqrv_dec_decode_i0_dec_n_72), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690713 (
+	.A(n_29926),
+	.B(n_29914),
+	.Y(n_31525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690714 (
+	.A(n_4921),
+	.B(n_32326),
+	.Y(n_32150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690715 (
+	.A(n_4921),
+	.B(n_32328),
+	.Y(n_32153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690716 (
+	.A(n_468),
+	.B(n_33134),
+	.Y(n_31633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g690717 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wraddr_r[8]),
+	.B_N(brqrv_top_brqrv_dec_decode_r_d[13]),
+	.Y(brqrv_top_brqrv_dec_dec_csr_wraddr_r[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690718 (
+	.A(n_32914),
+	.B(n_32915),
+	.Y(n_741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g690719 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wraddr_r[8]),
+	.B_N(brqrv_top_brqrv_dec_decode_r_d[14]),
+	.Y(brqrv_top_brqrv_dec_dec_csr_wraddr_r[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690720 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[5]),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[4]),
+	.Y(n_31603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690721 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[4]),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[5]),
+	.Y(n_739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690722 (
+	.A(n_31120),
+	.B(brqrv_top_brqrv_dbg_cmd_wrdata[2]),
+	.Y(n_738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g690723 (
+	.A_N(n_30888),
+	.B(n_32612),
+	.Y(n_737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690724 (
+	.A(n_467),
+	.B(n_31609),
+	.Y(n_736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g690728 (
+	.A_N(brqrv_top_brqrv_trigger_pkt_any[151]),
+	.B(brqrv_top_brqrv_dec_tlu_mtdata1_t3[2]),
+	.Y(n_731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g690729 (
+	.A_N(brqrv_top_brqrv_trigger_pkt_any[37]),
+	.B(brqrv_top_brqrv_dec_tlu_mtdata1_t0[2]),
+	.Y(n_730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g690730 (
+	.A_N(brqrv_top_brqrv_trigger_pkt_any[75]),
+	.B(brqrv_top_brqrv_dec_tlu_mtdata1_t1[2]),
+	.Y(n_729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690736 (
+	.A(n_349),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[124]),
+	.Y(n_670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690737 (
+	.A(n_347),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[828]),
+	.Y(n_669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690738 (
+	.A(n_39154),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[148]),
+	.Y(n_668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690739 (
+	.A(n_322),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[1012]),
+	.Y(n_667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690740 (
+	.A(n_333),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[345]),
+	.Y(n_666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690741 (
+	.A(brqrv_top_brqrv_dec_tlu_n_13200),
+	.B(brqrv_top_brqrv_dec_tlu_n_13199),
+	.Y(n_665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690742 (
+	.A(n_375),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[62]),
+	.Y(n_664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g690743 (
+	.A_N(brqrv_top_brqrv_dec_tlu_flush_lower_wb),
+	.B(brqrv_top_brqrv_dec_tlu_request_debug_mode_r_d1),
+	.Y(n_663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690744 (
+	.A(n_375),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[63]),
+	.Y(n_662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g690745 (
+	.A(n_31305),
+	.B_N(brqrv_top_brqrv_exu_i0_pc_x[30]),
+	.Y(n_661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690746 (
+	.A(n_39156),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[354]),
+	.Y(n_660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690747 (
+	.A(n_39164),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[56]),
+	.Y(n_659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g690748 (
+	.A_N(n_30657),
+	.B(n_30667),
+	.Y(n_658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690749 (
+	.A(n_463),
+	.B(brqrv_top_brqrv_dma_ctrl_n_1279),
+	.Y(n_31046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690750 (
+	.A(n_39164),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[54]),
+	.Y(n_657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690751 (
+	.A(n_39155),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[88]),
+	.Y(n_656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690752 (
+	.A(n_3625),
+	.B(brqrv_top_brqrv_iccm_dma_rdata[58]),
+	.Y(n_655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690753 (
+	.A(n_479),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[495]),
+	.Y(n_654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690754 (
+	.A(n_3626),
+	.B(brqrv_top_brqrv_iccm_dma_rdata[62]),
+	.Y(n_653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690756 (
+	.A(brqrv_top_brqrv_dec_dec_i0_waddr_r[1]),
+	.B(n_532),
+	.Y(n_652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690757 (
+	.A(n_531),
+	.B(brqrv_top_brqrv_dec_dec_i0_waddr_r[2]),
+	.Y(n_651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690758 (
+	.A(n_39131),
+	.B(brqrv_top_brqrv_dec_tlu_meihap[31]),
+	.Y(n_650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690759 (
+	.A(brqrv_top_brqrv_dec_extint_stall),
+	.B(n_30890),
+	.Y(n_649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690760 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[17]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_n_314),
+	.Y(brqrv_top_brqrv_dec_tlu_mrac_in[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690761 (
+	.A(n_39155),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[86]),
+	.Y(n_648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g690762 (
+	.A(n_32585),
+	.B_N(n_32670),
+	.Y(brqrv_top_brqrv_i0_ap[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g690763 (
+	.A(n_30816),
+	.B_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[5]),
+	.Y(n_647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690764 (
+	.A(n_32304),
+	.B(brqrv_top_brqrv_exu_i0_pc_x[25]),
+	.Y(n_646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690765 (
+	.A(n_32306),
+	.B(brqrv_top_brqrv_exu_i0_pc_x[23]),
+	.Y(n_645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690766 (
+	.A(n_39164),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[63]),
+	.Y(n_644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690767 (
+	.A(n_3625),
+	.B(brqrv_top_brqrv_iccm_dma_rdata[63]),
+	.Y(n_643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690768 (
+	.A(n_39155),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[95]),
+	.Y(n_642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690769 (
+	.A(n_3625),
+	.B(brqrv_top_brqrv_iccm_dma_rdata[62]),
+	.Y(n_641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690770 (
+	.A(n_3625),
+	.B(brqrv_top_brqrv_iccm_dma_rdata[61]),
+	.Y(n_640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690771 (
+	.A(n_375),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[61]),
+	.Y(n_639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690772 (
+	.A(n_479),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[494]),
+	.Y(n_638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690773 (
+	.A(n_375),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[58]),
+	.Y(n_637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690774 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[29]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_n_326),
+	.Y(brqrv_top_brqrv_dec_tlu_mrac_in[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690775 (
+	.A(n_375),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[57]),
+	.Y(n_636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690776 (
+	.A(n_3625),
+	.B(brqrv_top_brqrv_iccm_dma_rdata[56]),
+	.Y(n_635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690777 (
+	.A(n_330),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[631]),
+	.Y(n_634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690778 (
+	.A(n_355),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[229]),
+	.Y(n_633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690779 (
+	.A(n_39164),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[36]),
+	.Y(n_632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690780 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[11]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_n_308),
+	.Y(brqrv_top_brqrv_dec_tlu_mrac_in[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690781 (
+	.A(n_3626),
+	.B(brqrv_top_brqrv_iccm_dma_rdata[63]),
+	.Y(n_631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690782 (
+	.A(n_3626),
+	.B(brqrv_top_brqrv_iccm_dma_rdata[61]),
+	.Y(n_630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690783 (
+	.A(n_3626),
+	.B(brqrv_top_brqrv_iccm_dma_rdata[58]),
+	.Y(n_629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690784 (
+	.A(n_333),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[339]),
+	.Y(n_628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690785 (
+	.A(n_3626),
+	.B(brqrv_top_brqrv_iccm_dma_rdata[56]),
+	.Y(n_627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690786 (
+	.A(n_494),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[634]),
+	.Y(n_626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g690787 (
+	.A(n_30585),
+	.B_N(n_30533),
+	.Y(n_625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690788 (
+	.A(n_468),
+	.B(n_33133),
+	.Y(n_31634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690789 (
+	.A(n_468),
+	.B(n_33137),
+	.Y(n_31630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690790 (
+	.A(n_468),
+	.B(n_33136),
+	.Y(n_31631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690791 (
+	.A(n_468),
+	.B(n_33135),
+	.Y(n_31632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690792 (
+	.A(n_468),
+	.B(n_33138),
+	.Y(n_31629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690793 (
+	.A(n_468),
+	.B(n_33131),
+	.Y(n_31637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690794 (
+	.A(n_468),
+	.B(n_33141),
+	.Y(n_31624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690795 (
+	.A(n_468),
+	.B(n_33130),
+	.Y(n_31605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690796 (
+	.A(n_468),
+	.B(n_33129),
+	.Y(n_31640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690797 (
+	.A(n_468),
+	.B(n_33140),
+	.Y(n_31625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690798 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.B(n_33571),
+	.Y(n_679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690799 (
+	.A(brqrv_top_brqrv_dec_i0_predict_p_d[46]),
+	.B(n_515),
+	.Y(brqrv_top_brqrv_dec_decode_i0_dec_n_62), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690800 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.B(n_33570),
+	.Y(n_678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690801 (
+	.A(n_468),
+	.B(n_33143),
+	.Y(n_31622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690802 (
+	.A(n_4921),
+	.B(n_31116),
+	.Y(n_32075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g690803 (
+	.A_N(brqrv_top_brqrv_dec_tlu_n_3813),
+	.B(n_30464),
+	.Y(brqrv_top_brqrv_dec_tlu_exc_cause_r[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690804 (
+	.A(n_468),
+	.B(n_33209),
+	.Y(n_31681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690805 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_327),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_n_326),
+	.Y(n_32215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690806 (
+	.A(n_468),
+	.B(n_33132),
+	.Y(n_31635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690807 (
+	.A(n_468),
+	.B(n_33139),
+	.Y(n_31607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g690808 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_n_44),
+	.B(n_30300),
+	.X(n_31510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g690809 (
+	.A_N(n_32791),
+	.B(n_32792),
+	.Y(n_31647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690810 (
+	.A(n_4921),
+	.B(n_32325),
+	.Y(n_32138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690811 (
+	.A(n_4921),
+	.B(n_32324),
+	.Y(n_32137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690812 (
+	.A(n_4921),
+	.B(n_31115),
+	.Y(n_32136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690813 (
+	.A(n_4921),
+	.B(n_31112),
+	.Y(n_32134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690814 (
+	.A(n_4921),
+	.B(n_32332),
+	.Y(n_31018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690816 (
+	.A(n_4921),
+	.B(n_32327),
+	.Y(n_32152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690817 (
+	.A(n_545),
+	.B(n_32914),
+	.Y(n_31212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690818 (
+	.A(brqrv_top_brqrv_dec_i0_predict_p_d[42]),
+	.B(brqrv_top_brqrv_dec_i0_predict_p_d[47]),
+	.Y(n_676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690821 (
+	.A(n_550),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[4]),
+	.Y(n_31601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g690823 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[13]),
+	.B(brqrv_top_brqrv_ifu_i0_pc[14]),
+	.Y(n_675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690824 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[13]),
+	.B(n_512),
+	.Y(n_674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g690825 (
+	.A_N(brqrv_top_brqrv_dec_tlu_dcsr_single_step_running_f),
+	.B(brqrv_top_brqrv_dec_tlu_debug_mode),
+	.Y(n_32678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690826 (
+	.A(n_467),
+	.B(n_33356),
+	.Y(n_673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g690827 (
+	.A(n_31120),
+	.B(brqrv_top_brqrv_dbg_cmd_wrdata[4]),
+	.Y(n_672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g690828 (
+	.A_N(brqrv_top_brqrv_trigger_pkt_any[113]),
+	.B(brqrv_top_brqrv_dec_tlu_mtdata1_t2[2]),
+	.Y(n_671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g690829 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_data[76]),
+	.Y(n_624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g690830 (
+	.A(brqrv_top_brqrv_lsu_store_data_lo_r[3]),
+	.Y(n_623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g690831 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[14]),
+	.Y(n_622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g690832 (
+	.A(n_33219),
+	.Y(n_621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g690833 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_data[77]),
+	.Y(n_620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g690834 (
+	.A(brqrv_top_brqrv_lsu_store_data_hi_r[10]),
+	.Y(n_619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g690836 (
+	.A(n_33254),
+	.Y(n_617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g690837 (
+	.A(n_30606),
+	.Y(n_616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g690839 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_data[44]),
+	.Y(n_614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g690840 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_data[109]),
+	.Y(n_613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g690841 (
+	.A(brqrv_top_brqrv_lsu_store_data_lo_r[5]),
+	.Y(n_612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g690842 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[54]),
+	.Y(n_611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g690843 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[36]),
+	.Y(n_610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g690844 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_data[69]),
+	.Y(n_609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g690845 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_data[45]),
+	.Y(n_608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g690847 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[86]),
+	.Y(n_606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g690848 (
+	.A(brqrv_top_brqrv_lsu_store_data_lo_r[13]),
+	.Y(n_605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g690849 (
+	.A(brqrv_top_brqrv_lsu_store_data_hi_r[12]),
+	.Y(n_604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g690850 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[59]),
+	.Y(n_603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g690851 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_data[37]),
+	.Y(n_602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g690852 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[11]),
+	.Y(n_601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g690853 (
+	.A(brqrv_top_brqrv_lsu_store_data_hi_r[5]),
+	.Y(n_600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g690854 (
+	.A(n_33320),
+	.Y(n_599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g690855 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[27]),
+	.Y(n_598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g690856 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_data[13]),
+	.Y(n_597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g690857 (
+	.A(brqrv_top_brqrv_lsu_store_data_lo_r[12]),
+	.Y(n_596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g690858 (
+	.A(n_33221),
+	.Y(n_595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g690859 (
+	.A(brqrv_top_brqrv_dec_tlu_lsu_exc_st_r),
+	.Y(n_594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g690860 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_data[99]),
+	.Y(n_593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g690861 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_data[10]),
+	.Y(n_592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g690862 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_data[75]),
+	.Y(n_591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g690863 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_data[106]),
+	.Y(n_590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g690865 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_data[43]),
+	.Y(n_588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g690866 (
+	.A(brqrv_top_brqrv_lsu_store_data_hi_r[11]),
+	.Y(n_587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g690867 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[53]),
+	.Y(n_586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g690869 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_data[101]),
+	.Y(n_584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g690870 (
+	.A(n_30612),
+	.Y(n_583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g690872 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[52]),
+	.Y(n_581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g690876 (
+	.A(brqrv_top_brqrv_dec_decode_x_d[5]),
+	.Y(n_577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g690880 (
+	.A(n_30693),
+	.Y(n_573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g690884 (
+	.A(n_30629),
+	.Y(n_569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g690887 (
+	.A(n_30630),
+	.Y(n_566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g690891 (
+	.A(brqrv_top_brqrv_iccm_dma_rdata[37]),
+	.Y(n_562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g690892 (
+	.A(brqrv_top_brqrv_iccm_dma_rdata[59]),
+	.Y(n_561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g690893 (
+	.A(brqrv_top_brqrv_iccm_dma_rdata[41]),
+	.Y(n_560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g690894 (
+	.A(brqrv_top_brqrv_iccm_dma_rdata[40]),
+	.Y(n_559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g690896 (
+	.A(brqrv_top_brqrv_iccm_dma_rdata[52]),
+	.Y(n_557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g690898 (
+	.A(brqrv_top_brqrv_iccm_dma_rdata[44]),
+	.Y(n_556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g690902 (
+	.A(brqrv_top_brqrv_iccm_dma_rdata[51]),
+	.Y(n_554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g690903 (
+	.A(brqrv_top_brqrv_iccm_dma_rdata[35]),
+	.Y(n_553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g690904 (
+	.A(n_31708),
+	.Y(n_552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g690906 (
+	.A(brqrv_top_brqrv_iccm_dma_rdata[46]),
+	.Y(n_551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g690907 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[5]),
+	.Y(n_550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g690908 (
+	.A(brqrv_top_brqrv_iccm_dma_rdata[48]),
+	.Y(n_549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g690911 (
+	.A(n_30551),
+	.Y(n_547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g690912 (
+	.A(brqrv_top_brqrv_iccm_dma_rdata[53]),
+	.Y(n_546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g690913 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[21]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_n_319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g690915 (
+	.A(n_32915),
+	.Y(n_545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g690916 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[16]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_n_314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g690918 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[5]),
+	.Y(n_543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g690923 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[11]),
+	.Y(n_538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g690925 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[8]),
+	.Y(n_536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g690926 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[7]),
+	.Y(n_535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g690928 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[27]),
+	.Y(n_533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g690930 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[21]),
+	.Y(n_532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g690932 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[25]),
+	.Y(n_530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g690933 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[4]),
+	.Y(n_529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g690934 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[28]),
+	.Y(n_528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g690935 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[19]),
+	.Y(n_527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g690936 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[24]),
+	.Y(n_526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g690938 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[17]),
+	.Y(n_525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g690939 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[20]),
+	.Y(n_524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g690940 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[29]),
+	.Y(n_523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g690941 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[23]),
+	.Y(n_522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g690942 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[3]),
+	.Y(n_521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g690943 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[13]),
+	.Y(n_520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g690947 (
+	.A(n_30546),
+	.Y(n_517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g690948 (
+	.A(n_30547),
+	.Y(n_516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g690949 (
+	.A(brqrv_top_brqrv_dec_i0_predict_p_d[47]),
+	.Y(n_515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g690950 (
+	.A(n_30544),
+	.Y(n_514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g690951 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[24]),
+	.Y(n_513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g690952 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[14]),
+	.Y(n_512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g690964 (
+	.A(n_30590),
+	.Y(n_500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g690974 (
+	.A(n_30801),
+	.Y(n_490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g690978 (
+	.A(brqrv_top_brqrv_dec_lsu_valid_raw_d),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g690982 (
+	.A(n_31658),
+	.Y(n_482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g690983 (
+	.A(n_31648),
+	.Y(n_481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g690985 (
+	.A(n_31672),
+	.Y(n_479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g690986 (
+	.A(n_31660),
+	.Y(n_478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g690987 (
+	.A(n_31659),
+	.Y(n_477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g690989 (
+	.A(n_31663),
+	.Y(n_475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g690990 (
+	.A(n_31664),
+	.Y(n_474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g690991 (
+	.A(n_31656),
+	.Y(n_473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g690996 (
+	.A(n_30707),
+	.Y(n_468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 g690999 (
+	.A(n_32674),
+	.Y(n_465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 g691000 (
+	.A(n_31876),
+	.Y(n_464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g691003 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_data[35]),
+	.Y(n_461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g691004 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_data[12]),
+	.Y(n_460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g691005 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[46]),
+	.Y(n_459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g691006 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[19]),
+	.Y(n_458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g691007 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[69]),
+	.Y(n_457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g691008 (
+	.A(n_30818),
+	.Y(n_456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g691009 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_data[108]),
+	.Y(n_455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g691010 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[85]),
+	.Y(n_454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g691012 (
+	.A(brqrv_top_brqrv_lsu_bus_read_data_m[13]),
+	.Y(n_452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g691013 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_data[107]),
+	.Y(n_451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g691014 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[10]),
+	.Y(n_450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g691015 (
+	.A(n_33327),
+	.Y(n_449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g691016 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_data[42]),
+	.Y(n_448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g691017 (
+	.A(brqrv_top_brqrv_lsu_store_data_lo_r[10]),
+	.Y(n_447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g691018 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_data[67]),
+	.Y(n_446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g691019 (
+	.A(n_33329),
+	.Y(n_445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g691022 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_data[11]),
+	.Y(n_442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g691024 (
+	.A(n_33223),
+	.Y(n_440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g691025 (
+	.A(brqrv_top_brqrv_lsu_store_data_hi_r[13]),
+	.Y(n_439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g691026 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_data[5]),
+	.Y(n_438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g691027 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_data[3]),
+	.Y(n_437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g691028 (
+	.A(n_33225),
+	.Y(n_436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g691029 (
+	.A(brqrv_top_brqrv_lsu_bus_read_data_m[14]),
+	.Y(n_435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g691030 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[101]),
+	.Y(n_434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g691031 (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_data[74]),
+	.Y(n_433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g691033 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[84]),
+	.Y(n_431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g691034 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[43]),
+	.Y(n_430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g691036 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[35]),
+	.Y(n_428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g691037 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[99]),
+	.Y(n_427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g691039 (
+	.A(n_30695),
+	.Y(n_425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g691040 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[83]),
+	.Y(n_424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g691041 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[115]),
+	.Y(n_423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g691042 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[51]),
+	.Y(n_422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g691043 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[19]),
+	.Y(n_421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g691045 (
+	.A(n_30631),
+	.Y(n_419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g691046 (
+	.A(n_38480),
+	.Y(n_418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g691050 (
+	.A(n_30628),
+	.Y(n_415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g691053 (
+	.A(brqrv_top_brqrv_iccm_dma_rdata[57]),
+	.Y(n_413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g691054 (
+	.A(brqrv_top_brqrv_iccm_dma_rdata[50]),
+	.Y(n_412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g691057 (
+	.A(brqrv_top_brqrv_iccm_dma_rdata[45]),
+	.Y(n_409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g691059 (
+	.A(brqrv_top_brqrv_iccm_dma_rdata[38]),
+	.Y(n_407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g691060 (
+	.A(brqrv_top_brqrv_iccm_dma_rdata[47]),
+	.Y(n_406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g691061 (
+	.A(brqrv_top_brqrv_iccm_dma_rdata[54]),
+	.Y(n_405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g691064 (
+	.A(brqrv_top_brqrv_iccm_dma_rdata[43]),
+	.Y(n_403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g691065 (
+	.A(n_30568),
+	.Y(n_402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g691066 (
+	.A(brqrv_top_brqrv_iccm_dma_rdata[42]),
+	.Y(n_401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g691067 (
+	.A(brqrv_top_brqrv_iccm_dma_rdata[49]),
+	.Y(n_400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g691069 (
+	.A(brqrv_top_brqrv_iccm_dma_rdata[39]),
+	.Y(n_398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g691070 (
+	.A(brqrv_top_brqrv_iccm_dma_rdata[32]),
+	.Y(n_397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g691071 (
+	.A(n_30569),
+	.Y(n_396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g691073 (
+	.A(brqrv_top_brqrv_iccm_dma_rdata[55]),
+	.Y(n_395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g691074 (
+	.A(n_30262),
+	.Y(n_394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g691075 (
+	.A(n_30567),
+	.Y(n_393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g691076 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[31]),
+	.Y(n_392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g691077 (
+	.A(brqrv_top_brqrv_iccm_dma_rdata[34]),
+	.Y(n_391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g691078 (
+	.A(brqrv_top_brqrv_iccm_dma_rdata[36]),
+	.Y(n_390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g691080 (
+	.A(n_30550),
+	.Y(n_388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g691081 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wrdata_r[17]),
+	.Y(brqrv_top_brqrv_dec_tlu_int_timers_n_315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g691086 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[9]),
+	.Y(n_386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g691088 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[1]),
+	.Y(n_384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g691091 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[2]),
+	.Y(n_381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g691092 (
+	.A(n_32323),
+	.Y(n_380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g691093 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[6]),
+	.Y(n_379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g691094 (
+	.A(n_32317),
+	.Y(n_378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g691096 (
+	.A(n_32319),
+	.Y(n_376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g691100 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[10]),
+	.Y(n_373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g691101 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[18]),
+	.Y(n_372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g691102 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[30]),
+	.Y(n_371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g691103 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[14]),
+	.Y(n_370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g691104 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[31]),
+	.Y(n_369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g691105 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[21]),
+	.Y(n_368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g691106 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[26]),
+	.Y(n_367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g691107 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[22]),
+	.Y(n_366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g691108 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[15]),
+	.Y(n_365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g691109 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[16]),
+	.Y(n_364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g691110 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[12]),
+	.Y(n_363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g691111 (
+	.A(brqrv_top_brqrv_dec_i0_predict_p_d[42]),
+	.Y(n_362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g691112 (
+	.A(n_30548),
+	.Y(n_361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g691125 (
+	.A(n_30591),
+	.Y(n_348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g691127 (
+	.A(n_30802),
+	.Y(n_346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g691131 (
+	.A(brqrv_top_brqrv_exu_mul_valid_x),
+	.Y(n_342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g691132 (
+	.A(n_30705),
+	.Y(n_341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g691133 (
+	.A(n_30887),
+	.Y(n_340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g691134 (
+	.A(n_30712),
+	.Y(n_339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g691135 (
+	.A(n_30885),
+	.Y(n_338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g691136 (
+	.A(n_31649),
+	.Y(n_337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g691139 (
+	.A(n_31680),
+	.Y(n_334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g691140 (
+	.A(n_31677),
+	.Y(n_333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g691142 (
+	.A(n_31666),
+	.Y(n_331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g691143 (
+	.A(n_31667),
+	.Y(n_330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g691144 (
+	.A(n_31668),
+	.Y(n_329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g691145 (
+	.A(n_31661),
+	.Y(n_328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g691146 (
+	.A(n_31669),
+	.Y(n_327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g691148 (
+	.A(n_31674),
+	.Y(n_325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g691150 (
+	.A(n_31679),
+	.Y(n_323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g691151 (
+	.A(n_31652),
+	.Y(n_322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g691152 (
+	.A(n_31670),
+	.Y(n_321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g691154 (
+	.A(n_31650),
+	.Y(n_319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g691155 (
+	.A(n_31654),
+	.Y(n_318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g691156 (
+	.A(n_31678),
+	.Y(n_317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g691157 (
+	.A(n_31657),
+	.Y(n_316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g691158 (
+	.A(n_30413),
+	.Y(n_315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 drc_bufs691166 (
+	.A(n_1617),
+	.Y(n_313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 drc_bufs691173 (
+	.A(n_1580),
+	.Y(n_311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 drc_bufs691180 (
+	.A(n_1581),
+	.Y(n_312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21bo_2 g851350 (
+	.A1(n_32834),
+	.A2(n_32833),
+	.B1_N(n_30621),
+	.X(n_32828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g691181 (
+	.A_N(n_31224),
+	.B(n_33183),
+	.Y(n_31008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g691182 (
+	.A(n_32417),
+	.B_N(n_31221),
+	.Y(n_306135_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g691183 (
+	.A(n_32417),
+	.B_N(n_31203),
+	.Y(n_360218_BAR), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g691184 (
+	.A_N(n_738),
+	.B(n_4921),
+	.Y(n_32144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_1 g691185 (
+	.A_N(n_731),
+	.B(brqrv_top_brqrv_trigger_pkt_any[114]),
+	.X(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[96]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_1 g691186 (
+	.A_N(n_730),
+	.B(brqrv_top_brqrv_trigger_pkt_any[0]),
+	.X(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_1 g691187 (
+	.A_N(n_729),
+	.B(brqrv_top_brqrv_trigger_pkt_any[38]),
+	.X(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[32]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g691188 (
+	.A_N(n_309),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[31]),
+	.Y(n_310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g691189 (
+	.A_N(n_672),
+	.B(n_4921),
+	.Y(n_32146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_1 g691190 (
+	.A_N(n_671),
+	.B(brqrv_top_brqrv_trigger_pkt_any[76]),
+	.X(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[64]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g691191 (
+	.A_N(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[4]),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[5]),
+	.Y(n_31600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g691192 (
+	.A(n_737),
+	.B_N(brqrv_top_brqrv_dec_i0_predict_p_d[45]),
+	.Y(n_34721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g691193 (
+	.A_N(n_33354),
+	.B(n_33355),
+	.Y(n_309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g691194 (
+	.A(n_737),
+	.B_N(brqrv_top_brqrv_dec_i0_predict_p_d[46]),
+	.Y(n_34722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g691195 (
+	.A(n_737),
+	.B_N(brqrv_top_brqrv_dec_i0_predict_p_d[43]),
+	.Y(n_34719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g691196 (
+	.A_N(n_32914),
+	.B(n_32915),
+	.Y(n_31214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g691197 (
+	.A(n_737),
+	.B_N(brqrv_top_brqrv_dec_i0_predict_p_d[44]),
+	.Y(n_34720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g851351 (
+	.A1(n_31502),
+	.A2(n_31491),
+	.B1(n_31503),
+	.B2(n_31493),
+	.Y(n_31490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g851352 (
+	.A1(n_31502),
+	.A2(n_31141),
+	.B1(n_31503),
+	.B2(n_31140),
+	.Y(n_31139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g851353 (
+	.A1(n_31502),
+	.A2(n_31138),
+	.B1(n_31503),
+	.B2(n_31137),
+	.Y(n_31136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g851354 (
+	.A(n_31583),
+	.B(n_31492),
+	.C(n_31301),
+	.X(n_31138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g851355 (
+	.A(n_31503),
+	.B(n_31492),
+	.C(n_31494),
+	.X(n_31491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g851356 (
+	.A(n_32455),
+	.B(n_31492),
+	.C(n_32453),
+	.X(n_31141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g617631 (
+	.A(n_308),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g617632 (
+	.A(n_307),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g617633 (
+	.A(n_306),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g617634 (
+	.A(n_305),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g617635 (
+	.A(n_304),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g617636 (
+	.A(n_303),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g617637 (
+	.A(n_302),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g617638 (
+	.A(n_301),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g617639 (
+	.A(n_300),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g617640 (
+	.A1(n_33679),
+	.A2(n_290),
+	.B1(n_289),
+	.B2(n_33698),
+	.C1(n_56),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [55]),
+	.Y(n_308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g617641 (
+	.A1(n_33712),
+	.A2(n_289),
+	.B1(n_33686),
+	.B2(n_290),
+	.C1(n_56),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [62]),
+	.Y(n_307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g617642 (
+	.A1(n_33710),
+	.A2(n_289),
+	.B1(n_33685),
+	.B2(n_290),
+	.C1(n_56),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [61]),
+	.Y(n_306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g617643 (
+	.A1(n_33708),
+	.A2(n_289),
+	.B1(n_33684),
+	.B2(n_290),
+	.C1(n_56),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [60]),
+	.Y(n_305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g617644 (
+	.A1(n_33706),
+	.A2(n_289),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [59]),
+	.C1(n_33683),
+	.C2(n_290),
+	.Y(n_304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g617645 (
+	.A1(n_33682),
+	.A2(n_290),
+	.B1(n_289),
+	.B2(n_33704),
+	.C1(n_56),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [58]),
+	.Y(n_303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g617646 (
+	.A1(n_33681),
+	.A2(n_290),
+	.B1(n_289),
+	.B2(n_33702),
+	.C1(n_56),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [57]),
+	.Y(n_302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g617647 (
+	.A1(n_33680),
+	.A2(n_290),
+	.B1(n_289),
+	.B2(n_33700),
+	.C1(n_56),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [56]),
+	.Y(n_301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g617648 (
+	.A1(n_33714),
+	.A2(n_289),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [63]),
+	.C1(n_33687),
+	.C2(n_290),
+	.Y(n_300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g617649 (
+	.A(n_299),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g617650 (
+	.A(n_298),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g617651 (
+	.A(n_297),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g617652 (
+	.A(n_296),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g617653 (
+	.A(n_295),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g617654 (
+	.A(n_294),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g617655 (
+	.A(n_293),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g617656 (
+	.A(n_292),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g617657 (
+	.A(n_291),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g617658 (
+	.A1(n_33678),
+	.A2(n_290),
+	.B1(n_289),
+	.B2(n_33697),
+	.C1(n_56),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [54]),
+	.Y(n_299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g617659 (
+	.A1(n_33677),
+	.A2(n_290),
+	.B1(n_289),
+	.B2(n_33696),
+	.C1(n_56),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [53]),
+	.Y(n_298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g617660 (
+	.A1(n_33676),
+	.A2(n_290),
+	.B1(n_289),
+	.B2(n_33695),
+	.C1(n_56),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [52]),
+	.Y(n_297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g617661 (
+	.A1(n_33675),
+	.A2(n_290),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [51]),
+	.C1(n_289),
+	.C2(n_33694),
+	.Y(n_296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g617662 (
+	.A1(n_33674),
+	.A2(n_290),
+	.B1(n_289),
+	.B2(n_33693),
+	.C1(n_56),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [50]),
+	.Y(n_295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g617663 (
+	.A1(n_33673),
+	.A2(n_290),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [49]),
+	.C1(n_289),
+	.C2(n_33692),
+	.Y(n_294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g617664 (
+	.A1(n_33672),
+	.A2(n_290),
+	.B1(n_289),
+	.B2(n_33691),
+	.C1(n_56),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [48]),
+	.Y(n_293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g617665 (
+	.A1(n_33671),
+	.A2(n_290),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [47]),
+	.C1(n_289),
+	.C2(n_33690),
+	.Y(n_292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g617666 (
+	.A1(n_33670),
+	.A2(n_290),
+	.B1(n_289),
+	.B2(n_33689),
+	.C1(n_56),
+	.C2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [46]),
+	.Y(n_291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 g617667 (
+	.A1(n_289),
+	.A2(n_33688),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [45]),
+	.C1(n_39),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617669 (
+	.A1(n_288),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [44]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g617671 (
+	.A(n_63),
+	.B(n_287),
+	.Y(n_290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g617672 (
+	.A(n_63),
+	.B_N(n_287),
+	.Y(n_289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617673 (
+	.A1(n_285),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [43]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g617674 (
+	.A(n_32990),
+	.B(n_286),
+	.X(n_288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g617675 (
+	.A(n_286),
+	.B(n_32990),
+	.Y(n_287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g617676 (
+	.A(n_32989),
+	.B(n_283),
+	.COUT(n_286),
+	.SUM(n_285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617677 (
+	.A1(n_284),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [42]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g617678 (
+	.A(n_32988),
+	.B(n_281),
+	.COUT(n_283),
+	.SUM(n_284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617679 (
+	.A1(n_282),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [41]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g617680 (
+	.A(n_32987),
+	.B(n_279),
+	.COUT(n_281),
+	.SUM(n_282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617681 (
+	.A1(n_280),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [40]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g617682 (
+	.A(n_32986),
+	.B(n_277),
+	.COUT(n_279),
+	.SUM(n_280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617683 (
+	.A1(n_278),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [39]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g617684 (
+	.A(n_32985),
+	.B(n_275),
+	.COUT(n_277),
+	.SUM(n_278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617685 (
+	.A1(n_276),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [38]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g617686 (
+	.A(n_32984),
+	.B(n_273),
+	.COUT(n_275),
+	.SUM(n_276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617687 (
+	.A1(n_274),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [37]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g617688 (
+	.A(n_32983),
+	.B(n_267),
+	.COUT(n_273),
+	.SUM(n_274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g617689 (
+	.A(n_272),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag0ff_genblock.dffs_n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g617690 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_rst),
+	.C_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_done),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_done_in), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g617691 (
+	.A(n_262),
+	.B(n_165),
+	.C(n_32302),
+	.D(n_98),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g617692 (
+	.A1(n_266),
+	.A2(n_30996),
+	.B1(brqrv_top_brqrv_dec_tlu_force_halt),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_rdrsp_pend_in), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g617693 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_rst),
+	.C_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_cmd_done),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_cmd_done_in), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g617694 (
+	.A1(brqrv_top_brqrv_lsu_nonblock_load_inv_tag_r[1]),
+	.A2(n_264),
+	.B1(n_265),
+	.B2(n_31430),
+	.C1(FE_DBTN0_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag0[1]),
+	.Y(n_272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g617695 (
+	.A(n_271),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag1ff_genblock.dffs_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g617696 (
+	.A(n_270),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag1ff_genblock.dffs_n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g617697 (
+	.A(n_269),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag0ff_genblock.dffs_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g617698 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_WrPtr1_r[0]),
+	.A2(n_264),
+	.B1(n_265),
+	.B2(n_31297),
+	.C1(FE_DBTN0_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag1[0]),
+	.Y(n_271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g617699 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_WrPtr1_r[1]),
+	.A2(n_264),
+	.B1(n_265),
+	.B2(n_31398),
+	.C1(FE_DBTN0_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.C2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag1[1]),
+	.Y(n_270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g617700 (
+	.A1(brqrv_top_brqrv_lsu_nonblock_load_inv_tag_r[0]),
+	.A2(n_264),
+	.B1(FE_DBTN0_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag0[0]),
+	.C1(n_265),
+	.C2(n_31434),
+	.Y(n_269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g617701 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_sideeffect),
+	.A2(FE_DBTN0_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.B1(n_264),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_is_sideeffects_r),
+	.C1(n_265),
+	.C2(n_31425),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_sideeffectff_genblock.dffs_n_4_BAR ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617702 (
+	.A1(n_268),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [36]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g617703 (
+	.A(n_255),
+	.B(n_139),
+	.C(n_32302),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g617704 (
+	.A(n_258),
+	.B(n_158),
+	.C(n_32302),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g617705 (
+	.A(n_261),
+	.B(n_107),
+	.C(n_32302),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g617706 (
+	.A(n_259),
+	.B(n_159),
+	.C(n_32302),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g617707 (
+	.A(n_257),
+	.B(n_151),
+	.C(n_32302),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g617708 (
+	.A(n_256),
+	.B(n_147),
+	.C(n_32302),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g617709 (
+	.A(n_260),
+	.B(n_164),
+	.C(n_32302),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g617710 (
+	.A(n_250),
+	.B(n_123),
+	.C(n_32302),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g617711 (
+	.A(n_251),
+	.B(n_126),
+	.C(n_32302),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g617712 (
+	.A(n_253),
+	.B(n_135),
+	.C(n_32302),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g617713 (
+	.A(n_252),
+	.B(n_130),
+	.C(n_32302),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g617714 (
+	.A(n_254),
+	.B(n_136),
+	.C(n_32302),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g617715 (
+	.A(n_249),
+	.B(n_119),
+	.C(n_32302),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g617716 (
+	.A(n_248),
+	.B(n_115),
+	.C(n_32302),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g617717 (
+	.A(n_247),
+	.B(n_110),
+	.C(n_32302),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g617718 (
+	.A(n_32982),
+	.B(n_244),
+	.COUT(n_267),
+	.SUM(n_268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g617719 (
+	.A(n_263),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_rdrsp_pend),
+	.Y(n_266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g617720 (
+	.A1_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_write),
+	.A2_N(FE_DBTN0_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.B1(n_32364),
+	.B2(FE_DBTN0_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_writeff_genblock.dffs_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g617721 (
+	.A1(FE_DBTN0_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.A2(n_31050),
+	.B1(brqrv_top_brqrv_dec_tlu_force_halt),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g617722 (
+	.A(n_357120_BAR),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_timer_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g617723 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.B_N(n_31049),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_timer_in[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g617724 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.B_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag0[2]),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag0ff_genblock.dffs_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g617725 (
+	.A(n_217),
+	.B(n_219),
+	.C(n_32302),
+	.D(n_105),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g617726 (
+	.A(n_201),
+	.B(n_203),
+	.C(n_32302),
+	.D(n_111),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g617727 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.B_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag1[2]),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag1ff_genblock.dffs_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g617728 (
+	.A(n_31087),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.Y(n_263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g617729 (
+	.A(n_215),
+	.B(n_216),
+	.C(n_32302),
+	.D(n_154),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g617730 (
+	.A(n_214),
+	.B(n_224),
+	.C(n_32302),
+	.D(n_152),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g617731 (
+	.A(n_212),
+	.B(n_213),
+	.C(n_32302),
+	.D(n_127),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g617732 (
+	.A(n_210),
+	.B(n_223),
+	.C(n_32302),
+	.D(n_146),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g617733 (
+	.A(n_196),
+	.B(n_226),
+	.C(n_32302),
+	.D(n_143),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g617734 (
+	.A(n_222),
+	.B(n_209),
+	.C(n_32302),
+	.D(n_140),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g617735 (
+	.A(n_207),
+	.B(n_208),
+	.C(n_32302),
+	.D(n_141),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g617736 (
+	.A(n_221),
+	.B(n_206),
+	.C(n_32302),
+	.D(n_125),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g617737 (
+	.A(n_204),
+	.B(n_220),
+	.C(n_32302),
+	.D(n_118),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g617738 (
+	.A(n_199),
+	.B(n_205),
+	.C(n_32302),
+	.D(n_134),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g617739 (
+	.A(n_200),
+	.B(n_202),
+	.C(n_32302),
+	.D(n_112),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g617740 (
+	.A(FE_DBTN0_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.B_N(n_31408),
+	.Y(n_265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g617741 (
+	.A(n_31408),
+	.B(FE_DBTN0_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.Y(n_264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g617742 (
+	.A1(n_29889),
+	.A2(n_32781),
+	.B1(n_49),
+	.C1(n_32160),
+	.D1(n_239),
+	.Y(brqrv_top_brqrv_dec_csr_rddata_d[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g617743 (
+	.A(n_357119_BAR),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_timer_in[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g617744 (
+	.A(n_211),
+	.B(n_197),
+	.C(n_32302),
+	.D(n_100),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g617745 (
+	.A1(n_39225),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [30]),
+	.B1(n_65),
+	.B2(n_33836),
+	.C1(n_39353),
+	.Y(n_262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g617746 (
+	.A1(n_70),
+	.A2(n_33935),
+	.B1(n_71),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [26]),
+	.C1(n_242),
+	.Y(n_261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g617747 (
+	.A1(n_72),
+	.A2(n_34025),
+	.B1(n_71),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [16]),
+	.C1(n_240),
+	.Y(n_260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g617748 (
+	.A1(n_70),
+	.A2(n_33934),
+	.B1(n_71),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [25]),
+	.C1(n_238),
+	.Y(n_259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g617749 (
+	.A1(n_67),
+	.A2(n_33958),
+	.B1(n_65),
+	.B2(n_33854),
+	.C1(n_243),
+	.Y(n_258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g617750 (
+	.A1(n_39165),
+	.A2(n_33899),
+	.B1(n_70),
+	.B2(n_33933),
+	.C1(n_237),
+	.Y(n_257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g617751 (
+	.A1(n_69),
+	.A2(n_33986),
+	.B1(n_71),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [11]),
+	.C1(n_236),
+	.Y(n_256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617752 (
+	.A1(n_245),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [35]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g617753 (
+	.A1(n_67),
+	.A2(n_33951),
+	.B1(n_72),
+	.B2(n_34017),
+	.C1(n_227),
+	.Y(n_255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g617754 (
+	.A1(n_72),
+	.A2(n_34030),
+	.B1(n_71),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [21]),
+	.C1(n_233),
+	.Y(n_254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g617755 (
+	.A1(n_69),
+	.A2(n_33982),
+	.B1(n_39165),
+	.B2(n_33882),
+	.C1(n_234),
+	.Y(n_253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g617756 (
+	.A1(n_65),
+	.A2(n_33845),
+	.B1(n_39165),
+	.B2(n_33881),
+	.C1(n_232),
+	.Y(n_252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g617757 (
+	.A1(n_72),
+	.A2(n_34029),
+	.B1(n_71),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [20]),
+	.C1(n_230),
+	.Y(n_251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g617758 (
+	.A1(n_70),
+	.A2(n_33913),
+	.B1(n_39165),
+	.B2(n_33879),
+	.C1(n_231),
+	.Y(n_250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g617759 (
+	.A1(n_39165),
+	.A2(n_33878),
+	.B1(n_71),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [3]),
+	.C1(n_229),
+	.Y(n_249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g617760 (
+	.A1(n_39165),
+	.A2(n_33877),
+	.B1(n_69),
+	.B2(n_33977),
+	.C1(n_228),
+	.Y(n_248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g617761 (
+	.A1(n_39165),
+	.A2(n_33875),
+	.B1(n_70),
+	.B2(n_33909),
+	.C1(n_235),
+	.Y(n_247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g617762 (
+	.A(n_225),
+	.B(n_198),
+	.C(n_32302),
+	.D(n_106),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g617764 (
+	.A(n_39183),
+	.B(n_241),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g617765 (
+	.A(n_32981),
+	.B(n_103),
+	.COUT(n_244),
+	.SUM(n_245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g617766 (
+	.A(n_157),
+	.B(n_155),
+	.C(n_30946),
+	.D(n_30947),
+	.Y(n_243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g617767 (
+	.A(n_161),
+	.B(n_163),
+	.C(n_30942),
+	.D(n_30943),
+	.Y(n_242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g617768 (
+	.A1(n_364823_BAR),
+	.A2(n_167),
+	.B1(n_31425),
+	.B2(n_30893),
+	.Y(n_241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g617769 (
+	.A(n_160),
+	.B(n_162),
+	.C(n_30980),
+	.D(n_30981),
+	.Y(n_240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4bb_1 g617770 (
+	.A(n_32158),
+	.B(n_142),
+	.C_N(n_32159),
+	.D_N(n_271734_BAR),
+	.Y(n_239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g617771 (
+	.A(n_156),
+	.B(n_153),
+	.C(n_30978),
+	.D(n_30979),
+	.Y(n_238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g617772 (
+	.A(n_149),
+	.B(n_148),
+	.C(n_30970),
+	.D(n_30971),
+	.Y(n_237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g617773 (
+	.A(n_144),
+	.B(n_145),
+	.C(n_30952),
+	.D(n_30953),
+	.Y(n_236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g617774 (
+	.A(n_109),
+	.B(n_108),
+	.C(n_30962),
+	.D(n_30963),
+	.Y(n_235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g617775 (
+	.A(n_133),
+	.B(n_132),
+	.C(n_30940),
+	.D(n_30941),
+	.Y(n_234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g617776 (
+	.A(n_129),
+	.B(n_131),
+	.C(n_30948),
+	.D(n_30949),
+	.Y(n_233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g617777 (
+	.A(n_150),
+	.B(n_128),
+	.C(n_30938),
+	.D(n_30939),
+	.Y(n_232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g617778 (
+	.A(n_120),
+	.B(n_121),
+	.C(n_30956),
+	.D(n_30957),
+	.Y(n_231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g617779 (
+	.A(n_124),
+	.B(n_122),
+	.C(n_30968),
+	.D(n_30969),
+	.Y(n_230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g617780 (
+	.A(n_116),
+	.B(n_117),
+	.C(n_30990),
+	.D(n_30991),
+	.Y(n_229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g617781 (
+	.A(n_113),
+	.B(n_114),
+	.C(n_30988),
+	.D(n_30989),
+	.Y(n_228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g617782 (
+	.A(n_138),
+	.B(n_137),
+	.C(n_30936),
+	.D(n_30937),
+	.Y(n_227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g617783 (
+	.A1(n_69),
+	.A2(n_33985),
+	.B1(n_66),
+	.B2(n_33813),
+	.C1(n_39335),
+	.Y(n_226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g617784 (
+	.A1(n_70),
+	.A2(n_33908),
+	.B1(n_69),
+	.B2(n_33974),
+	.C1(n_39325),
+	.Y(n_225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g617785 (
+	.A1(n_69),
+	.A2(n_33988),
+	.B1(n_66),
+	.B2(n_33816),
+	.C1(n_39330),
+	.Y(n_224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g617786 (
+	.A1(n_69),
+	.A2(n_33998),
+	.B1(n_66),
+	.B2(n_33826),
+	.C1(n_39334),
+	.Y(n_223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g617787 (
+	.A1(n_70),
+	.A2(n_33918),
+	.B1(n_69),
+	.B2(n_33984),
+	.C1(n_39340),
+	.Y(n_222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g617788 (
+	.A1(n_70),
+	.A2(n_33914),
+	.B1(n_69),
+	.B2(n_33980),
+	.C1(n_39343),
+	.Y(n_221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g617789 (
+	.A1(n_69),
+	.A2(n_33994),
+	.B1(n_66),
+	.B2(n_33822),
+	.C1(n_39346),
+	.Y(n_220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g617790 (
+	.A1(n_69),
+	.A2(n_33992),
+	.B1(n_66),
+	.B2(n_33820),
+	.C1(n_39326),
+	.Y(n_219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g617792 (
+	.A1(n_39165),
+	.A2(n_33892),
+	.B1(n_72),
+	.B2(n_34026),
+	.C1(n_39327),
+	.Y(n_217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g617793 (
+	.A1(n_39165),
+	.A2(n_33889),
+	.B1(n_72),
+	.B2(n_34023),
+	.C1(n_39328),
+	.Y(n_216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g617794 (
+	.A1(n_70),
+	.A2(n_33923),
+	.B1(n_69),
+	.B2(n_33989),
+	.C1(n_39329),
+	.Y(n_215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g617795 (
+	.A1(n_39165),
+	.A2(n_33888),
+	.B1(n_72),
+	.B2(n_34022),
+	.C1(n_39331),
+	.Y(n_214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g617796 (
+	.A1(n_69),
+	.A2(n_33987),
+	.B1(n_66),
+	.B2(n_33815),
+	.C1(n_39332),
+	.Y(n_213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g617797 (
+	.A1(n_39165),
+	.A2(n_33887),
+	.B1(n_72),
+	.B2(n_34021),
+	.C1(n_39333),
+	.Y(n_212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g617798 (
+	.A1(n_70),
+	.A2(n_33907),
+	.B1(n_67),
+	.B2(n_33941),
+	.C1(n_166),
+	.Y(n_211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617799 (
+	.A1(n_104),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [34]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g617800 (
+	.A1(n_39165),
+	.A2(n_33898),
+	.B1(n_72),
+	.B2(n_34032),
+	.C1(n_39337),
+	.Y(n_210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g617801 (
+	.A1(n_39165),
+	.A2(n_33884),
+	.B1(n_72),
+	.B2(n_34018),
+	.C1(n_39338),
+	.Y(n_209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g617802 (
+	.A1(n_69),
+	.A2(n_33997),
+	.B1(n_66),
+	.B2(n_33825),
+	.C1(n_39339),
+	.Y(n_208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g617803 (
+	.A1(n_39165),
+	.A2(n_33897),
+	.B1(n_72),
+	.B2(n_34031),
+	.C1(n_39341),
+	.Y(n_207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g617804 (
+	.A1(n_39165),
+	.A2(n_33880),
+	.B1(n_72),
+	.B2(n_34014),
+	.C1(n_39342),
+	.Y(n_206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g617805 (
+	.A1(n_69),
+	.A2(n_34002),
+	.B1(n_66),
+	.B2(n_33830),
+	.C1(n_39344),
+	.Y(n_205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g617806 (
+	.A1(n_39165),
+	.A2(n_33894),
+	.B1(n_72),
+	.B2(n_34028),
+	.C1(n_39324),
+	.Y(n_204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g617807 (
+	.A1(n_39165),
+	.A2(n_33876),
+	.B1(n_72),
+	.B2(n_34010),
+	.C1(n_39347),
+	.Y(n_203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g617808 (
+	.A1(n_69),
+	.A2(n_33993),
+	.B1(n_66),
+	.B2(n_33821),
+	.C1(n_39348),
+	.Y(n_202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g617809 (
+	.A1(n_70),
+	.A2(n_33910),
+	.B1(n_69),
+	.B2(n_33976),
+	.C1(n_39349),
+	.Y(n_201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g617810 (
+	.A1(n_39165),
+	.A2(n_33893),
+	.B1(n_72),
+	.B2(n_34027),
+	.C1(n_39350),
+	.Y(n_200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g617811 (
+	.A1(n_39165),
+	.A2(n_33902),
+	.B1(n_72),
+	.B2(n_34036),
+	.C1(n_39345),
+	.Y(n_199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g617812 (
+	.A1(n_39165),
+	.A2(n_33874),
+	.B1(n_72),
+	.B2(n_34008),
+	.C1(n_39351),
+	.Y(n_198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g617813 (
+	.A1(n_39165),
+	.A2(n_33873),
+	.B1(n_57),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [31]),
+	.C1(n_39352),
+	.Y(n_197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g617814 (
+	.A1(n_39165),
+	.A2(n_33885),
+	.B1(n_72),
+	.B2(n_34019),
+	.C1(n_39336),
+	.Y(n_196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g617838 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_valid_ff ),
+	.A2(n_60),
+	.B1(n_31386),
+	.C1(n_30931),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g617844 (
+	.A(n_31407),
+	.B(n_31406),
+	.C(n_39184),
+	.D(n_58),
+	.Y(n_167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617845 (
+	.A1(n_69),
+	.A2(n_33973),
+	.B1(n_66),
+	.B2(n_33801),
+	.X(n_166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g617846 (
+	.A1(n_39165),
+	.A2(n_33872),
+	.B1(n_66),
+	.B2(n_33800),
+	.Y(n_165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g617847 (
+	.A1(n_39165),
+	.A2(n_33891),
+	.B1(n_67),
+	.B2(n_33959),
+	.Y(n_164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g617848 (
+	.A1(n_39165),
+	.A2(n_33901),
+	.B1(n_72),
+	.B2(n_34035),
+	.Y(n_163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g617849 (
+	.A1(n_70),
+	.A2(n_33925),
+	.B1(n_69),
+	.B2(n_33991),
+	.Y(n_162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g617850 (
+	.A1(n_66),
+	.A2(n_33829),
+	.B1(n_65),
+	.B2(n_33865),
+	.Y(n_161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g617851 (
+	.A1(n_66),
+	.A2(n_33819),
+	.B1(n_65),
+	.B2(n_33855),
+	.Y(n_160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g617852 (
+	.A1(n_67),
+	.A2(n_33968),
+	.B1(n_69),
+	.B2(n_34000),
+	.Y(n_159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g617853 (
+	.A1(n_71),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [15]),
+	.B1(n_69),
+	.B2(n_33990),
+	.Y(n_158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g617854 (
+	.A1(n_70),
+	.A2(n_33924),
+	.B1(n_66),
+	.B2(n_33818),
+	.Y(n_157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g617855 (
+	.A1(n_66),
+	.A2(n_33828),
+	.B1(n_65),
+	.B2(n_33864),
+	.Y(n_156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g617856 (
+	.A1(n_39165),
+	.A2(n_33890),
+	.B1(n_72),
+	.B2(n_34024),
+	.Y(n_155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g617857 (
+	.A1(n_71),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [14]),
+	.B1(n_67),
+	.B2(n_33957),
+	.Y(n_154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g617858 (
+	.A1(n_39165),
+	.A2(n_33900),
+	.B1(n_72),
+	.B2(n_34034),
+	.Y(n_153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g617859 (
+	.A1(n_71),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [13]),
+	.B1(n_67),
+	.B2(n_33956),
+	.Y(n_152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g617860 (
+	.A1(n_69),
+	.A2(n_33999),
+	.B1(n_72),
+	.B2(n_34033),
+	.Y(n_151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g617861 (
+	.A1(n_70),
+	.A2(n_33915),
+	.B1(n_66),
+	.B2(n_33809),
+	.Y(n_150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g617862 (
+	.A1(n_66),
+	.A2(n_33827),
+	.B1(n_65),
+	.B2(n_33863),
+	.Y(n_149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g617863 (
+	.A1(n_71),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [24]),
+	.B1(n_67),
+	.B2(n_33967),
+	.Y(n_148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g617864 (
+	.A1(n_70),
+	.A2(n_33920),
+	.B1(n_67),
+	.B2(n_33954),
+	.Y(n_147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g617865 (
+	.A1(n_71),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [23]),
+	.B1(n_67),
+	.B2(n_33966),
+	.Y(n_146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g617866 (
+	.A1(n_39165),
+	.A2(n_33886),
+	.B1(n_72),
+	.B2(n_34020),
+	.Y(n_145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g617867 (
+	.A1(n_66),
+	.A2(n_33814),
+	.B1(n_65),
+	.B2(n_33850),
+	.Y(n_144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g617868 (
+	.A1(n_71),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [10]),
+	.B1(n_67),
+	.B2(n_33953),
+	.Y(n_143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g617869 (
+	.A(n_64),
+	.B(n_271733_BAR),
+	.C(n_30513),
+	.D(n_314068_BAR),
+	.Y(n_142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g617870 (
+	.A1(n_71),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [22]),
+	.B1(n_67),
+	.B2(n_33965),
+	.Y(n_141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g617871 (
+	.A1(n_71),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [9]),
+	.B1(n_67),
+	.B2(n_33952),
+	.Y(n_140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g617872 (
+	.A1(n_71),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [8]),
+	.B1(n_39165),
+	.B2(n_33883),
+	.Y(n_139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g617873 (
+	.A1(n_66),
+	.A2(n_33811),
+	.B1(n_65),
+	.B2(n_33847),
+	.Y(n_138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g617874 (
+	.A1(n_70),
+	.A2(n_33917),
+	.B1(n_69),
+	.B2(n_33983),
+	.Y(n_137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g617875 (
+	.A1(n_39165),
+	.A2(n_33896),
+	.B1(n_67),
+	.B2(n_33964),
+	.Y(n_136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g617876 (
+	.A1(n_70),
+	.A2(n_33916),
+	.B1(n_72),
+	.B2(n_34016),
+	.Y(n_135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g617877 (
+	.A1(n_71),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [27]),
+	.B1(n_67),
+	.B2(n_33970),
+	.Y(n_134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g617878 (
+	.A1(n_66),
+	.A2(n_33810),
+	.B1(n_65),
+	.B2(n_33846),
+	.Y(n_133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g617879 (
+	.A1(n_71),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [7]),
+	.B1(n_67),
+	.B2(n_33950),
+	.Y(n_132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g617880 (
+	.A1(n_70),
+	.A2(n_33930),
+	.B1(n_69),
+	.B2(n_33996),
+	.Y(n_131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g617881 (
+	.A1(n_69),
+	.A2(n_33981),
+	.B1(n_72),
+	.B2(n_34015),
+	.Y(n_130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g617882 (
+	.A1(n_66),
+	.A2(n_33824),
+	.B1(n_65),
+	.B2(n_33860),
+	.Y(n_129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g617883 (
+	.A1(n_71),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [6]),
+	.B1(n_67),
+	.B2(n_33949),
+	.Y(n_128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g617884 (
+	.A1(n_71),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [12]),
+	.B1(n_67),
+	.B2(n_33955),
+	.Y(n_127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g617885 (
+	.A1(n_39165),
+	.A2(n_33895),
+	.B1(n_67),
+	.B2(n_33963),
+	.Y(n_126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g617886 (
+	.A1(n_71),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [5]),
+	.B1(n_67),
+	.B2(n_33948),
+	.Y(n_125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g617887 (
+	.A1(n_70),
+	.A2(n_33929),
+	.B1(n_66),
+	.B2(n_33823),
+	.Y(n_124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g617888 (
+	.A1(n_69),
+	.A2(n_33979),
+	.B1(n_72),
+	.B2(n_34013),
+	.Y(n_123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g617889 (
+	.A1(n_69),
+	.A2(n_33995),
+	.B1(n_65),
+	.B2(n_33859),
+	.Y(n_122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g617890 (
+	.A1(n_71),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [4]),
+	.B1(n_67),
+	.B2(n_33947),
+	.Y(n_121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g617891 (
+	.A1(n_66),
+	.A2(n_33807),
+	.B1(n_65),
+	.B2(n_33843),
+	.Y(n_120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g617892 (
+	.A1(n_67),
+	.A2(n_33946),
+	.B1(n_72),
+	.B2(n_34012),
+	.Y(n_119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g617893 (
+	.A1(n_71),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [19]),
+	.B1(n_67),
+	.B2(n_33962),
+	.Y(n_118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g617894 (
+	.A1(n_69),
+	.A2(n_33978),
+	.B1(n_65),
+	.B2(n_33842),
+	.Y(n_117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g617895 (
+	.A1(n_70),
+	.A2(n_33912),
+	.B1(n_66),
+	.B2(n_33806),
+	.Y(n_116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g617896 (
+	.A1(n_70),
+	.A2(n_33911),
+	.B1(n_72),
+	.B2(n_34011),
+	.Y(n_115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g617897 (
+	.A1(n_71),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [2]),
+	.B1(n_67),
+	.B2(n_33945),
+	.Y(n_114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g617898 (
+	.A1(n_66),
+	.A2(n_33805),
+	.B1(n_65),
+	.B2(n_33841),
+	.Y(n_113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g617899 (
+	.A1(n_71),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [18]),
+	.B1(n_67),
+	.B2(n_33961),
+	.Y(n_112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g617900 (
+	.A1(n_71),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [1]),
+	.B1(n_67),
+	.B2(n_33944),
+	.Y(n_111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g617901 (
+	.A1(n_69),
+	.A2(n_33975),
+	.B1(n_72),
+	.B2(n_34009),
+	.Y(n_110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g617902 (
+	.A1(n_66),
+	.A2(n_33803),
+	.B1(n_65),
+	.B2(n_33839),
+	.Y(n_109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g617903 (
+	.A1(n_71),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [0]),
+	.B1(n_67),
+	.B2(n_33943),
+	.Y(n_108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g617904 (
+	.A1(n_67),
+	.A2(n_33969),
+	.B1(n_69),
+	.B2(n_34001),
+	.Y(n_107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g617905 (
+	.A1(n_71),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [32]),
+	.B1(n_67),
+	.B2(n_33942),
+	.Y(n_106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g617906 (
+	.A1(n_71),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [17]),
+	.B1(n_67),
+	.B2(n_33960),
+	.Y(n_105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g617907 (
+	.A(n_53),
+	.B(n_32980),
+	.COUT(n_103),
+	.SUM(n_104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g617910 (
+	.A(n_65),
+	.B(n_33837),
+	.Y(n_100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g617912 (
+	.A(n_67),
+	.B(n_33940),
+	.Y(n_98), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617938 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [100]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [164]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [132]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617939 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [99]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [163]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [131]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617940 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [98]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [162]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [130]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617941 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [97]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [161]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [129]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617942 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [159]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [223]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [191]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617943 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [158]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [222]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [190]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617944 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [157]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [221]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [189]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617945 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [156]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [220]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [188]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617946 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [155]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [219]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [187]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617947 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [154]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [218]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [186]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617948 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [153]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [217]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [185]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617949 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [152]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [216]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [184]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617950 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [151]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [215]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [183]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617951 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [150]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [214]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [182]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617952 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [149]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [213]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [181]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617953 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [148]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [212]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [180]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617954 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [147]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [211]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [179]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617955 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [146]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [210]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [178]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617956 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [145]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [209]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [177]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617957 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [144]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [208]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [176]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617958 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [143]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [207]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [175]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617959 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [142]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [206]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [174]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617960 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [141]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [205]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [173]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617961 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [140]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [204]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [172]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617962 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [139]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [203]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [171]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617963 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [138]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [202]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [170]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617964 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [137]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [201]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [169]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617965 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [136]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [200]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [168]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617966 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [135]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [199]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [167]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617967 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [134]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [198]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [166]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617968 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [133]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [197]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [165]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617969 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [132]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [196]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [164]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617970 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [131]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [195]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [163]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617971 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [130]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [194]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [162]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617972 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [129]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [193]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [161]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617973 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [128]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [192]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [160]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617974 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [171]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [235]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [203]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617975 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [118]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [182]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [150]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617976 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [101]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [165]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [133]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g617977 (
+	.A1_N(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [33]),
+	.A2_N(n_56),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_bloc_f [1]),
+	.B2(n_63),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617978 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [31]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [95]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [63]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617979 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [30]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [94]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [62]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617980 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [29]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [93]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [61]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617981 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [28]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [92]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [60]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617982 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [27]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [91]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [59]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617983 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [26]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [90]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [58]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617984 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [25]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [89]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [57]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617985 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [24]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [88]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [56]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617986 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [23]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [87]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [55]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617987 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [22]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [86]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [54]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617988 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [21]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [85]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [53]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617989 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [20]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [84]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [52]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617990 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [19]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [83]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [51]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617991 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [18]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [82]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [50]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617992 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [17]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [81]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [49]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617993 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [16]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [80]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [48]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617994 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [15]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [79]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [47]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617995 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [14]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [78]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [46]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617996 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [13]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [77]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [45]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617997 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [12]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [76]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [44]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617998 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [11]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [75]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [43]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g617999 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [10]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [74]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [42]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618000 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [9]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [73]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [41]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618001 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [8]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [72]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [40]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618002 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [7]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [71]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [39]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618003 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [6]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [70]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [38]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618004 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [5]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [69]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [37]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618005 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [4]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [68]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [36]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618006 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [3]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [67]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [35]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618007 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [2]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [66]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [34]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618008 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [1]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [65]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [33]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618009 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [0]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [64]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [32]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618010 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [63]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [127]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [95]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618011 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [62]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [126]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [94]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618012 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [61]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [125]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [93]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618013 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [60]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [124]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [92]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618014 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [96]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [160]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [128]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618015 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [59]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [123]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [91]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618016 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [58]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [122]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [90]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618017 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [57]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [121]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [89]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618018 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [56]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [120]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [88]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618019 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [102]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [166]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [134]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618020 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [54]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [118]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [86]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618021 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [53]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [117]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [85]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618022 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [52]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [116]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [84]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618023 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [51]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [115]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [83]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618024 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [50]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [114]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [82]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618025 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [49]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [113]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [81]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618026 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [48]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [112]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [80]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618027 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [47]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [111]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [79]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618028 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [46]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [110]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [78]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618029 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [45]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [109]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [77]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618030 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [44]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [108]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [76]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618031 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [43]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [107]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [75]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618032 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [42]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [106]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [74]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618033 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [41]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [105]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [73]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618034 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [40]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [104]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [72]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618035 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [39]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [103]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [71]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618036 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [38]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [102]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [70]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618037 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [37]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [101]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [69]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618038 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [36]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [100]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [68]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618039 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [35]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [99]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [67]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618040 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [34]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [98]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [66]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618041 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [33]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [97]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [65]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618042 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [32]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [96]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [64]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618043 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [95]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [159]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [127]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618044 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [94]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [158]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [126]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618045 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [110]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [174]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [142]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618046 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [93]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [157]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [125]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618047 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [92]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [156]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [124]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618048 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [91]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [155]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [123]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618049 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [90]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [154]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [122]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618050 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [89]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [153]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [121]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618051 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [88]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [152]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [120]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618052 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [87]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [151]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [119]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618053 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [86]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [150]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [118]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618054 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [85]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [149]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [117]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618055 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [84]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [148]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [116]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618056 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [83]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [147]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [115]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618057 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [82]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [146]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [114]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618058 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [81]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [145]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [113]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618059 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [80]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [144]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [112]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618060 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [79]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [143]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [111]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618061 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [78]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [142]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [110]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618062 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [77]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [141]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [109]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618063 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [76]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [140]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [108]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618064 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [75]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [139]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [107]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618065 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [74]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [138]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [106]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618066 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [73]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [137]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [105]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618067 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [72]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [136]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [104]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618068 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [71]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [135]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [103]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618069 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [70]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [134]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [102]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618070 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [69]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [133]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [101]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618071 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [68]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [132]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [100]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618072 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [67]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [131]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [99]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618073 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [66]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [130]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [98]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618074 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [65]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [129]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [97]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618075 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [64]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [128]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [96]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618076 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [191]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [255]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [223]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618077 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [190]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [254]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [222]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618078 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [189]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [253]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [221]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618079 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [188]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [252]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [220]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618080 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [187]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [251]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [219]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618081 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [186]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [250]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [218]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618082 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [185]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [249]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [217]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618083 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [184]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [248]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [216]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618084 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [183]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [247]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [215]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618085 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [182]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [246]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [214]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618086 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [181]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [245]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [213]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618087 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [180]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [244]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [212]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618088 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [179]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [243]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [211]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618089 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [178]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [242]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [210]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618090 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [177]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [241]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [209]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618091 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [175]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [239]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [207]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618092 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [174]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [238]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [206]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618093 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [173]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [237]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [205]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618094 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [172]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [236]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [204]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618095 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [170]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [234]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [202]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618096 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [169]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [233]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [201]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618097 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [168]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [232]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [200]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618098 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [167]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [231]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [199]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618099 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [166]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [230]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [198]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618100 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [165]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [229]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [197]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618101 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [164]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [228]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [196]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618102 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [163]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [227]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [195]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618103 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [162]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [226]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [194]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618104 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [161]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [225]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [193]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618105 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [160]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [224]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [192]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618106 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [127]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [191]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [159]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618107 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [126]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [190]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [158]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618108 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [125]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [189]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [157]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618109 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [124]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [188]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [156]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618110 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [123]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [187]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [155]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618111 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [122]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [186]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [154]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618112 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [121]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [185]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [153]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618113 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [120]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [184]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [152]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618114 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [119]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [183]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [151]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618115 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [117]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [181]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [149]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618116 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [116]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [180]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [148]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618117 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [115]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [179]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [147]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618118 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [176]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [240]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [208]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618119 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [114]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [178]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [146]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618120 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [113]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [177]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [145]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618121 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [112]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [176]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [144]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618122 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [111]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [175]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [143]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618123 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [109]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [173]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [141]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618124 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [108]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [172]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [140]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618125 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [107]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [171]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [139]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618126 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [106]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [170]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [138]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618127 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [105]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [169]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [137]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618128 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [104]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [168]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [136]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618129 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [103]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [167]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [135]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618130 (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [55]),
+	.B1(n_56),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [119]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [87]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g618131 (
+	.A(n_72),
+	.Y(n_32284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g618132 (
+	.A(n_71),
+	.Y(n_32286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g618133 (
+	.A(n_70),
+	.Y(n_32285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g618136 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_valid_ff ),
+	.A2(n_40),
+	.B1(n_31386),
+	.C1(n_30994),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g618137 (
+	.A(n_60),
+	.B_N(n_57),
+	.Y(n_72), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g618138 (
+	.A(n_57),
+	.B(n_60),
+	.X(n_71), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g618139 (
+	.A(n_51),
+	.B(n_60),
+	.X(n_70), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g618140 (
+	.A(n_60),
+	.B_N(n_51),
+	.Y(n_69), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g618144 (
+	.A(n_65),
+	.Y(n_32288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g618146 (
+	.A1(n_56),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [32]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g618147 (
+	.A1(n_39143),
+	.A2(brqrv_top_brqrv_dec_tlu_dpc[30]),
+	.B1(n_33175),
+	.B2(brqrv_top_brqrv_dec_tlu_mdseac[30]),
+	.C1(n_62),
+	.Y(n_64), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g618148 (
+	.A(n_59),
+	.B(n_50),
+	.Y(n_67), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g618149 (
+	.A(n_60),
+	.B_N(n_52),
+	.Y(n_66), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g618150 (
+	.A(n_60),
+	.B(n_50),
+	.Y(n_65), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g618151 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.Y(n_63), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618152 (
+	.A1(n_353690_BAR),
+	.A2(lsu_axi_wdata[56]),
+	.B1(n_29893),
+	.B2(lsu_axi_wdata[24]),
+	.X(io_out[32]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g618153 (
+	.A1(n_30474),
+	.A2(n_30476),
+	.B1(n_31570),
+	.Y(n_31040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618154 (
+	.A1(n_353690_BAR),
+	.A2(lsu_axi_wdata[36]),
+	.B1(n_29893),
+	.B2(lsu_axi_wdata[4]),
+	.X(io_out[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g618155 (
+	.A1(n_45),
+	.A2(n_30500),
+	.B1(n_32192),
+	.C1(n_300427_BAR),
+	.Y(n_62), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618156 (
+	.A1(n_353690_BAR),
+	.A2(lsu_axi_wdata[37]),
+	.B1(n_29893),
+	.B2(lsu_axi_wdata[5]),
+	.X(io_out[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618157 (
+	.A1(n_353690_BAR),
+	.A2(lsu_axi_wdata[50]),
+	.B1(n_29893),
+	.B2(lsu_axi_wdata[18]),
+	.X(io_out[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618158 (
+	.A1(n_353690_BAR),
+	.A2(lsu_axi_wdata[42]),
+	.B1(n_29893),
+	.B2(lsu_axi_wdata[10]),
+	.X(io_out[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618159 (
+	.A1(n_353690_BAR),
+	.A2(lsu_axi_wdata[49]),
+	.B1(n_29893),
+	.B2(lsu_axi_wdata[17]),
+	.X(io_out[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618160 (
+	.A1(n_353690_BAR),
+	.A2(lsu_axi_wdata[40]),
+	.B1(n_29893),
+	.B2(lsu_axi_wdata[8]),
+	.X(io_out[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618162 (
+	.A1(n_353690_BAR),
+	.A2(lsu_axi_wdata[38]),
+	.B1(n_29893),
+	.B2(lsu_axi_wdata[6]),
+	.X(io_out[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618163 (
+	.A1(n_353690_BAR),
+	.A2(lsu_axi_wdata[34]),
+	.B1(n_29893),
+	.B2(lsu_axi_wdata[2]),
+	.X(io_out[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618164 (
+	.A1(n_353690_BAR),
+	.A2(lsu_axi_wdata[48]),
+	.B1(n_29893),
+	.B2(lsu_axi_wdata[16]),
+	.X(io_out[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618165 (
+	.A1(n_353690_BAR),
+	.A2(lsu_axi_wdata[33]),
+	.B1(n_29893),
+	.B2(lsu_axi_wdata[1]),
+	.X(io_out[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618166 (
+	.A1(n_353690_BAR),
+	.A2(lsu_axi_wdata[32]),
+	.B1(n_29893),
+	.B2(lsu_axi_wdata[0]),
+	.X(io_out[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618167 (
+	.A1(n_353690_BAR),
+	.A2(lsu_axi_wdata[35]),
+	.B1(n_29893),
+	.B2(lsu_axi_wdata[3]),
+	.X(io_out[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618168 (
+	.A1(n_353690_BAR),
+	.A2(lsu_axi_wdata[47]),
+	.B1(n_29893),
+	.B2(lsu_axi_wdata[15]),
+	.X(io_out[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618169 (
+	.A1(n_353690_BAR),
+	.A2(lsu_axi_wdata[59]),
+	.B1(n_29893),
+	.B2(lsu_axi_wdata[27]),
+	.X(io_out[35]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_4 g618170 (
+	.A(n_46),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_btb_sel_data_f [2]),
+	.C(n_43),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g618171 (
+	.A(n_60),
+	.Y(n_59), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618172 (
+	.A1(n_353690_BAR),
+	.A2(lsu_axi_wdata[54]),
+	.B1(n_29893),
+	.B2(lsu_axi_wdata[22]),
+	.X(io_out[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618173 (
+	.A1(n_353690_BAR),
+	.A2(lsu_axi_wdata[62]),
+	.B1(n_29893),
+	.B2(lsu_axi_wdata[30]),
+	.X(la_data_out[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618174 (
+	.A1(n_353690_BAR),
+	.A2(lsu_axi_wdata[45]),
+	.B1(n_29893),
+	.B2(lsu_axi_wdata[13]),
+	.X(io_out[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618175 (
+	.A1(n_353690_BAR),
+	.A2(lsu_axi_wdata[46]),
+	.B1(n_29893),
+	.B2(lsu_axi_wdata[14]),
+	.X(io_out[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618176 (
+	.A1(n_353690_BAR),
+	.A2(lsu_axi_wdata[60]),
+	.B1(n_29893),
+	.B2(lsu_axi_wdata[28]),
+	.X(la_data_out[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618177 (
+	.A1(n_353690_BAR),
+	.A2(lsu_axi_wdata[44]),
+	.B1(n_29893),
+	.B2(lsu_axi_wdata[12]),
+	.X(io_out[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618178 (
+	.A1(n_353690_BAR),
+	.A2(lsu_axi_wdata[43]),
+	.B1(n_29893),
+	.B2(lsu_axi_wdata[11]),
+	.X(io_out[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618179 (
+	.A1(n_353690_BAR),
+	.A2(lsu_axi_wdata[58]),
+	.B1(n_29893),
+	.B2(lsu_axi_wdata[26]),
+	.X(io_out[34]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618180 (
+	.A1(n_353690_BAR),
+	.A2(lsu_axi_wdata[53]),
+	.B1(n_29893),
+	.B2(lsu_axi_wdata[21]),
+	.X(io_out[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618181 (
+	.A1(n_353690_BAR),
+	.A2(lsu_axi_wdata[55]),
+	.B1(n_29893),
+	.B2(lsu_axi_wdata[23]),
+	.X(io_out[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618182 (
+	.A1(n_353690_BAR),
+	.A2(lsu_axi_wdata[61]),
+	.B1(n_29893),
+	.B2(lsu_axi_wdata[29]),
+	.X(la_data_out[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618183 (
+	.A1(n_353690_BAR),
+	.A2(lsu_axi_wdata[41]),
+	.B1(n_29893),
+	.B2(lsu_axi_wdata[9]),
+	.X(io_out[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618184 (
+	.A1(n_353690_BAR),
+	.A2(lsu_axi_wdata[57]),
+	.B1(n_29893),
+	.B2(lsu_axi_wdata[25]),
+	.X(io_out[33]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618185 (
+	.A1(n_353690_BAR),
+	.A2(lsu_axi_wdata[63]),
+	.B1(n_29893),
+	.B2(lsu_axi_wdata[31]),
+	.X(la_data_out[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618186 (
+	.A1(n_353690_BAR),
+	.A2(lsu_axi_wdata[39]),
+	.B1(n_29893),
+	.B2(lsu_axi_wdata[7]),
+	.X(io_out[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618187 (
+	.A1(n_353690_BAR),
+	.A2(lsu_axi_wdata[51]),
+	.B1(n_29893),
+	.B2(lsu_axi_wdata[19]),
+	.X(io_out[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g618188 (
+	.A1(n_353690_BAR),
+	.A2(lsu_axi_wdata[52]),
+	.B1(n_29893),
+	.B2(lsu_axi_wdata[20]),
+	.X(io_out[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g618189 (
+	.A(n_31397),
+	.B(n_31401),
+	.C(n_30999),
+	.D_N(n_31399),
+	.Y(n_58), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 g618190 (
+	.A(n_32299),
+	.B(n_32292),
+	.C(n_32296),
+	.D(n_32300),
+	.Y(n_60), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g618192 (
+	.A(n_32777),
+	.B_N(n_33101),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g618193 (
+	.A(n_32777),
+	.B_N(n_33098),
+	.Y(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g618195 (
+	.A(n_32291),
+	.B(n_32289),
+	.Y(n_57), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g618196 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_sel_data_f [4]),
+	.B_N(\brqrv_top_brqrv_ifu_bpred.bp_bp_total_branch_offset_f [1]),
+	.Y(n_53), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g618197 (
+	.A(n_44),
+	.B(n_43),
+	.X(n_56), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g618198 (
+	.A(n_33176),
+	.B(brqrv_top_brqrv_dec_tlu_dicad0h[30]),
+	.Y(n_49), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g618201 (
+	.A(n_40),
+	.B(n_32293),
+	.Y(n_52), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g618202 (
+	.A(n_32291),
+	.B(n_32293),
+	.Y(n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g618203 (
+	.A_N(n_32289),
+	.B(n_32291),
+	.Y(n_50), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g618204 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_btb_sel_data_f [1]),
+	.Y(n_46), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g618205 (
+	.A(brqrv_top_brqrv_dec_tlu_mrac_ff_0[30]),
+	.Y(n_45), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g618206 (
+	.A(n_30475),
+	.Y(n_44), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g618207 (
+	.A(n_30476),
+	.Y(n_43), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g618210 (
+	.A(n_32291),
+	.Y(n_40), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g851357 (
+	.A(n_33688),
+	.B_N(n_290),
+	.Y(n_39), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g851358 (
+	.A(n_38),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g851359 (
+	.A(n_37),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[62]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g851360 (
+	.A(n_36),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[61]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g851361 (
+	.A(n_35),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g851362 (
+	.A(n_34),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[63]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g851363 (
+	.A(n_33),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g851364 (
+	.A(n_32),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[58]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g851365 (
+	.A(n_31),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g851366 (
+	.A1(n_31236),
+	.A2(n_7),
+	.B1(n_18),
+	.B2(n_22),
+	.C1(n_2),
+	.C2(n_33263),
+	.Y(n_38), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g851367 (
+	.A1(n_31239),
+	.A2(n_12),
+	.B1(n_19),
+	.B2(n_14),
+	.C1(n_1),
+	.C2(n_33266),
+	.Y(n_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g851368 (
+	.A1(n_31238),
+	.A2(n_12),
+	.B1(n_19),
+	.B2(n_21),
+	.C1(n_1),
+	.C2(n_33265),
+	.Y(n_36), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g851369 (
+	.A1(n_31237),
+	.A2(n_7),
+	.B1(n_18),
+	.B2(n_17),
+	.C1(n_2),
+	.C2(n_33264),
+	.Y(n_35), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g851370 (
+	.A1(n_31240),
+	.A2(n_12),
+	.B1(n_19),
+	.B2(n_13),
+	.C1(n_1),
+	.C2(n_33267),
+	.Y(n_34), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g851371 (
+	.A1(n_31235),
+	.A2(n_7),
+	.B1(n_18),
+	.B2(n_20),
+	.C1(n_2),
+	.C2(n_33262),
+	.Y(n_33), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g851372 (
+	.A1(n_31235),
+	.A2(n_12),
+	.B1(n_19),
+	.B2(n_20),
+	.C1(n_1),
+	.C2(n_33262),
+	.Y(n_32), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g851373 (
+	.A1(n_31234),
+	.A2(n_7),
+	.B1(n_18),
+	.B2(n_15),
+	.C1(n_2),
+	.C2(n_33261),
+	.Y(n_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g851374 (
+	.A(n_30),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[56]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g851375 (
+	.A(n_29),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[60]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g851376 (
+	.A(n_28),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[57]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g851377 (
+	.A(n_27),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[59]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g851378 (
+	.A(n_26),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g851379 (
+	.A(n_25),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g851380 (
+	.A(n_24),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g851381 (
+	.A(n_23),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g851382 (
+	.A1(n_31233),
+	.A2(n_12),
+	.B1(n_19),
+	.B2(n_16),
+	.C1(n_1),
+	.C2(n_33260),
+	.Y(n_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g851383 (
+	.A1(n_31237),
+	.A2(n_12),
+	.B1(n_19),
+	.B2(n_17),
+	.C1(n_1),
+	.C2(n_33264),
+	.Y(n_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g851384 (
+	.A1(n_31234),
+	.A2(n_12),
+	.B1(n_19),
+	.B2(n_15),
+	.C1(n_1),
+	.C2(n_33261),
+	.Y(n_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g851385 (
+	.A1(n_31236),
+	.A2(n_12),
+	.B1(n_19),
+	.B2(n_22),
+	.C1(n_1),
+	.C2(n_33263),
+	.Y(n_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g851386 (
+	.A1(n_31233),
+	.A2(n_7),
+	.B1(n_18),
+	.B2(n_16),
+	.C1(n_2),
+	.C2(n_33260),
+	.Y(n_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g851387 (
+	.A1(n_31240),
+	.A2(n_7),
+	.B1(n_18),
+	.B2(n_13),
+	.C1(n_2),
+	.C2(n_33267),
+	.Y(n_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g851388 (
+	.A1(n_31239),
+	.A2(n_7),
+	.B1(n_18),
+	.B2(n_14),
+	.C1(n_2),
+	.C2(n_33266),
+	.Y(n_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g851389 (
+	.A1(n_31238),
+	.A2(n_7),
+	.B1(n_18),
+	.B2(n_21),
+	.C1(n_2),
+	.C2(n_33265),
+	.Y(n_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g851390 (
+	.A(n_326254_BAR),
+	.B(n_10),
+	.C(n_32098),
+	.Y(n_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g851391 (
+	.A(n_326218_BAR),
+	.B(n_9),
+	.C(n_32100),
+	.Y(n_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g851392 (
+	.A(n_326272_BAR),
+	.B(n_8),
+	.C(n_32097),
+	.Y(n_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g851393 (
+	.A(n_31298),
+	.B(n_12),
+	.Y(n_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g605127 (
+	.A(n_32450),
+	.B(n_7),
+	.Y(n_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g605128 (
+	.A(n_326236_BAR),
+	.B(n_6),
+	.C(n_32099),
+	.Y(n_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g605129 (
+	.A(n_326308_BAR),
+	.B(n_5),
+	.C(n_32095),
+	.Y(n_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g605130 (
+	.A(n_326290_BAR),
+	.B(n_4),
+	.C(n_32096),
+	.Y(n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g605131 (
+	.A(n_326201_BAR),
+	.B(n_3),
+	.C(n_32101),
+	.Y(n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g605132 (
+	.A(n_326183_BAR),
+	.B(n_11),
+	.C(n_32102),
+	.Y(n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g605133 (
+	.A(n_31241),
+	.Y(n_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605134 (
+	.A(n_0),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[31]),
+	.Y(n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605135 (
+	.A(n_0),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[27]),
+	.Y(n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605136 (
+	.A(n_0),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[29]),
+	.Y(n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605137 (
+	.A(n_0),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[26]),
+	.Y(n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g605138 (
+	.A_N(n_32452),
+	.B(n_31243),
+	.Y(n_31241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g605139 (
+	.A(n_31242),
+	.Y(n_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605140 (
+	.A(n_0),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[28]),
+	.Y(n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605141 (
+	.A(n_0),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[24]),
+	.Y(n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605142 (
+	.A(n_0),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[25]),
+	.Y(n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g605143 (
+	.A(n_0),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[30]),
+	.Y(n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g605144 (
+	.A_N(n_32448),
+	.B(n_31243),
+	.Y(n_31242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_g772 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[31]),
+	.B(n_34187),
+	.CIN(addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_60),
+	.COUT(n_34154),
+	.SUM(n_34155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_g773 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[30]),
+	.B(n_34186),
+	.CIN(addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_58),
+	.COUT(addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_60),
+	.SUM(n_34153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_g774 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[29]),
+	.B(n_34185),
+	.CIN(addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_56),
+	.COUT(addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_58),
+	.SUM(n_34152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_g775 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[28]),
+	.B(n_34184),
+	.CIN(addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_54),
+	.COUT(addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_56),
+	.SUM(n_34151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_g776 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[27]),
+	.B(n_34183),
+	.CIN(addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_52),
+	.COUT(addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_54),
+	.SUM(n_34150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_g777 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[26]),
+	.B(n_34182),
+	.CIN(addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_50),
+	.COUT(addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_52),
+	.SUM(n_34149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_g778 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[25]),
+	.B(n_34181),
+	.CIN(addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_48),
+	.COUT(addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_50),
+	.SUM(n_34148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_g779 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[24]),
+	.B(n_34180),
+	.CIN(addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_46),
+	.COUT(addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_48),
+	.SUM(n_34147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_g780 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[23]),
+	.B(n_34179),
+	.CIN(addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_44),
+	.COUT(addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_46),
+	.SUM(n_34146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_g781 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[22]),
+	.B(n_34178),
+	.CIN(addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_42),
+	.COUT(addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_44),
+	.SUM(n_34145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_g782 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[21]),
+	.B(n_34177),
+	.CIN(addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_40),
+	.COUT(addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_42),
+	.SUM(n_34144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_g783 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[20]),
+	.B(n_34176),
+	.CIN(addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_38),
+	.COUT(addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_40),
+	.SUM(n_34143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_g784 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[19]),
+	.B(n_34175),
+	.CIN(addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_36),
+	.COUT(addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_38),
+	.SUM(n_34142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_g785 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[18]),
+	.B(n_34174),
+	.CIN(addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_34),
+	.COUT(addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_36),
+	.SUM(n_34141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_g786 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[17]),
+	.B(n_34173),
+	.CIN(addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_32),
+	.COUT(addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_34),
+	.SUM(n_34140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_g787 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[16]),
+	.B(n_34172),
+	.CIN(addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_30),
+	.COUT(addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_32),
+	.SUM(n_34139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_g788 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[15]),
+	.B(n_34171),
+	.CIN(addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_28),
+	.COUT(addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_30),
+	.SUM(n_34138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_g789 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[14]),
+	.B(n_34170),
+	.CIN(addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_26),
+	.COUT(addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_28),
+	.SUM(n_34137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_g790 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[13]),
+	.B(n_34169),
+	.CIN(addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_24),
+	.COUT(addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_26),
+	.SUM(n_34136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_g791 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[12]),
+	.B(n_34168),
+	.CIN(addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_22),
+	.COUT(addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_24),
+	.SUM(n_34135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_g792 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[11]),
+	.B(n_34167),
+	.CIN(addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_20),
+	.COUT(addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_22),
+	.SUM(n_34134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_g793 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[10]),
+	.B(n_34166),
+	.CIN(addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_18),
+	.COUT(addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_20),
+	.SUM(n_34133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_g794 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[9]),
+	.B(n_34165),
+	.CIN(addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_16),
+	.COUT(addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_18),
+	.SUM(n_34132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_g795 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[8]),
+	.B(n_34164),
+	.CIN(addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_14),
+	.COUT(addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_16),
+	.SUM(n_34131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_g796 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[7]),
+	.B(n_34163),
+	.CIN(addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_12),
+	.COUT(addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_14),
+	.SUM(n_34130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_g797 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[6]),
+	.B(n_34162),
+	.CIN(addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_10),
+	.COUT(addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_12),
+	.SUM(n_34129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_g798 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[5]),
+	.B(n_34161),
+	.CIN(addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_8),
+	.COUT(addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_10),
+	.SUM(n_34128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_g799 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[4]),
+	.B(n_34160),
+	.CIN(addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_6),
+	.COUT(addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_8),
+	.SUM(n_34127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_g800 (
+	.A(brqrv_top_brqrv_exu_i0_rs1_d[3]),
+	.B(n_34159),
+	.CIN(addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_4),
+	.COUT(addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_6),
+	.SUM(n_34126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_g801 (
+	.A(n_34158),
+	.B(brqrv_top_brqrv_exu_i0_rs1_d[2]),
+	.CIN(addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_2),
+	.COUT(addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_4),
+	.SUM(n_34125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_g802 (
+	.A(n_34157),
+	.B(brqrv_top_brqrv_exu_i0_rs1_d[1]),
+	.CIN(addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_0),
+	.COUT(addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_2),
+	.SUM(n_34124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_g803 (
+	.A(brqrv_top_brqrv_i0_ap[7]),
+	.B(n_34156),
+	.CIN(brqrv_top_brqrv_exu_i0_rs1_d[0]),
+	.COUT(addinc_brqrv_top_brqrv_exu_i_alu_add_11805_74_n_0),
+	.SUM(n_34060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_dbg_add_5334_143_g394 (
+	.A1_N(brqrv_top_brqrv_dbg_sbaddress0_reg[31]),
+	.A2_N(brqrv_top_brqrv_dbg_add_5334_143_n_60),
+	.B1(brqrv_top_brqrv_dbg_sbaddress0_reg[31]),
+	.B2(brqrv_top_brqrv_dbg_add_5334_143_n_60),
+	.Y(n_34948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 brqrv_top_brqrv_dbg_add_5334_143_g395 (
+	.A1(brqrv_top_brqrv_dbg_sbaddress0_reg[30]),
+	.A2(brqrv_top_brqrv_dbg_add_5334_143_n_59),
+	.B1(brqrv_top_brqrv_dbg_add_5334_143_n_60),
+	.X(n_34947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_dbg_add_5334_143_g396 (
+	.A(brqrv_top_brqrv_dbg_add_5334_143_n_59),
+	.B(brqrv_top_brqrv_dbg_sbaddress0_reg[30]),
+	.Y(brqrv_top_brqrv_dbg_add_5334_143_n_60), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5334_143_g397 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[29]),
+	.B(brqrv_top_brqrv_dbg_add_5334_143_n_56),
+	.COUT(brqrv_top_brqrv_dbg_add_5334_143_n_59),
+	.SUM(n_34946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5334_143_g398 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[28]),
+	.B(brqrv_top_brqrv_dbg_add_5334_143_n_54),
+	.COUT(brqrv_top_brqrv_dbg_add_5334_143_n_56),
+	.SUM(n_34945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5334_143_g399 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[27]),
+	.B(brqrv_top_brqrv_dbg_add_5334_143_n_52),
+	.COUT(brqrv_top_brqrv_dbg_add_5334_143_n_54),
+	.SUM(n_34944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5334_143_g400 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[26]),
+	.B(brqrv_top_brqrv_dbg_add_5334_143_n_50),
+	.COUT(brqrv_top_brqrv_dbg_add_5334_143_n_52),
+	.SUM(n_34943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5334_143_g401 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[25]),
+	.B(brqrv_top_brqrv_dbg_add_5334_143_n_48),
+	.COUT(brqrv_top_brqrv_dbg_add_5334_143_n_50),
+	.SUM(n_34942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5334_143_g402 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[24]),
+	.B(brqrv_top_brqrv_dbg_add_5334_143_n_46),
+	.COUT(brqrv_top_brqrv_dbg_add_5334_143_n_48),
+	.SUM(n_34941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5334_143_g403 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[23]),
+	.B(brqrv_top_brqrv_dbg_add_5334_143_n_44),
+	.COUT(brqrv_top_brqrv_dbg_add_5334_143_n_46),
+	.SUM(n_34940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5334_143_g404 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[22]),
+	.B(brqrv_top_brqrv_dbg_add_5334_143_n_42),
+	.COUT(brqrv_top_brqrv_dbg_add_5334_143_n_44),
+	.SUM(n_34939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5334_143_g405 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[21]),
+	.B(brqrv_top_brqrv_dbg_add_5334_143_n_40),
+	.COUT(brqrv_top_brqrv_dbg_add_5334_143_n_42),
+	.SUM(n_34938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5334_143_g406 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[20]),
+	.B(brqrv_top_brqrv_dbg_add_5334_143_n_38),
+	.COUT(brqrv_top_brqrv_dbg_add_5334_143_n_40),
+	.SUM(n_34937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5334_143_g407 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[19]),
+	.B(brqrv_top_brqrv_dbg_add_5334_143_n_36),
+	.COUT(brqrv_top_brqrv_dbg_add_5334_143_n_38),
+	.SUM(n_34936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5334_143_g408 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[18]),
+	.B(brqrv_top_brqrv_dbg_add_5334_143_n_34),
+	.COUT(brqrv_top_brqrv_dbg_add_5334_143_n_36),
+	.SUM(n_34935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5334_143_g409 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[17]),
+	.B(brqrv_top_brqrv_dbg_add_5334_143_n_32),
+	.COUT(brqrv_top_brqrv_dbg_add_5334_143_n_34),
+	.SUM(n_34934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5334_143_g410 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[16]),
+	.B(brqrv_top_brqrv_dbg_add_5334_143_n_30),
+	.COUT(brqrv_top_brqrv_dbg_add_5334_143_n_32),
+	.SUM(n_34933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5334_143_g411 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[15]),
+	.B(brqrv_top_brqrv_dbg_add_5334_143_n_28),
+	.COUT(brqrv_top_brqrv_dbg_add_5334_143_n_30),
+	.SUM(n_34932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5334_143_g412 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[14]),
+	.B(brqrv_top_brqrv_dbg_add_5334_143_n_26),
+	.COUT(brqrv_top_brqrv_dbg_add_5334_143_n_28),
+	.SUM(n_34931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5334_143_g413 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[13]),
+	.B(brqrv_top_brqrv_dbg_add_5334_143_n_24),
+	.COUT(brqrv_top_brqrv_dbg_add_5334_143_n_26),
+	.SUM(n_34930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5334_143_g414 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[12]),
+	.B(brqrv_top_brqrv_dbg_add_5334_143_n_22),
+	.COUT(brqrv_top_brqrv_dbg_add_5334_143_n_24),
+	.SUM(n_34929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5334_143_g415 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[11]),
+	.B(brqrv_top_brqrv_dbg_add_5334_143_n_20),
+	.COUT(brqrv_top_brqrv_dbg_add_5334_143_n_22),
+	.SUM(n_34928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5334_143_g416 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[10]),
+	.B(brqrv_top_brqrv_dbg_add_5334_143_n_18),
+	.COUT(brqrv_top_brqrv_dbg_add_5334_143_n_20),
+	.SUM(n_34927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5334_143_g417 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[9]),
+	.B(brqrv_top_brqrv_dbg_add_5334_143_n_16),
+	.COUT(brqrv_top_brqrv_dbg_add_5334_143_n_18),
+	.SUM(n_34926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5334_143_g418 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[8]),
+	.B(brqrv_top_brqrv_dbg_add_5334_143_n_14),
+	.COUT(brqrv_top_brqrv_dbg_add_5334_143_n_16),
+	.SUM(n_34925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5334_143_g419 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[7]),
+	.B(brqrv_top_brqrv_dbg_add_5334_143_n_12),
+	.COUT(brqrv_top_brqrv_dbg_add_5334_143_n_14),
+	.SUM(n_34924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5334_143_g420 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[6]),
+	.B(brqrv_top_brqrv_dbg_add_5334_143_n_10),
+	.COUT(brqrv_top_brqrv_dbg_add_5334_143_n_12),
+	.SUM(n_34923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5334_143_g421 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[5]),
+	.B(brqrv_top_brqrv_dbg_add_5334_143_n_8),
+	.COUT(brqrv_top_brqrv_dbg_add_5334_143_n_10),
+	.SUM(n_34922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5334_143_g422 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[4]),
+	.B(brqrv_top_brqrv_dbg_add_5334_143_n_6),
+	.COUT(brqrv_top_brqrv_dbg_add_5334_143_n_8),
+	.SUM(n_34921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_dbg_add_5334_143_g423 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[3]),
+	.B(brqrv_top_brqrv_dbg_sbaddress0_incr[3]),
+	.CIN(brqrv_top_brqrv_dbg_add_5334_143_n_4),
+	.COUT(brqrv_top_brqrv_dbg_add_5334_143_n_6),
+	.SUM(n_34920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_dbg_add_5334_143_g424 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[2]),
+	.B(brqrv_top_brqrv_dbg_sbaddress0_incr[2]),
+	.CIN(brqrv_top_brqrv_dbg_add_5334_143_n_2),
+	.COUT(brqrv_top_brqrv_dbg_add_5334_143_n_4),
+	.SUM(n_34919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_dbg_add_5334_143_g425 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[1]),
+	.B(brqrv_top_brqrv_dbg_sbaddress0_incr[1]),
+	.CIN(brqrv_top_brqrv_dbg_add_5334_143_n_0),
+	.COUT(brqrv_top_brqrv_dbg_add_5334_143_n_2),
+	.SUM(n_34918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5334_143_g426 (
+	.A(brqrv_top_brqrv_dbg_sbaddress0_reg[0]),
+	.B(brqrv_top_brqrv_dbg_sbaddress0_incr[0]),
+	.COUT(brqrv_top_brqrv_dbg_add_5334_143_n_0),
+	.SUM(n_34917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dbg_add_5633_59_g394 (
+	.A(brqrv_top_brqrv_dbg_data1_reg[31]),
+	.B(brqrv_top_brqrv_dbg_add_5633_59_n_60),
+	.Y(n_34892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 brqrv_top_brqrv_dbg_add_5633_59_g395 (
+	.A1(n_34915),
+	.A2(brqrv_top_brqrv_dbg_add_5633_59_n_59),
+	.B1(brqrv_top_brqrv_dbg_add_5633_59_n_60),
+	.X(n_34891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_dbg_add_5633_59_g396 (
+	.A(brqrv_top_brqrv_dbg_add_5633_59_n_59),
+	.B(n_34915),
+	.Y(brqrv_top_brqrv_dbg_add_5633_59_n_60), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5633_59_g397 (
+	.A(n_34914),
+	.B(brqrv_top_brqrv_dbg_add_5633_59_n_56),
+	.COUT(brqrv_top_brqrv_dbg_add_5633_59_n_59),
+	.SUM(n_34890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5633_59_g398 (
+	.A(n_34913),
+	.B(brqrv_top_brqrv_dbg_add_5633_59_n_54),
+	.COUT(brqrv_top_brqrv_dbg_add_5633_59_n_56),
+	.SUM(n_34889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5633_59_g399 (
+	.A(n_34912),
+	.B(brqrv_top_brqrv_dbg_add_5633_59_n_52),
+	.COUT(brqrv_top_brqrv_dbg_add_5633_59_n_54),
+	.SUM(n_34888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5633_59_g400 (
+	.A(n_34911),
+	.B(brqrv_top_brqrv_dbg_add_5633_59_n_50),
+	.COUT(brqrv_top_brqrv_dbg_add_5633_59_n_52),
+	.SUM(n_34887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5633_59_g401 (
+	.A(n_34910),
+	.B(brqrv_top_brqrv_dbg_add_5633_59_n_48),
+	.COUT(brqrv_top_brqrv_dbg_add_5633_59_n_50),
+	.SUM(n_34886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5633_59_g402 (
+	.A(n_34909),
+	.B(brqrv_top_brqrv_dbg_add_5633_59_n_46),
+	.COUT(brqrv_top_brqrv_dbg_add_5633_59_n_48),
+	.SUM(n_34885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5633_59_g403 (
+	.A(n_34908),
+	.B(brqrv_top_brqrv_dbg_add_5633_59_n_44),
+	.COUT(brqrv_top_brqrv_dbg_add_5633_59_n_46),
+	.SUM(n_34884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5633_59_g404 (
+	.A(n_34907),
+	.B(brqrv_top_brqrv_dbg_add_5633_59_n_42),
+	.COUT(brqrv_top_brqrv_dbg_add_5633_59_n_44),
+	.SUM(n_34883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5633_59_g405 (
+	.A(n_34906),
+	.B(brqrv_top_brqrv_dbg_add_5633_59_n_40),
+	.COUT(brqrv_top_brqrv_dbg_add_5633_59_n_42),
+	.SUM(n_34882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5633_59_g406 (
+	.A(n_34905),
+	.B(brqrv_top_brqrv_dbg_add_5633_59_n_38),
+	.COUT(brqrv_top_brqrv_dbg_add_5633_59_n_40),
+	.SUM(n_34881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5633_59_g407 (
+	.A(n_34904),
+	.B(brqrv_top_brqrv_dbg_add_5633_59_n_36),
+	.COUT(brqrv_top_brqrv_dbg_add_5633_59_n_38),
+	.SUM(n_34880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5633_59_g408 (
+	.A(n_34903),
+	.B(brqrv_top_brqrv_dbg_add_5633_59_n_34),
+	.COUT(brqrv_top_brqrv_dbg_add_5633_59_n_36),
+	.SUM(n_34879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5633_59_g409 (
+	.A(n_34902),
+	.B(brqrv_top_brqrv_dbg_add_5633_59_n_32),
+	.COUT(brqrv_top_brqrv_dbg_add_5633_59_n_34),
+	.SUM(n_34878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5633_59_g410 (
+	.A(n_34901),
+	.B(brqrv_top_brqrv_dbg_add_5633_59_n_30),
+	.COUT(brqrv_top_brqrv_dbg_add_5633_59_n_32),
+	.SUM(n_34877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5633_59_g411 (
+	.A(n_34900),
+	.B(brqrv_top_brqrv_dbg_add_5633_59_n_28),
+	.COUT(brqrv_top_brqrv_dbg_add_5633_59_n_30),
+	.SUM(n_34876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5633_59_g412 (
+	.A(n_34899),
+	.B(brqrv_top_brqrv_dbg_add_5633_59_n_26),
+	.COUT(brqrv_top_brqrv_dbg_add_5633_59_n_28),
+	.SUM(n_34875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5633_59_g413 (
+	.A(n_34898),
+	.B(brqrv_top_brqrv_dbg_add_5633_59_n_24),
+	.COUT(brqrv_top_brqrv_dbg_add_5633_59_n_26),
+	.SUM(n_34874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5633_59_g414 (
+	.A(n_34897),
+	.B(brqrv_top_brqrv_dbg_add_5633_59_n_22),
+	.COUT(brqrv_top_brqrv_dbg_add_5633_59_n_24),
+	.SUM(n_34873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5633_59_g415 (
+	.A(brqrv_top_brqrv_dbg_cmd_addr[11]),
+	.B(brqrv_top_brqrv_dbg_add_5633_59_n_20),
+	.COUT(brqrv_top_brqrv_dbg_add_5633_59_n_22),
+	.SUM(n_34872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5633_59_g416 (
+	.A(brqrv_top_brqrv_dbg_cmd_addr[10]),
+	.B(brqrv_top_brqrv_dbg_add_5633_59_n_18),
+	.COUT(brqrv_top_brqrv_dbg_add_5633_59_n_20),
+	.SUM(n_34871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5633_59_g417 (
+	.A(brqrv_top_brqrv_dbg_cmd_addr[9]),
+	.B(brqrv_top_brqrv_dbg_add_5633_59_n_16),
+	.COUT(brqrv_top_brqrv_dbg_add_5633_59_n_18),
+	.SUM(n_34870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5633_59_g418 (
+	.A(brqrv_top_brqrv_dbg_cmd_addr[8]),
+	.B(brqrv_top_brqrv_dbg_add_5633_59_n_14),
+	.COUT(brqrv_top_brqrv_dbg_add_5633_59_n_16),
+	.SUM(n_34869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5633_59_g419 (
+	.A(brqrv_top_brqrv_dbg_cmd_addr[7]),
+	.B(brqrv_top_brqrv_dbg_add_5633_59_n_12),
+	.COUT(brqrv_top_brqrv_dbg_add_5633_59_n_14),
+	.SUM(n_34868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5633_59_g420 (
+	.A(brqrv_top_brqrv_dbg_cmd_addr[6]),
+	.B(brqrv_top_brqrv_dbg_add_5633_59_n_10),
+	.COUT(brqrv_top_brqrv_dbg_add_5633_59_n_12),
+	.SUM(n_34867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5633_59_g421 (
+	.A(brqrv_top_brqrv_dbg_cmd_addr[5]),
+	.B(brqrv_top_brqrv_dbg_add_5633_59_n_8),
+	.COUT(brqrv_top_brqrv_dbg_add_5633_59_n_10),
+	.SUM(n_34866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5633_59_g422 (
+	.A(brqrv_top_brqrv_dbg_cmd_addr[4]),
+	.B(brqrv_top_brqrv_dbg_add_5633_59_n_6),
+	.COUT(brqrv_top_brqrv_dbg_add_5633_59_n_8),
+	.SUM(n_34865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_dbg_add_5633_59_g423 (
+	.A(n_34896),
+	.B(brqrv_top_brqrv_dbg_cmd_addr[3]),
+	.CIN(brqrv_top_brqrv_dbg_add_5633_59_n_4),
+	.COUT(brqrv_top_brqrv_dbg_add_5633_59_n_6),
+	.SUM(n_34864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_dbg_add_5633_59_g424 (
+	.A(brqrv_top_brqrv_dbg_cmd_addr[2]),
+	.B(n_34895),
+	.CIN(brqrv_top_brqrv_dbg_add_5633_59_n_2),
+	.COUT(brqrv_top_brqrv_dbg_add_5633_59_n_4),
+	.SUM(n_34863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_dbg_add_5633_59_g425 (
+	.A(brqrv_top_brqrv_dbg_cmd_addr[1]),
+	.B(n_34894),
+	.CIN(brqrv_top_brqrv_dbg_add_5633_59_n_0),
+	.COUT(brqrv_top_brqrv_dbg_add_5633_59_n_2),
+	.SUM(n_34862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dbg_add_5633_59_g426 (
+	.A(n_39194),
+	.B(brqrv_top_brqrv_dbg_cmd_addr[0]),
+	.COUT(brqrv_top_brqrv_dbg_add_5633_59_n_0),
+	.SUM(n_34861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_tlu_add_9285_51_g386 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[30]),
+	.B(brqrv_top_brqrv_dec_tlu_add_9285_51_n_56),
+	.X(n_34676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dec_tlu_add_9285_51_g387 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[29]),
+	.B(brqrv_top_brqrv_dec_tlu_add_9285_51_n_54),
+	.COUT(brqrv_top_brqrv_dec_tlu_add_9285_51_n_56),
+	.SUM(n_34675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dec_tlu_add_9285_51_g388 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[28]),
+	.B(brqrv_top_brqrv_dec_tlu_add_9285_51_n_52),
+	.COUT(brqrv_top_brqrv_dec_tlu_add_9285_51_n_54),
+	.SUM(n_34674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dec_tlu_add_9285_51_g389 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[27]),
+	.B(brqrv_top_brqrv_dec_tlu_add_9285_51_n_50),
+	.COUT(brqrv_top_brqrv_dec_tlu_add_9285_51_n_52),
+	.SUM(n_34673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dec_tlu_add_9285_51_g390 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[26]),
+	.B(brqrv_top_brqrv_dec_tlu_add_9285_51_n_48),
+	.COUT(brqrv_top_brqrv_dec_tlu_add_9285_51_n_50),
+	.SUM(n_34672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dec_tlu_add_9285_51_g391 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[25]),
+	.B(brqrv_top_brqrv_dec_tlu_add_9285_51_n_46),
+	.COUT(brqrv_top_brqrv_dec_tlu_add_9285_51_n_48),
+	.SUM(n_34671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dec_tlu_add_9285_51_g392 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[24]),
+	.B(brqrv_top_brqrv_dec_tlu_add_9285_51_n_44),
+	.COUT(brqrv_top_brqrv_dec_tlu_add_9285_51_n_46),
+	.SUM(n_34670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dec_tlu_add_9285_51_g393 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[23]),
+	.B(brqrv_top_brqrv_dec_tlu_add_9285_51_n_42),
+	.COUT(brqrv_top_brqrv_dec_tlu_add_9285_51_n_44),
+	.SUM(n_34669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dec_tlu_add_9285_51_g394 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[22]),
+	.B(brqrv_top_brqrv_dec_tlu_add_9285_51_n_40),
+	.COUT(brqrv_top_brqrv_dec_tlu_add_9285_51_n_42),
+	.SUM(n_34668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dec_tlu_add_9285_51_g395 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[21]),
+	.B(brqrv_top_brqrv_dec_tlu_add_9285_51_n_38),
+	.COUT(brqrv_top_brqrv_dec_tlu_add_9285_51_n_40),
+	.SUM(n_34667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dec_tlu_add_9285_51_g396 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[20]),
+	.B(brqrv_top_brqrv_dec_tlu_add_9285_51_n_36),
+	.COUT(brqrv_top_brqrv_dec_tlu_add_9285_51_n_38),
+	.SUM(n_34666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dec_tlu_add_9285_51_g397 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[19]),
+	.B(brqrv_top_brqrv_dec_tlu_add_9285_51_n_34),
+	.COUT(brqrv_top_brqrv_dec_tlu_add_9285_51_n_36),
+	.SUM(n_34665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dec_tlu_add_9285_51_g398 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[18]),
+	.B(brqrv_top_brqrv_dec_tlu_add_9285_51_n_32),
+	.COUT(brqrv_top_brqrv_dec_tlu_add_9285_51_n_34),
+	.SUM(n_34664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dec_tlu_add_9285_51_g399 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[17]),
+	.B(brqrv_top_brqrv_dec_tlu_add_9285_51_n_30),
+	.COUT(brqrv_top_brqrv_dec_tlu_add_9285_51_n_32),
+	.SUM(n_34663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dec_tlu_add_9285_51_g400 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[16]),
+	.B(brqrv_top_brqrv_dec_tlu_add_9285_51_n_28),
+	.COUT(brqrv_top_brqrv_dec_tlu_add_9285_51_n_30),
+	.SUM(n_34662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dec_tlu_add_9285_51_g401 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[15]),
+	.B(brqrv_top_brqrv_dec_tlu_add_9285_51_n_26),
+	.COUT(brqrv_top_brqrv_dec_tlu_add_9285_51_n_28),
+	.SUM(n_34661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dec_tlu_add_9285_51_g402 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[14]),
+	.B(brqrv_top_brqrv_dec_tlu_add_9285_51_n_24),
+	.COUT(brqrv_top_brqrv_dec_tlu_add_9285_51_n_26),
+	.SUM(n_34660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dec_tlu_add_9285_51_g403 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[13]),
+	.B(brqrv_top_brqrv_dec_tlu_add_9285_51_n_22),
+	.COUT(brqrv_top_brqrv_dec_tlu_add_9285_51_n_24),
+	.SUM(n_34659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dec_tlu_add_9285_51_g404 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[12]),
+	.B(brqrv_top_brqrv_dec_tlu_add_9285_51_n_20),
+	.COUT(brqrv_top_brqrv_dec_tlu_add_9285_51_n_22),
+	.SUM(n_34658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dec_tlu_add_9285_51_g405 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[11]),
+	.B(brqrv_top_brqrv_dec_tlu_add_9285_51_n_18),
+	.COUT(brqrv_top_brqrv_dec_tlu_add_9285_51_n_20),
+	.SUM(n_34657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dec_tlu_add_9285_51_g406 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[10]),
+	.B(brqrv_top_brqrv_dec_tlu_add_9285_51_n_16),
+	.COUT(brqrv_top_brqrv_dec_tlu_add_9285_51_n_18),
+	.SUM(n_34656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dec_tlu_add_9285_51_g407 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[9]),
+	.B(brqrv_top_brqrv_dec_tlu_add_9285_51_n_14),
+	.COUT(brqrv_top_brqrv_dec_tlu_add_9285_51_n_16),
+	.SUM(n_34655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dec_tlu_add_9285_51_g408 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[8]),
+	.B(brqrv_top_brqrv_dec_tlu_add_9285_51_n_12),
+	.COUT(brqrv_top_brqrv_dec_tlu_add_9285_51_n_14),
+	.SUM(n_34654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dec_tlu_add_9285_51_g409 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[7]),
+	.B(brqrv_top_brqrv_dec_tlu_add_9285_51_n_10),
+	.COUT(brqrv_top_brqrv_dec_tlu_add_9285_51_n_12),
+	.SUM(n_34653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dec_tlu_add_9285_51_g410 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[6]),
+	.B(brqrv_top_brqrv_dec_tlu_add_9285_51_n_8),
+	.COUT(brqrv_top_brqrv_dec_tlu_add_9285_51_n_10),
+	.SUM(n_34652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_dec_tlu_add_9285_51_g411 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[5]),
+	.B(brqrv_top_brqrv_dec_tlu_exc_cause_r[4]),
+	.CIN(brqrv_top_brqrv_dec_tlu_add_9285_51_n_6),
+	.COUT(brqrv_top_brqrv_dec_tlu_add_9285_51_n_8),
+	.SUM(n_34651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_dec_tlu_add_9285_51_g412 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[4]),
+	.B(brqrv_top_brqrv_dec_tlu_exc_cause_r[3]),
+	.CIN(brqrv_top_brqrv_dec_tlu_add_9285_51_n_4),
+	.COUT(brqrv_top_brqrv_dec_tlu_add_9285_51_n_6),
+	.SUM(n_34650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_dec_tlu_add_9285_51_g413 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[3]),
+	.B(brqrv_top_brqrv_dec_tlu_exc_cause_r[2]),
+	.CIN(brqrv_top_brqrv_dec_tlu_add_9285_51_n_2),
+	.COUT(brqrv_top_brqrv_dec_tlu_add_9285_51_n_4),
+	.SUM(n_34649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_dec_tlu_add_9285_51_g414 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[2]),
+	.B(brqrv_top_brqrv_dec_tlu_exc_cause_r[1]),
+	.CIN(brqrv_top_brqrv_dec_tlu_add_9285_51_n_0),
+	.COUT(brqrv_top_brqrv_dec_tlu_add_9285_51_n_2),
+	.SUM(n_34648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_brqrv_dec_tlu_add_9285_51_g415 (
+	.A(brqrv_top_brqrv_dec_tlu_mtvec[1]),
+	.B(brqrv_top_brqrv_dec_tlu_exc_cause_r[0]),
+	.COUT(brqrv_top_brqrv_dec_tlu_add_9285_51_n_0),
+	.SUM(n_34647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_g706 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[31]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_61),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[31]),
+	.X(brqrv_top_brqrv_dec_tlu_dec_timer_t0_pulse), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_g707 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[30]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_60),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[30]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_61), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_g708 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[29]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_59),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[29]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_60), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_g709 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[28]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_58),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[28]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_59), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_g710 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[27]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_57),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[27]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_58), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_g711 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[26]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_56),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[26]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_57), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_g712 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[25]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_55),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[25]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_56), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_g713 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[24]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_54),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[24]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_55), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_g714 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[23]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_53),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[23]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_54), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_g715 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[22]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_52),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[22]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_53), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_g716 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[21]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_51),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[21]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_52), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_g717 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[20]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_50),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[20]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_g718 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[19]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_49),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[19]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_50), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_g719 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[18]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_48),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[18]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_49), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_g720 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[17]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_47),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[17]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_48), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_g721 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[16]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_46),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[16]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_47), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_g722 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[15]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_45),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[15]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_46), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_g723 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[14]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_44),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[14]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_45), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_g724 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[13]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_43),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[13]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_44), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_g725 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[12]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_42),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[12]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_43), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_g726 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[11]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_41),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[11]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_42), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_g727 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[10]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_40),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[10]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_41), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_g728 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[9]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_39),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[9]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_40), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_g729 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[8]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_38),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[8]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_39), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_g730 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[7]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_37),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[7]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_38), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_g731 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[6]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_36),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[6]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_g732 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[5]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_35),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[5]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_36), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_g733 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[4]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_34),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[4]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_35), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_g734 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[3]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_33),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[3]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_34), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_g735 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[2]),
+	.B(n_38547),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[2]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10368_39_n_33), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_g706 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[31]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_61),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[31]),
+	.X(brqrv_top_brqrv_dec_tlu_dec_timer_t1_pulse), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_g707 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[30]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_60),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[30]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_61), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_g708 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[29]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_59),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[29]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_60), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_g709 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[28]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_58),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[28]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_59), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_g710 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[27]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_57),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[27]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_58), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_g711 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[26]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_56),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[26]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_57), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_g712 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[25]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_55),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[25]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_56), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_g713 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[24]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_54),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[24]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_55), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_g714 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[23]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_53),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[23]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_54), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_g715 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[22]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_52),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[22]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_53), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_g716 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[21]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_51),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[21]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_52), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_g717 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[20]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_50),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[20]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_g718 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[19]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_49),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[19]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_50), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_g719 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[18]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_48),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[18]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_49), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_g720 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[17]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_47),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[17]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_48), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_g721 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[16]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_46),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[16]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_47), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_g722 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[15]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_45),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[15]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_46), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_g723 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[14]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_44),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[14]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_45), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_g724 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[13]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_43),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[13]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_44), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_g725 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[12]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_42),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[12]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_43), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_g726 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[11]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_41),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[11]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_42), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_g727 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[10]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_40),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[10]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_41), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_g728 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[9]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_39),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[9]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_40), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_g729 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[8]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_38),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[8]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_39), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_g730 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[7]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_37),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[7]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_38), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_g731 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[6]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_36),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[6]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_g732 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[5]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_35),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[5]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_36), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_g733 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[4]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_34),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[4]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_35), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_g734 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[3]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_33),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[3]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_34), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_g735 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[2]),
+	.B(n_38549),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[2]),
+	.X(brqrv_top_brqrv_dec_tlu_int_timers_gte_10369_39_n_33), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2795 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_216),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_199),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.X(n_34069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2796 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_223),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_218),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.X(n_34091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2797 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_226),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_217),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.X(n_34089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2798 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_221),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_220),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.X(n_34079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2799 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_225),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_215),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.X(n_34078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2800 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_227),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_211),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.X(n_34077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2801 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_224),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_208),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.X(n_34088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2802 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_209),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_228),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.X(n_34076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2803 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_207),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_223),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.X(n_34075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2804 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_206),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_202),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.X(n_34074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2805 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_205),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_226),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.X(n_34073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2806 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_222),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_213),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.X(n_34087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2807 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_210),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_224),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.X(n_34072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2808 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_212),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_222),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.X(n_34071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2809 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_214),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_197),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.X(n_34070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2810 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_228),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_219),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.X(n_34092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2811 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_198),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_189),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.X(n_34080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2812 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_196),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_201),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.X(n_34068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2813 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_195),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_200),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.X(n_34067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2814 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_193),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_203),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.X(n_34066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2815 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_192),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_204),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.X(n_34065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2816 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_202),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_181),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.X(n_34090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2817 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_199),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_188),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.X(n_34085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2818 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_191),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_198),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.X(n_34064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2819 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_190),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_221),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.X(n_34063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2820 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_187),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_225),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.X(n_34062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2821 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_185),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_227),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.X(n_34061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2822 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_201),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_184),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.X(n_34084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2823 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_200),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_182),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.X(n_34083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2824 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_203),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_183),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.X(n_34082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2825 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_204),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_186),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.X(n_34081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2826 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_197),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_4),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_194),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.X(n_34086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2827 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_152),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_134),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2828 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_167),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_129),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2829 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_171),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_155),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2830 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_163),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_158),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2831 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_141),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_160),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2832 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_150),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_151),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2833 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_169),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_174),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2834 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_134),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_156),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2835 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_164),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_180),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2836 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_145),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_137),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2837 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_165),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_161),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2838 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_177),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_143),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2839 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_173),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_154),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2840 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_175),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_140),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2841 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_172),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_138),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2842 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_170),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_135),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2843 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_147),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_179),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2844 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_160),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_144),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2845 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_178),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_176),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2846 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_174),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_148),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2847 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_166),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_168),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2848 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_162),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_146),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2849 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_142),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_152),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2850 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_180),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_142),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2851 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_125),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_177),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2852 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_132),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_175),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2853 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_151),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_130),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2854 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_131),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_172),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2855 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_128),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_170),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2856 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_157),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_165),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2857 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_153),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_164),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2858 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_168),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_173),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2859 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_137),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_133),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2860 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_127),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_169),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2861 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_176),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_163),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2862 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_159),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_141),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2863 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_179),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_167),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2864 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_136),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_139),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2865 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_146),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_171),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2866 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_139),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_126),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2867 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_135),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_178),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2868 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_138),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_149),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2869 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_149),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_136),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2870 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_143),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_147),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2871 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_140),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_162),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2872 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_144),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_145),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2873 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_161),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_166),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2874 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_148),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_3),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_150),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2875 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_97),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_81),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2876 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_123),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_108),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2877 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_99),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_86),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2878 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_95),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_123),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2879 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_108),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_98),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2880 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_106),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_71),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2881 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_81),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_114),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2882 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_124),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_68),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2883 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_92),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_110),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2884 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_112),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_79),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2885 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_111),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_121),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2886 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_119),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_83),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2887 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_107),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_118),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2888 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_121),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_113),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2889 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_105),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_88),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2890 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_91),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_111),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2891 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_100),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_116),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2892 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_102),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_107),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2893 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_115),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_73),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2894 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_104),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_69),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2895 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_75),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_94),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2896 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_103),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_117),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2897 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_73),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_91),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2898 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_101),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_102),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2899 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_120),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_100),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2900 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_79),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_87),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2901 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_113),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_85),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2902 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_118),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_124),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2903 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_116),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_95),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2904 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_89),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_93),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2905 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_86),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_84),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2906 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_94),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_106),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2907 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_77),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_96),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2908 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_114),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_89),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2909 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_67),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_99),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2910 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_71),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_77),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2911 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_84),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_115),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2912 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_90),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_119),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2913 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_110),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_105),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2914 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_78),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_104),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2915 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_117),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_72),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2916 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_82),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_103),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2917 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_72),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_78),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2918 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_83),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_109),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2919 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_87),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_90),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2920 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_80),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_122),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2921 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_76),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_82),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2922 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_122),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_112),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2923 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_93),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_101),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2924 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_74),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_80),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2925 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_88),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_75),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2926 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_85),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_76),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2927 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_109),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_70),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2928 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_69),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_92),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2929 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_68),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_74),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2930 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_70),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_2),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_120),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2931 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_43),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_1),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_9),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_98), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2932 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_36),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_1),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_63),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_97), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2933 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_12),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_1),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_6),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_96), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2934 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_66),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_1),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_32),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2935 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_48),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_1),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_43),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2936 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_38),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_1),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_17),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2937 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_31),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_1),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_14),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2938 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_39),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_1),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_24),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2939 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_28),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_1),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_23),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2940 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_60),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_1),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_42),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2941 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_51),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_1),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_21),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2942 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_24),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_1),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_48),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2943 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_56),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_1),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_41),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2944 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_63),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_1),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_19),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2945 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_65),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_1),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_22),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2946 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_55),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_1),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_61),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2947 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_57),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_1),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_65),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2948 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_16),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_1),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_53),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2949 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_23),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_1),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_39),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2950 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_52),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_1),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_37),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2951 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_18),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_1),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_66),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2952 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_30),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_1),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_62),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2953 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_35),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_1),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_64),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2954 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_15),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_1),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_34),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2955 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_45),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_1),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_44),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2956 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_50),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_1),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_60),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2957 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_40),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_1),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_18),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2958 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_13),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_1),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_49),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2959 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_10),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_1),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_54),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_99), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2960 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_7),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_1),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_33),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_67), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2961 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_49),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_1),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_52),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_95), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2962 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_47),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_1),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_59),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_94), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2963 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_19),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_1),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_50),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_93), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2964 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_34),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_1),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_35),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_92), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2965 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_41),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_1),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_31),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_91), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2966 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_25),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_1),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_29),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_90), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2967 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_46),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_1),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_40),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_89), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2968 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_53),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_1),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_47),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_88), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2969 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_61),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_1),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_28),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_87), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2970 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_33),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_1),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_56),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_86), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2971 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_14),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_1),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_58),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_85), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2972 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_54),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_1),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_20),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_84), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2973 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_29),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_1),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_11),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_83), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2974 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_58),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_1),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_51),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_82), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2975 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_8),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_1),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_46),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_81), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2976 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_27),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_1),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_55),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_80), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2977 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_17),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_1),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_25),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_79), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2978 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_21),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_1),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_26),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_78), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2979 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_62),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_1),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_5),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_77), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2980 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_22),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_1),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_45),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_76), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2981 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_64),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_1),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_30),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_75), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2982 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_32),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_1),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_38),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_74), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2983 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_20),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_1),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_57),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_73), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2984 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_44),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_1),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_15),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_72), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2985 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_59),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_1),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_12),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_71), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2986 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_11),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_1),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_13),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_70), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2987 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_26),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_1),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_16),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_69), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2988 (
+	.A1(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_42),
+	.B1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_1),
+	.B2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_27),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_68), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2989 (
+	.A1(n_34119),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0),
+	.B1(n_34120),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2990 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[0]),
+	.B1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.B2(brqrv_top_brqrv_exu_i0_rs1_d[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_36), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2991 (
+	.A1(brqrv_top_brqrv_exu_i0_rs1_d[18]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0),
+	.B1(brqrv_top_brqrv_exu_i0_rs1_d[19]),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_66), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2992 (
+	.A1(brqrv_top_brqrv_exu_i0_rs1_d[19]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0),
+	.B1(brqrv_top_brqrv_exu_i0_rs1_d[20]),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_65), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2993 (
+	.A1(n_34108),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0),
+	.B1(n_34109),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_64), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2994 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[4]),
+	.B1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.B2(brqrv_top_brqrv_exu_i0_rs1_d[5]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_63), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2995 (
+	.A1(n_34116),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0),
+	.B1(n_34117),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_62), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2996 (
+	.A1(n_34093),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0),
+	.B1(n_34094),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_61), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2997 (
+	.A1(brqrv_top_brqrv_exu_i0_rs1_d[16]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0),
+	.B1(brqrv_top_brqrv_exu_i0_rs1_d[17]),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_60), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2998 (
+	.A1(n_34114),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0),
+	.B1(n_34115),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_59), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g2999 (
+	.A1(brqrv_top_brqrv_exu_i0_rs1_d[25]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0),
+	.B1(brqrv_top_brqrv_exu_i0_rs1_d[26]),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_58), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g3000 (
+	.A1(brqrv_top_brqrv_exu_i0_rs1_d[15]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0),
+	.B1(brqrv_top_brqrv_exu_i0_rs1_d[16]),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_57), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g3001 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[9]),
+	.B1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.B2(brqrv_top_brqrv_exu_i0_rs1_d[10]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_56), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g3002 (
+	.A1(brqrv_top_brqrv_exu_i0_rs1_d[28]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0),
+	.B1(brqrv_top_brqrv_exu_i0_rs1_d[29]),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_55), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g3003 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[7]),
+	.B1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.B2(brqrv_top_brqrv_exu_i0_rs1_d[8]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_54), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g3004 (
+	.A1(n_34106),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0),
+	.B1(n_34107),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_53), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g3005 (
+	.A1(n_34115),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0),
+	.B1(n_34116),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_52), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g3006 (
+	.A1(brqrv_top_brqrv_exu_i0_rs1_d[29]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0),
+	.B1(brqrv_top_brqrv_exu_i0_rs1_d[30]),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g3007 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[12]),
+	.B1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.B2(brqrv_top_brqrv_exu_i0_rs1_d[13]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_50), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g3008 (
+	.A1(n_34111),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0),
+	.B1(n_34112),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_49), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g3009 (
+	.A1(n_34113),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0),
+	.B1(n_34114),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_48), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g3010 (
+	.A1(n_34110),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0),
+	.B1(n_34111),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_47), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g3011 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[6]),
+	.B1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.B2(brqrv_top_brqrv_exu_i0_rs1_d[7]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_46), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g3012 (
+	.A1(brqrv_top_brqrv_exu_i0_rs1_d[27]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0),
+	.B1(brqrv_top_brqrv_exu_i0_rs1_d[28]),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_45), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g3013 (
+	.A1(brqrv_top_brqrv_exu_i0_rs1_d[31]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0),
+	.B1(n_34093),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_44), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g3014 (
+	.A1(n_34117),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0),
+	.B1(n_34118),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_43), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g3015 (
+	.A1(brqrv_top_brqrv_exu_i0_rs1_d[20]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0),
+	.B1(brqrv_top_brqrv_exu_i0_rs1_d[21]),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_42), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g3016 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[13]),
+	.B1(brqrv_top_brqrv_exu_i0_rs1_d[14]),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_41), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g3017 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[10]),
+	.B1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.B2(brqrv_top_brqrv_exu_i0_rs1_d[11]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_40), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g3018 (
+	.A1(n_34105),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0),
+	.B1(n_34106),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_39), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g3019 (
+	.A1(brqrv_top_brqrv_exu_i0_rs1_d[26]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0),
+	.B1(brqrv_top_brqrv_exu_i0_rs1_d[27]),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_38), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g3020 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[3]),
+	.B1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.B2(brqrv_top_brqrv_exu_i0_rs1_d[4]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g3021 (
+	.A1(n_34121),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0),
+	.B1(n_34122),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g3022 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[2]),
+	.B1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.B2(brqrv_top_brqrv_exu_i0_rs1_d[3]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g3023 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[1]),
+	.B1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.B2(brqrv_top_brqrv_exu_i0_rs1_d[2]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g3024 (
+	.A1(n_34122),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0),
+	.B1(n_34123),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g3025 (
+	.A1(n_34120),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0),
+	.B1(n_34121),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g3026 (
+	.A1(n_34104),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0),
+	.B1(n_34105),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_35), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g3027 (
+	.A1(n_34100),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0),
+	.B1(n_34101),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_34), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g3028 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[5]),
+	.B1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.B2(brqrv_top_brqrv_exu_i0_rs1_d[6]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_33), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g3029 (
+	.A1(brqrv_top_brqrv_exu_i0_rs1_d[22]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0),
+	.B1(brqrv_top_brqrv_exu_i0_rs1_d[23]),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_32), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g3030 (
+	.A1(brqrv_top_brqrv_exu_i0_rs1_d[17]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0),
+	.B1(brqrv_top_brqrv_exu_i0_rs1_d[18]),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g3031 (
+	.A1(n_34112),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0),
+	.B1(n_34113),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g3032 (
+	.A1(n_34099),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0),
+	.B1(n_34100),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g3033 (
+	.A1(n_34097),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0),
+	.B1(n_34098),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g3034 (
+	.A1(brqrv_top_brqrv_exu_i0_rs1_d[24]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0),
+	.B1(brqrv_top_brqrv_exu_i0_rs1_d[25]),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g3035 (
+	.A1(n_34098),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0),
+	.B1(n_34099),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g3036 (
+	.A1(n_34095),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0),
+	.B1(n_34096),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g3037 (
+	.A1(n_34109),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0),
+	.B1(n_34110),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g3038 (
+	.A1(n_34101),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0),
+	.B1(n_34102),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g3039 (
+	.A1(brqrv_top_brqrv_exu_i0_rs1_d[23]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0),
+	.B1(brqrv_top_brqrv_exu_i0_rs1_d[24]),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g3040 (
+	.A1(n_34094),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0),
+	.B1(n_34095),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g3041 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[11]),
+	.B1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.B2(brqrv_top_brqrv_exu_i0_rs1_d[12]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g3042 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[8]),
+	.B1(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.B2(brqrv_top_brqrv_exu_i0_rs1_d[9]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g3043 (
+	.A1(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0),
+	.A2(brqrv_top_brqrv_exu_i0_rs1_d[14]),
+	.B1(brqrv_top_brqrv_exu_i0_rs1_d[15]),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g3044 (
+	.A1(brqrv_top_brqrv_exu_i0_rs1_d[30]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0),
+	.B1(brqrv_top_brqrv_exu_i0_rs1_d[31]),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g3045 (
+	.A1(n_34102),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0),
+	.B1(n_34103),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g3046 (
+	.A1(n_34096),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0),
+	.B1(n_34097),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g3047 (
+	.A1(brqrv_top_brqrv_exu_i0_rs1_d[21]),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0),
+	.B1(brqrv_top_brqrv_exu_i0_rs1_d[22]),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g3048 (
+	.A1(n_34107),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0),
+	.B1(n_34108),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g3049 (
+	.A1(n_34118),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0),
+	.B1(n_34119),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g3050 (
+	.A1(n_34103),
+	.A2(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0),
+	.B1(n_34104),
+	.B2(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g3051 (
+	.A(brqrv_top_brqrv_exu_i_alu_shift_amount[4]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g3052 (
+	.A(brqrv_top_brqrv_exu_i_alu_shift_amount[3]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g3053 (
+	.A(brqrv_top_brqrv_exu_i_alu_shift_amount[1]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_12 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g3054 (
+	.A(brqrv_top_brqrv_exu_i_alu_shift_amount[2]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 brqrv_top_brqrv_exu_i_alu_srl_11821_47_g3055 (
+	.A(brqrv_top_brqrv_exu_i_alu_shift_amount[0]),
+	.Y(brqrv_top_brqrv_exu_i_alu_srl_11821_47_n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_g798  (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_0 ),
+	.A2_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_66 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_0 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_66 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder1_out [33]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_g799  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [29]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_63 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_66 ),
+	.SUM(n_33972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_g800  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [28]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [31]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_61 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_63 ),
+	.SUM(n_33971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_g801  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [27]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [30]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_59 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_61 ),
+	.SUM(n_33970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_g802  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [26]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [29]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_57 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_59 ),
+	.SUM(n_33969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_g803  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [25]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [28]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_55 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_57 ),
+	.SUM(n_33968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_g804  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [24]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [27]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_53 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_55 ),
+	.SUM(n_33967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_g805  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [23]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [26]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_51 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_53 ),
+	.SUM(n_33966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_g806  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [22]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [25]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_49 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_51 ),
+	.SUM(n_33965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_g807  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [21]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [24]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_47 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_49 ),
+	.SUM(n_33964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_g808  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [20]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [23]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_45 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_47 ),
+	.SUM(n_33963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_g809  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [19]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [22]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_43 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_45 ),
+	.SUM(n_33962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_g810  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [18]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [21]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_41 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_43 ),
+	.SUM(n_33961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_g811  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [17]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [20]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_39 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_41 ),
+	.SUM(n_33960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_g812  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [16]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [19]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_37 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_39 ),
+	.SUM(n_33959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_g813  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [15]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [18]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_35 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_37 ),
+	.SUM(n_33958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_g814  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [14]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [17]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_33 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_35 ),
+	.SUM(n_33957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_g815  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [13]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [16]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_31 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_33 ),
+	.SUM(n_33956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_g816  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [12]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [15]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_29 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_31 ),
+	.SUM(n_33955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_g817  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [11]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [14]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_27 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_29 ),
+	.SUM(n_33954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_g818  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [10]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [13]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_25 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_27 ),
+	.SUM(n_33953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_g819  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [9]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [12]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_23 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_25 ),
+	.SUM(n_33952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_g820  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [8]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [11]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_21 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_23 ),
+	.SUM(n_33951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_g821  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [7]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [10]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_19 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_21 ),
+	.SUM(n_33950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_g822  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [6]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [9]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_17 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_19 ),
+	.SUM(n_33949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_g823  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [5]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [8]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_15 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_17 ),
+	.SUM(n_33948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_g824  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [4]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [7]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_13 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_15 ),
+	.SUM(n_33947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_g825  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [3]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [6]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_11 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_13 ),
+	.SUM(n_33946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_g826  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [2]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [5]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_9 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_11 ),
+	.SUM(n_33945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_g827  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [1]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [4]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_7 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_9 ),
+	.SUM(n_33944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_g828  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [0]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [3]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_5 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_7 ),
+	.SUM(n_33943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_g829  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [32]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [2]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_3 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_5 ),
+	.SUM(n_33942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_g830  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [31]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [1]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_1 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_3 ),
+	.SUM(n_33941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_g831  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [30]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [0]),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_1 ),
+	.SUM(n_33940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_g832  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [30]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12705_54_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_g804  (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_0 ),
+	.A2_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_66 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_0 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_66 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder2_out [34]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_g805  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [30]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_63 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_66 ),
+	.SUM(n_33939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_g806  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [29]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [31]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_61 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_63 ),
+	.SUM(n_33938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_g807  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [28]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [30]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_59 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_61 ),
+	.SUM(n_33937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_g808  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [27]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [29]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_57 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_59 ),
+	.SUM(n_33936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_g809  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [26]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [28]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_55 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_57 ),
+	.SUM(n_33935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_g810  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [25]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [27]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_53 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_55 ),
+	.SUM(n_33934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_g811  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [24]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [26]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_51 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_53 ),
+	.SUM(n_33933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_g812  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [23]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [25]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_49 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_51 ),
+	.SUM(n_33932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_g813  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [22]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [24]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_47 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_49 ),
+	.SUM(n_33931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_g814  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [21]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [23]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_45 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_47 ),
+	.SUM(n_33930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_g815  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [20]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [22]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_43 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_45 ),
+	.SUM(n_33929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_g816  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [19]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [21]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_41 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_43 ),
+	.SUM(n_33928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_g817  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [18]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [20]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_39 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_41 ),
+	.SUM(n_33927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_g818  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [17]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [19]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_37 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_39 ),
+	.SUM(n_33926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_g819  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [16]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [18]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_35 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_37 ),
+	.SUM(n_33925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_g820  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [15]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [17]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_33 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_35 ),
+	.SUM(n_33924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_g821  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [14]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [16]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_31 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_33 ),
+	.SUM(n_33923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_g822  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [13]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [15]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_29 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_31 ),
+	.SUM(n_33922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_g823  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [12]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [14]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_27 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_29 ),
+	.SUM(n_33921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_g824  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [11]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [13]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_25 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_27 ),
+	.SUM(n_33920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_g825  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [10]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [12]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_23 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_25 ),
+	.SUM(n_33919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_g826  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [9]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [11]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_21 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_23 ),
+	.SUM(n_33918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_g827  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [8]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [10]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_19 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_21 ),
+	.SUM(n_33917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_g828  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [7]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [9]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_17 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_19 ),
+	.SUM(n_33916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_g829  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [6]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [8]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_15 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_17 ),
+	.SUM(n_33915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_g830  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [5]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [7]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_13 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_15 ),
+	.SUM(n_33914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_g831  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [4]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [6]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_11 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_13 ),
+	.SUM(n_33913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_g832  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [3]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [5]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_9 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_11 ),
+	.SUM(n_33912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_g833  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [2]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [4]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_7 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_9 ),
+	.SUM(n_33911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_g834  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [1]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [3]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_5 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_7 ),
+	.SUM(n_33910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_g835  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [0]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [2]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_3 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_5 ),
+	.SUM(n_33909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_g836  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [32]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [1]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_1 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_3 ),
+	.SUM(n_33908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_g837  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [0]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [31]),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_1 ),
+	.SUM(n_33907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_g838  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [31]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12706_54_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_g831  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_1 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_69 ),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder4_out [36]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_g832  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [32]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_67 ),
+	.C(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_69 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_g833  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_1 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_67 ),
+	.X(n_34041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_g834  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [31]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_64 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_67 ),
+	.SUM(n_34040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_g835  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [30]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [31]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_62 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_64 ),
+	.SUM(n_34039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_g836  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [29]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [30]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_60 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_62 ),
+	.SUM(n_34038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_g837  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [28]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [29]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_58 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_60 ),
+	.SUM(n_34037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_g838  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [27]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [28]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_56 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_58 ),
+	.SUM(n_34036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_g839  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [26]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [27]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_54 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_56 ),
+	.SUM(n_34035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_g840  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [25]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [26]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_52 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_54 ),
+	.SUM(n_34034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_g841  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [24]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [25]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_50 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_52 ),
+	.SUM(n_34033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_g842  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [23]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [24]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_48 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_50 ),
+	.SUM(n_34032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_g843  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [22]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [23]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_46 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_48 ),
+	.SUM(n_34031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_g844  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [21]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [22]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_44 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_46 ),
+	.SUM(n_34030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_g845  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [20]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [21]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_42 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_44 ),
+	.SUM(n_34029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_g846  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [19]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [20]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_40 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_42 ),
+	.SUM(n_34028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_g847  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [18]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [19]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_38 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_40 ),
+	.SUM(n_34027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_g848  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [17]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [18]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_36 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_38 ),
+	.SUM(n_34026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_g849  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [16]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [17]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_34 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_36 ),
+	.SUM(n_34025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_g850  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [15]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [16]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_32 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_34 ),
+	.SUM(n_34024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_g851  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [14]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [15]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_30 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_32 ),
+	.SUM(n_34023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_g852  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [13]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [14]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_28 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_30 ),
+	.SUM(n_34022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_g853  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [12]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [13]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_26 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_28 ),
+	.SUM(n_34021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_g854  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [11]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [12]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_24 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_26 ),
+	.SUM(n_34020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_g855  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [10]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [11]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_22 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_24 ),
+	.SUM(n_34019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_g856  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [9]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [10]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_20 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_22 ),
+	.SUM(n_34018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_g857  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [8]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [9]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_18 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_20 ),
+	.SUM(n_34017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_g858  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [7]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [8]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_16 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_18 ),
+	.SUM(n_34016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_g859  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [6]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [7]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_14 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_16 ),
+	.SUM(n_34015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_g860  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [5]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [6]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_12 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_14 ),
+	.SUM(n_34014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_g861  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [4]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [5]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_10 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_12 ),
+	.SUM(n_34013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_g862  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [3]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [4]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_8 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_10 ),
+	.SUM(n_34012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_g863  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [2]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [3]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_6 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_8 ),
+	.SUM(n_34011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_g864  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [1]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [2]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_4 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_6 ),
+	.SUM(n_34010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_g865  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [0]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [1]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_2 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_4 ),
+	.SUM(n_34009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_g866  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [32]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [0]),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_2 ),
+	.SUM(n_34008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_g867  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [32]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12708_64_n_1 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_g870  (
+	.A1_N(n_39128),
+	.A2_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_72 ),
+	.B1(n_39128),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_72 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder5_out [36]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_g871  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.B(n_34041),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_69 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_72 ),
+	.SUM(n_33871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_g872  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.B(n_34040),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_67 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_69 ),
+	.SUM(n_33870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_g873  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.B(n_34039),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_65 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_67 ),
+	.SUM(n_33869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_g874  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.B(n_34038),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_63 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_65 ),
+	.SUM(n_33868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_g875  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [31]),
+	.B(n_34037),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_61 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_63 ),
+	.SUM(n_33867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_g876  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [30]),
+	.B(n_34036),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_59 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_61 ),
+	.SUM(n_33866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_g877  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [29]),
+	.B(n_34035),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_57 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_59 ),
+	.SUM(n_33865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_g878  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [28]),
+	.B(n_34034),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_55 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_57 ),
+	.SUM(n_33864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_g879  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [27]),
+	.B(n_34033),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_53 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_55 ),
+	.SUM(n_33863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_g880  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [26]),
+	.B(n_34032),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_51 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_53 ),
+	.SUM(n_33862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_g881  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [25]),
+	.B(n_34031),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_49 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_51 ),
+	.SUM(n_33861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_g882  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [24]),
+	.B(n_34030),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_47 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_49 ),
+	.SUM(n_33860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_g883  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [23]),
+	.B(n_34029),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_45 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_47 ),
+	.SUM(n_33859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_g884  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [22]),
+	.B(n_34028),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_43 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_45 ),
+	.SUM(n_33858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_g885  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [21]),
+	.B(n_34027),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_41 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_43 ),
+	.SUM(n_33857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_g886  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [20]),
+	.B(n_34026),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_39 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_41 ),
+	.SUM(n_33856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_g887  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [19]),
+	.B(n_34025),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_37 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_39 ),
+	.SUM(n_33855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_g888  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [18]),
+	.B(n_34024),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_35 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_37 ),
+	.SUM(n_33854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_g889  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [17]),
+	.B(n_34023),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_33 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_35 ),
+	.SUM(n_33853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_g890  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [16]),
+	.B(n_34022),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_31 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_33 ),
+	.SUM(n_33852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_g891  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [15]),
+	.B(n_34021),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_29 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_31 ),
+	.SUM(n_33851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_g892  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [14]),
+	.B(n_34020),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_27 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_29 ),
+	.SUM(n_33850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_g893  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [13]),
+	.B(n_34019),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_25 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_27 ),
+	.SUM(n_33849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_g894  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [12]),
+	.B(n_34018),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_23 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_25 ),
+	.SUM(n_33848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_g895  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [11]),
+	.B(n_34017),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_21 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_23 ),
+	.SUM(n_33847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_g896  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [10]),
+	.B(n_34016),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_19 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_21 ),
+	.SUM(n_33846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_g897  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [9]),
+	.B(n_34015),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_17 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_19 ),
+	.SUM(n_33845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_g898  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [8]),
+	.B(n_34014),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_15 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_17 ),
+	.SUM(n_33844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_g899  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [7]),
+	.B(n_34013),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_13 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_15 ),
+	.SUM(n_33843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_g900  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [6]),
+	.B(n_34012),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_11 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_13 ),
+	.SUM(n_33842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_g901  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [5]),
+	.B(n_34011),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_9 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_11 ),
+	.SUM(n_33841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_g902  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [4]),
+	.B(n_34010),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_7 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_9 ),
+	.SUM(n_33840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_g903  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [3]),
+	.B(n_34009),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_5 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_7 ),
+	.SUM(n_33839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_g904  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [2]),
+	.B(n_34008),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_3 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_5 ),
+	.SUM(n_33838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_g905  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [31]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [1]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_1 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_3 ),
+	.SUM(n_33837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_g906  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [30]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [0]),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_n_1 ),
+	.SUM(n_33836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_g852  (
+	.A1_N(n_39128),
+	.A2_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_70 ),
+	.B1(n_39128),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_70 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder6_out [36]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_g853  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.B(n_34041),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_67 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_70 ),
+	.SUM(n_34007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_g854  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.B(n_34040),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_65 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_67 ),
+	.SUM(n_34006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_g855  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.B(n_34039),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_63 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_65 ),
+	.SUM(n_34005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_g856  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [31]),
+	.B(n_34038),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_61 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_63 ),
+	.SUM(n_34004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_g857  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [30]),
+	.B(n_34037),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_59 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_61 ),
+	.SUM(n_34003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_g858  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [29]),
+	.B(n_34036),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_57 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_59 ),
+	.SUM(n_34002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_g859  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [28]),
+	.B(n_34035),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_55 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_57 ),
+	.SUM(n_34001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_g860  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [27]),
+	.B(n_34034),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_53 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_55 ),
+	.SUM(n_34000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_g861  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [26]),
+	.B(n_34033),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_51 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_53 ),
+	.SUM(n_33999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_g862  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [25]),
+	.B(n_34032),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_49 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_51 ),
+	.SUM(n_33998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_g863  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [24]),
+	.B(n_34031),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_47 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_49 ),
+	.SUM(n_33997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_g864  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [23]),
+	.B(n_34030),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_45 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_47 ),
+	.SUM(n_33996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_g865  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [22]),
+	.B(n_34029),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_43 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_45 ),
+	.SUM(n_33995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_g866  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [21]),
+	.B(n_34028),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_41 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_43 ),
+	.SUM(n_33994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_g867  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [20]),
+	.B(n_34027),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_39 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_41 ),
+	.SUM(n_33993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_g868  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [19]),
+	.B(n_34026),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_37 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_39 ),
+	.SUM(n_33992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_g869  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [18]),
+	.B(n_34025),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_35 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_37 ),
+	.SUM(n_33991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_g870  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [17]),
+	.B(n_34024),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_33 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_35 ),
+	.SUM(n_33990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_g871  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [16]),
+	.B(n_34023),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_31 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_33 ),
+	.SUM(n_33989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_g872  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [15]),
+	.B(n_34022),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_29 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_31 ),
+	.SUM(n_33988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_g873  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [14]),
+	.B(n_34021),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_27 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_29 ),
+	.SUM(n_33987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_g874  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [13]),
+	.B(n_34020),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_25 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_27 ),
+	.SUM(n_33986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_g875  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [12]),
+	.B(n_34019),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_23 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_25 ),
+	.SUM(n_33985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_g876  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [11]),
+	.B(n_34018),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_21 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_23 ),
+	.SUM(n_33984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_g877  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [10]),
+	.B(n_34017),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_19 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_21 ),
+	.SUM(n_33983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_g878  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [9]),
+	.B(n_34016),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_17 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_19 ),
+	.SUM(n_33982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_g879  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [8]),
+	.B(n_34015),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_15 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_17 ),
+	.SUM(n_33981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_g880  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [7]),
+	.B(n_34014),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_13 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_15 ),
+	.SUM(n_33980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_g881  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [6]),
+	.B(n_34013),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_11 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_13 ),
+	.SUM(n_33979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_g882  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [5]),
+	.B(n_34012),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_9 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_11 ),
+	.SUM(n_33978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_g883  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [4]),
+	.B(n_34011),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_7 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_9 ),
+	.SUM(n_33977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_g884  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [3]),
+	.B(n_34010),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_5 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_7 ),
+	.SUM(n_33976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_g885  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [2]),
+	.B(n_34009),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_3 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_5 ),
+	.SUM(n_33975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_g886  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [1]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_1 ),
+	.CIN(n_34008),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_3 ),
+	.SUM(n_33974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_g887  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [0]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [31]),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12710_88_n_1 ),
+	.SUM(n_33973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_g870  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_71 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_0 ),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder7_out [36]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_g871  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.B(n_34007),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_69 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_71 ),
+	.SUM(n_33835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_g872  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.B(n_34006),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_67 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_69 ),
+	.SUM(n_33834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_g873  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.B(n_34005),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_65 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_67 ),
+	.SUM(n_33833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_g874  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.B(n_34004),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_63 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_65 ),
+	.SUM(n_33832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_g875  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [31]),
+	.B(n_34003),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_61 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_63 ),
+	.SUM(n_33831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_g876  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [30]),
+	.B(n_34002),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_59 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_61 ),
+	.SUM(n_33830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_g877  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [29]),
+	.B(n_34001),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_57 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_59 ),
+	.SUM(n_33829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_g878  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [28]),
+	.B(n_34000),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_55 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_57 ),
+	.SUM(n_33828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_g879  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [27]),
+	.B(n_33999),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_53 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_55 ),
+	.SUM(n_33827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_g880  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [26]),
+	.B(n_33998),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_51 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_53 ),
+	.SUM(n_33826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_g881  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [25]),
+	.B(n_33997),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_49 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_51 ),
+	.SUM(n_33825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_g882  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [24]),
+	.B(n_33996),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_47 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_49 ),
+	.SUM(n_33824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_g883  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [23]),
+	.B(n_33995),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_45 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_47 ),
+	.SUM(n_33823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_g884  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [22]),
+	.B(n_33994),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_43 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_45 ),
+	.SUM(n_33822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_g885  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [21]),
+	.B(n_33993),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_41 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_43 ),
+	.SUM(n_33821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_g886  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [20]),
+	.B(n_33992),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_39 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_41 ),
+	.SUM(n_33820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_g887  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [19]),
+	.B(n_33991),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_37 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_39 ),
+	.SUM(n_33819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_g888  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [18]),
+	.B(n_33990),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_35 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_37 ),
+	.SUM(n_33818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_g889  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [17]),
+	.B(n_33989),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_33 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_35 ),
+	.SUM(n_33817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_g890  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [16]),
+	.B(n_33988),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_31 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_33 ),
+	.SUM(n_33816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_g891  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [15]),
+	.B(n_33987),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_29 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_31 ),
+	.SUM(n_33815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_g892  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [14]),
+	.B(n_33986),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_27 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_29 ),
+	.SUM(n_33814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_g893  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [13]),
+	.B(n_33985),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_25 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_27 ),
+	.SUM(n_33813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_g894  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [12]),
+	.B(n_33984),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_23 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_25 ),
+	.SUM(n_33812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_g895  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [11]),
+	.B(n_33983),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_21 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_23 ),
+	.SUM(n_33811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_g896  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [10]),
+	.B(n_33982),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_19 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_21 ),
+	.SUM(n_33810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_g897  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [9]),
+	.B(n_33981),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_17 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_19 ),
+	.SUM(n_33809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_g898  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [8]),
+	.B(n_33980),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_15 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_17 ),
+	.SUM(n_33808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_g899  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [7]),
+	.B(n_33979),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_13 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_15 ),
+	.SUM(n_33807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_g900  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [6]),
+	.B(n_33978),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_11 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_13 ),
+	.SUM(n_33806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_g901  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [5]),
+	.B(n_33977),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_9 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_11 ),
+	.SUM(n_33805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_g902  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [4]),
+	.B(n_33976),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_7 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_9 ),
+	.SUM(n_33804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_g903  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [3]),
+	.B(n_33975),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_5 ),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_7 ),
+	.SUM(n_33803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_g904  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [2]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_3 ),
+	.CIN(n_33974),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_5 ),
+	.SUM(n_33802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_g905  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [1]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_1 ),
+	.CIN(n_33973),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_3 ),
+	.SUM(n_33801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_g906  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [30]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [0]),
+	.COUT(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_1 ),
+	.SUM(n_33800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_g907  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder6_out [36]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12711_111_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3755  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_182 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_9 ),
+	.Y(n_33758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3756  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_196 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_9 ),
+	.Y(n_33757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3757  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_195 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_9 ),
+	.Y(n_33756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3758  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_187 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_9 ),
+	.Y(n_33755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3759  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_186 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_9 ),
+	.Y(n_33754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3760  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_189 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_9 ),
+	.Y(n_33753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3761  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_184 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_9 ),
+	.Y(n_33752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3762  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_183 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_9 ),
+	.Y(n_33751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3763  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_1 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_180 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_9 ),
+	.Y(n_33761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3764  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_1 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_179 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_9 ),
+	.Y(n_33760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3765  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_1 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_181 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_9 ),
+	.Y(n_33762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3766  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_1 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_178 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_9 ),
+	.Y(n_33759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3767  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_1 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_177 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_9 ),
+	.Y(n_33764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3768  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_1 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_172 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_9 ),
+	.Y(n_33766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3769  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_1 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_175 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_9 ),
+	.Y(n_33763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3770  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_1 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_173 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_9 ),
+	.Y(n_33765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3771  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_165 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_176 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_1 ),
+	.X(n_33748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3772  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_165 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_1 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_169 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.X(n_33796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3773  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_146 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_1 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_168 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.X(n_33795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3774  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_143 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_1 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_166 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.X(n_33794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3775  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_146 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_174 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_1 ),
+	.X(n_33747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3776  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_156 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_1 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_164 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.X(n_33793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3777  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_154 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_1 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_163 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.X(n_33792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3778  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_153 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_1 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_162 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.X(n_33791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3779  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_151 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_1 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_132 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.X(n_33790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3780  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_150 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_1 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_135 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.X(n_33789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3781  (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.A2_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_143 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_181 ),
+	.Y(n_33746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3782  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_148 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_1 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_134 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.X(n_33788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3783  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_157 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_1 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_133 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.X(n_33787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3784  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_144 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_1 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_120 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.X(n_33786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3785  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_141 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_1 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_129 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.X(n_33785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3786  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_140 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_1 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_86 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.X(n_33784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3787  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_137 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_1 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_83 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.X(n_33783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3788  (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.A2_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_156 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_180 ),
+	.Y(n_33745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3789  (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.A2_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_154 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_179 ),
+	.Y(n_33744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3790  (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.A2_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_153 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_178 ),
+	.Y(n_33743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3791  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_151 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_139 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_1 ),
+	.X(n_33742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3792  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_150 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_167 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_1 ),
+	.X(n_33741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3793  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_148 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_147 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_1 ),
+	.X(n_33740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3794  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_157 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_155 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_1 ),
+	.X(n_33739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3795  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_144 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_152 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_1 ),
+	.X(n_33738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3796  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_141 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_149 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_1 ),
+	.X(n_33737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3797  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_145 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_1 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_140 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.X(n_33736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3798  (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.A2_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_138 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_172 ),
+	.Y(n_33750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3799  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_142 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_1 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_137 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.X(n_33799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3800  (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.A2_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_171 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_173 ),
+	.Y(n_33749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3801  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_138 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_1 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_136 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.X(n_33798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3802  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_171 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_1 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_170 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.X(n_33797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3803  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.B_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_170 ),
+	.Y(n_33781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3804  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_167 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_196 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3805  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_147 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_195 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3806  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.B_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_136 ),
+	.Y(n_33782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3807  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.B_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_166 ),
+	.Y(n_33778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3808  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.B_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_169 ),
+	.Y(n_33780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3809  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.B_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_168 ),
+	.Y(n_33779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3810  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.B_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_164 ),
+	.Y(n_33777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3811  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_149 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_189 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3812  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.B_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_162 ),
+	.Y(n_33775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3813  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_155 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_187 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3814  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_152 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_186 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3815  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.B_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_163 ),
+	.Y(n_33776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3816  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_145 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_184 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3817  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_142 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_183 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3818  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_139 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_182 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3819  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_176 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_177 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3820  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_174 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_175 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3821  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.B_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_132 ),
+	.Y(n_33774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3822  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.B_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_133 ),
+	.Y(n_33771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3823  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.B_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_134 ),
+	.Y(n_33772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3824  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.B_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_135 ),
+	.Y(n_33773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3825  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_128 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_10 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_181 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3826  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_116 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_10 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_180 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3827  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_106 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_10 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_179 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3828  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_98 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_10 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_178 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3829  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_2 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_127 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_11 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_176 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3830  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_2 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_125 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_11 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_174 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3831  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_124 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_10 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_173 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3832  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_126 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_10 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_172 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3833  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_118 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_2 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_121 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_171 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3834  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_104 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_2 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_122 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_170 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3835  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_101 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_2 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_102 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_169 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3836  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_100 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_2 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_111 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_168 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3837  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_2 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_118 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_123 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_167 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3838  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_97 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_2 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_88 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_166 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3839  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_105 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_2 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_119 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_165 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3840  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_95 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_2 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_89 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_164 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3841  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_93 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_2 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_75 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_163 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3842  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_114 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_2 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_47 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_162 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3843  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_117 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_2 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_100 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_157 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3844  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_103 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_2 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_115 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_156 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3845  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_2 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_113 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_125 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_155 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3846  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_99 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_2 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_112 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_154 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3847  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_94 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_2 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_109 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_153 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3848  (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_2 ),
+	.A2_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_128 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_2 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_108 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_152 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3849  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_92 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_2 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_107 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_151 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3850  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_121 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_2 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_104 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_150 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3851  (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_2 ),
+	.A2_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_116 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_2 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_103 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_149 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3852  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_119 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_2 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_101 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_148 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3853  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_2 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_105 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_127 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_147 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3854  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_113 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_2 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_117 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_146 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3855  (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_2 ),
+	.A2_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_106 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_2 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_99 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_145 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3856  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_96 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_2 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_97 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_144 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3857  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_108 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_2 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_96 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_143 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3858  (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_2 ),
+	.A2_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_98 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_2 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_94 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_142 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3859  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_115 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_2 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_95 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_141 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3860  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_112 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_2 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_93 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_140 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3861  (
+	.A1_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_2 ),
+	.A2_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_126 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_2 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_91 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_139 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3862  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_91 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_2 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_92 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_138 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3863  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_109 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_2 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_114 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_137 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3864  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_107 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_2 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_90 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_136 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3865  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_122 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_135 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3866  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_102 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_134 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3867  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_111 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_133 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3868  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_90 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_132 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3869  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.B_N(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_120 ),
+	.Y(n_33770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3870  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_129 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_1 ),
+	.X(n_33769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3871  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_123 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_124 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3872  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_86 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_1 ),
+	.X(n_33768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3873  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_89 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_129 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3874  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_73 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_82 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_4 ),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_128 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3875  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_68 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_8 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_127 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3876  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_87 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_7 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_126 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3877  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_55 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_8 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_125 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3878  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_81 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_8 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_123 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3879  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_60 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_4 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_80 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_122 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3880  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_58 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_4 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_65 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_121 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3881  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_88 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_120 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3882  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_64 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_4 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_74 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_119 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3883  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_69 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_4 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_77 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_118 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3884  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_52 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_4 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_61 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_117 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3885  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_69 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_81 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_4 ),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_116 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3886  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_65 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_4 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_70 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_115 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3887  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_56 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_4 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_71 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_114 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3888  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_54 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_4 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_67 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_113 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3889  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_74 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_4 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_57 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_112 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3890  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_71 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_4 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_46 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_111 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3891  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_61 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_4 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_79 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_109 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3892  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_50 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_4 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_63 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_108 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3893  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_76 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_4 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_62 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_107 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3894  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_68 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_4 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_53 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_106 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3895  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_53 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_4 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_72 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_105 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3896  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_70 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_4 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_59 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_104 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3897  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_77 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_4 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_58 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_103 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3898  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_51 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_4 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_48 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_102 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3899  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_57 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_4 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_66 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_101 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3900  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_79 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_4 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_56 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_100 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3901  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_72 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_4 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_64 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_99 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3902  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_55 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_4 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_54 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.X(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_98 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3903  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_62 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_4 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_78 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_97 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3904  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_84 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_4 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_76 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_96 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3905  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_59 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_4 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_60 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_95 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3906  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_67 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_4 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_52 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_94 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3907  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_66 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_4 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_51 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_93 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3908  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_63 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_4 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_84 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_92 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3909  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_73 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_4 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_50 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_91 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3910  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_78 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_4 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_49 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_90 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3911  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_80 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_4 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_89 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3912  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_49 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_4 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_88 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3913  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_82 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_87 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3914  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_75 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_86 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3915  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_83 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_1 ),
+	.X(n_33767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3916  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_36 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_34 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_84 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3917  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_47 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_83 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3918  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_5 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_27 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_6 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_82 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3919  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_5 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_37 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_6 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_81 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3920  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_14 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_12 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_80 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3921  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_17 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_18 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_79 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3922  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_43 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_22 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_78 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3923  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_15 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_31 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_77 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3924  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_38 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_33 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_76 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3925  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_48 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_4 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_75 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3926  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_34 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_38 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_74 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3927  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_24 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_16 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_73 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3928  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_23 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_32 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_72 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3929  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_25 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_14 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_71 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3930  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_44 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_17 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_70 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3931  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_42 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_29 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_69 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3932  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_27 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_24 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_68 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3933  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_31 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_30 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_67 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3934  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_39 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_43 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_66 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3935  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_40 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_21 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_65 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3936  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_35 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_36 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_64 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3937  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_32 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_35 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_63 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3938  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_13 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_39 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_62 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3939  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_21 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_44 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_61 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3940  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_19 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_25 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_60 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3941  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_18 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_45 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_59 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3942  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_30 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_41 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_58 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3943  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_33 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_13 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_57 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3944  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_45 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_19 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_56 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3945  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_37 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_42 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_55 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3946  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_29 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_15 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_54 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3947  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_16 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_28 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_53 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3948  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_41 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_40 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_52 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3949  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_22 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_20 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_51 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3950  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_28 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_23 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_50 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3951  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_20 ),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_5 ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_26 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_49 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3952  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_26 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_48 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3953  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_46 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_4 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_47 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3954  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_12 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_46 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3955  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [8]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [7]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_45 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3956  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [14]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [13]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_44 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3957  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [7]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [6]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_43 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3958  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [30]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [29]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_42 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3959  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [20]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [19]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_41 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3960  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [18]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [17]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_40 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3961  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [9]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [8]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_39 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3962  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [15]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [14]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_38 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3963  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [32]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [31]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_37 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3964  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [19]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [18]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_36 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3965  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [21]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [20]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_35 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3966  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [17]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [16]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_34 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3967  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [13]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [12]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_33 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3968  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [23]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [22]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_32 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3969  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [24]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [23]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_31 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3970  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [22]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [21]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_30 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3971  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [28]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [27]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_29 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3972  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [27]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [26]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_28 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3973  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [32]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_27 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3974  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [1]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [0]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_26 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3975  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [4]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [3]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_25 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3976  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [31]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [30]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_24 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3977  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [25]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [24]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_23 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3978  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [5]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [4]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_22 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3979  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [16]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [15]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_21 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3980  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [3]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_20 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3981  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [6]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [5]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_19 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3982  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [10]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [9]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_18 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3983  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [12]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [11]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_17 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3984  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [29]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [28]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_16 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3985  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [26]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [25]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_15 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3986  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [2]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [1]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_14 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3987  (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_3 ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [11]),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [10]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_13 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3988  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_3 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [0]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_12 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3989  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_11 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3990  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_2 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_11 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3991  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_1 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3992  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_7 ),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3993  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_4 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3994  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_5 ),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3995  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3996  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3997  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3998  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_g3999  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_sll_12687_66_n_1 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24297 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1790),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2519),
+	.X(n_34799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24298 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1744),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1909),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2517),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2519),
+	.SUM(n_34797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24299 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1994),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1910),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2515),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2517),
+	.SUM(n_34795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24300 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1995),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2033),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2513),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2515),
+	.SUM(n_34793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24301 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2034),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2099),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2511),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2513),
+	.SUM(n_34791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24302 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2100),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2161),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2509),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2511),
+	.SUM(n_34789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24303 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2508),
+	.Y(n_34787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24304 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2507),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24305 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2170),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2114),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2504),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2507),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24306 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2505),
+	.Y(n_34785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24307 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2171),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2199),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2501),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2504),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24308 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2502),
+	.Y(n_34783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24309 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2223),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2200),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2498),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2501),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24310 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2499),
+	.Y(n_34781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24311 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2268),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2224),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2495),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2498),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24312 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2496),
+	.Y(n_34779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24313 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2269),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2230),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2492),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2495),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24314 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2493),
+	.Y(n_34777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24315 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2258),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2231),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2489),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2492),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24316 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2490),
+	.Y(n_34775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24317 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2279),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2259),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2486),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2489),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24318 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2487),
+	.Y(n_34773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24319 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2303),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2280),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2483),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2486),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24320 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2484),
+	.Y(n_34771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24321 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2293),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2304),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2480),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2483),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24322 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2481),
+	.Y(n_34769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24323 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2326),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2294),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2477),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2480),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24324 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2478),
+	.Y(n_34767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24325 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2334),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2327),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2474),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2477),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24326 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2475),
+	.Y(n_34765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24327 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2324),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2335),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2471),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2474),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24328 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2472),
+	.Y(n_34763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24329 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2330),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2325),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2468),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2471),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24330 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2469),
+	.Y(n_34761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24331 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2331),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2336),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2465),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2468),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24332 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2466),
+	.Y(n_34759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24333 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2353),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2337),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2462),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2465),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24334 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2463),
+	.Y(n_34757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24335 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2357),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2354),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2459),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2462),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24336 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2460),
+	.Y(n_34755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24337 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2359),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2358),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2456),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2459),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24338 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2457),
+	.Y(n_34753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24339 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2370),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2360),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2453),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2456),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24340 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2454),
+	.Y(n_34751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24341 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2361),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2371),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2450),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2453),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24343 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2349),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2362),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2447),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2450),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24344 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2448),
+	.Y(n_34747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24345 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2351),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2350),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2444),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2447),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24347 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2365),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2352),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2441),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2444),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24349 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2363),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2366),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2438),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2441),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24351 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2347),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2364),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2435),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2438),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24353 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2342),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2348),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2432),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2435),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24355 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2340),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2343),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2429),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2432),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24357 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2355),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2341),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2426),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2429),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24358 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2427),
+	.Y(n_34796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24359 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2338),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2356),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2423),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2426),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24360 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2424),
+	.Y(n_34794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24361 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2322),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2339),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2420),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2423),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24362 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2421),
+	.Y(n_34792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24363 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2323),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2332),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2417),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2420),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24364 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2418),
+	.Y(n_34790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24365 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2320),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2333),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2414),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2417),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24366 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2415),
+	.Y(n_34788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24367 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2321),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2313),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2411),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2414),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24368 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2412),
+	.Y(n_34786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24369 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2328),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2314),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2408),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2411),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24370 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2409),
+	.Y(n_34784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24371 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2291),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2329),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2405),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2408),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24372 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2406),
+	.Y(n_34782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24373 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2289),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2292),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2402),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2405),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24374 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2403),
+	.Y(n_34780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24375 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2285),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2290),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2399),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2402),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24376 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2400),
+	.Y(n_34778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24377 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2260),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2286),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2396),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2399),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24378 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2397),
+	.Y(n_34776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24379 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2261),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2240),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2393),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2396),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24380 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2394),
+	.Y(n_34774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24381 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2238),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2241),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2390),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2393),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24382 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2391),
+	.Y(n_34772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24383 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2209),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2239),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2387),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2390),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24384 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2388),
+	.Y(n_34770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24385 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2210),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2197),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2384),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2387),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24386 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2385),
+	.Y(n_34768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24387 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2183),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2198),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2381),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2384),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24388 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2382),
+	.Y(n_34766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24389 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2181),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2184),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2378),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2381),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24390 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2379),
+	.Y(n_34764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24391 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2125),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2182),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2375),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2378),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24392 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2376),
+	.Y(n_34762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24393 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2055),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2126),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2372),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2375),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24394 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2373),
+	.Y(n_34760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24395 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2121),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2056),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2367),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2372),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24396 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2242),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2319),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2307),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2370),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24397 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2368),
+	.Y(n_34758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24398 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2023),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2122),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2344),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2367),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24399 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2235),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2311),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2306),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2365),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24400 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2233),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2275),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2312),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2363),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24401 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2215),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2308),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2287),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2361),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24402 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2249),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2310),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2318),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2359),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24403 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2228),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2309),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2296),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2357),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24404 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2251),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2299),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2298),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2355),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24405 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2204),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2302),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2295),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2353),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24406 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2214),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2305),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2284),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2351),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24407 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2216),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2283),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2288),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2349),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24408 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2255),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2277),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2276),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2347),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24409 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2345),
+	.Y(n_34756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24410 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1964),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2024),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2315),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2344),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24411 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2207),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2281),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2278),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2342),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24412 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2208),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2297),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2282),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2340),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24413 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2201),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2266),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2300),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2338),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24414 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2167),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2271),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2301),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2336),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24415 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2076),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2257),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2205),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2334),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24416 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2148),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2264),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2247),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2332),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24417 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2118),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2237),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2270),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2330),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24418 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2078),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2217),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2245),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2328),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24419 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2106),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2256),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2222),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2326),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24420 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2117),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2206),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2236),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2324),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24421 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2202),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2246),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2267),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2322),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24422 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2140),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2219),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2265),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2320),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24423 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2133),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2086),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2263),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2318),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24424 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2316),
+	.Y(n_34754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24425 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1855),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1965),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2272),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2315),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24426 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2082),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2244),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2220),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2313),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24427 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2159),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2196),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2254),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2311),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24428 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2152),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2085),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2262),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2309),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24429 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2134),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2168),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2243),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2307),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24430 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2195),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2178),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2232),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2305),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24431 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2158),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2191),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2194),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2303),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24432 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2156),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2097),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2227),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2301),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24433 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2150),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2094),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2253),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2299),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24434 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2090),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2092),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2252),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2297),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24435 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2151),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2098),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2248),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2295),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24436 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2088),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2192),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2221),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2293),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24437 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2135),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2189),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2218),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2291),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24438 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2136),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2190),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2187),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2289),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24439 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2179),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2169),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2213),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2287),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24440 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2065),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2185),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2188),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2285),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24441 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2177),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2180),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2234),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2283),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24442 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2040),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2104),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2250),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2281),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24443 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2157),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2163),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2193),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2279),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24444 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2108),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2175),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2226),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2277),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24445 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2174),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2160),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2225),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2275),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24446 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2273),
+	.Y(n_34752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24447 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1853),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1856),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2211),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2272),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24448 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2155),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2054),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2203),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2270),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24449 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1952),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2146),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2071),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2268),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24450 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2096),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2154),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2147),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2266),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24451 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2137),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2084),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2081),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2264),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24452 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1984),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2144),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2172),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2262),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24453 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2025),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2131),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2186),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2260),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24454 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1975),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2124),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2162),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2258),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24455 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2047),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2038),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2111),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2256),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24456 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2110),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2107),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2116),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2254),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24457 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2095),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1824),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2153),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2252),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24458 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1938),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2149),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2093),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2250),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24459 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1930),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2102),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2143),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2248),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24460 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2083),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2142),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2139),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2246),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24461 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2035),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2080),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2073),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2244),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24462 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1985),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2069),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2173),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2242),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24463 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2026),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2129),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2132),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2240),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24464 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1989),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2127),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2130),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2238),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24465 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2032),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2053),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2166),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2236),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24466 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2051),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2119),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2060),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2234),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24467 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2109),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2052),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2120),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2232),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24468 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1974),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2123),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2072),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2230),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24469 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2212),
+	.Y(n_34750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24470 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1954),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2044),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2101),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2227),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24471 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2016),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2039),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2103),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2225),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24472 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1858),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2145),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2042),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2223),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24473 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2037),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1956),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2075),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2221),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24474 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2079),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2138),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2077),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2219),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24475 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1980),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2036),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2074),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2217),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24476 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2063),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2061),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2070),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2215),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24477 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2064),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2059),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2062),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2213),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24478 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1761),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1854),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2164),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2211),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24479 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1971),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2049),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2128),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2209),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24480 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2014),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2089),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2091),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2207),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24481 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2048),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2031),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2112),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2205),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24482 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1860),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2030),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2043),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2203),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24483 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1830),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1990),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2141),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2201),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24484 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1857),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2022),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2041),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2199),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24485 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1872),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2045),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2050),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2197),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24486 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1864),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1802),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2115),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2195),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24487 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1911),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1846),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2087),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2193),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24488 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1955),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1912),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2105),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2191),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24489 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1888),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1981),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2067),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2189),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24490 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1957),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1977),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2068),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2187),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24491 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1882),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1958),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2066),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2185),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24492 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2011),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1940),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2046),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2183),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24493 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1868),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1968),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2012),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2181),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24494 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1805),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1880),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2057),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2179),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24495 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1806),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1842),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2058),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2177),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24497 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1840),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2013),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1960),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2174),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24498 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1815),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1993),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1827),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2172),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24499 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1897),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2007),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2021),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2170),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24500 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1879),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2028),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_16),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2168),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24501 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2029),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1794),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2010),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2166),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24502 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1688),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1762),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2018),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2164),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24503 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1944),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1845),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2020),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2162),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24504 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2113),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24505 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2015),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1850),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1838),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2159),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24506 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1481),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2002),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1800),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2157),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24507 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1753),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1866),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1953),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2155),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24508 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1926),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1928),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1923),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2153),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24509 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1826),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1917),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2000),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2151),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24510 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1707),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1927),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1829),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2149),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24511 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1996),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1924),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1991),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2147),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24512 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1758),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1921),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2004),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2145),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24513 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1779),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1992),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1934),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2143),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24514 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1978),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1920),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1907),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2141),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24515 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1979),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1908),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1997),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2139),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24516 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1777),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1904),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1901),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2137),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24517 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1983),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1976),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1877),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2135),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24518 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1752),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2027),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1818),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2133),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24519 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1873),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1988),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1876),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2131),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24520 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1972),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1970),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1874),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2129),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24521 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1803),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1973),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1871),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2127),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24522 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1820),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1966),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1969),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2125),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24523 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1943),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1892),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2019),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2123),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24524 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1632),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1862),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1797),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2121),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24525 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1774),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1849),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1837),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2119),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24526 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2009),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1793),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1848),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2117),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24527 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1764),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1852),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1959),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2115),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24528 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1745),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2006),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1789),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2113),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24529 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1999),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1847),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1896),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2111),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24530 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1553),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1839),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1791),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2109),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24531 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1949),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1792),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1833),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2107),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24532 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1947),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1914),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1931),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2105),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24533 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1937),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1935),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1834),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2103),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24534 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1712),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1933),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1946),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2101),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24535 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1379),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1814),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1737),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2099),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24536 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1870),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1825),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1929),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2097),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24537 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1486),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1781),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1919),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2095),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24538 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1916),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1822),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1925),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2093),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24539 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1915),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1832),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1936),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2091),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24540 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1784),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1821),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1823),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2089),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24541 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1767),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2003),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1913),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2087),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24542 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1817),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1918),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2001),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2085),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24543 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1905),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1986),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1903),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2083),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24544 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1906),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1899),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1987),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2081),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24545 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1609),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1778),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1893),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2079),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24546 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1900),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1889),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1902),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2077),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24547 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1948),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1895),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1932),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2075),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24548 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1894),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1887),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1890),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2073),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24549 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1922),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1891),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2005),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2071),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24550 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1816),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1828),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1811),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2069),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24551 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1881),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1786),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1878),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2067),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24552 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1612),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1807),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1875),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2065),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24553 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1448),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1747),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1883),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2063),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24554 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1812),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1886),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1841),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2061),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24555 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1884),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1863),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1801),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2059),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24556 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1773),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1748),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1941),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2057),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24557 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1770),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1861),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1967),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2055),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24558 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1865),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1810),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1859),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2053),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24559 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1763),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1851),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1942),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2051),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24560 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1771),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1804),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1939),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2049),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24561 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1614),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1739),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1843),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2047),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24562 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1724),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1772),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1867),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2045),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24563 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1450),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1754),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1945),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2043),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24564 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1512),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1757),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1951),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2041),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24565 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1783),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1950),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1836),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2039),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24566 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1613),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1715),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1998),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2037),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24567 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1648),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1610),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1982),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2035),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24568 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1465),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1788),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1813),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2033),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24569 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1740),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1809),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1844),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2031),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24570 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1526),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1393),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1869),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2029),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24571 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1447),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1604),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1885),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2027),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24572 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1582),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1775),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1808),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2025),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24573 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1578),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1765),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1798),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2023),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24574 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1511),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_9),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1898),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2021),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24575 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1650),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1751),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1799),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2019),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24576 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1403),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1733),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1736),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2008),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24577 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1738),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2008),
+	.X(n_34746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24578 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1554),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1687),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1835),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2015),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24579 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1520),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1510),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1831),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2013),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24580 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1672),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1725),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1819),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2011),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24581 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1963),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24582 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1962),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24583 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1385),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1703),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1746),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2006),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24584 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1473),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1492),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1560),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2004),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24585 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1381),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1601),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1680),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2002),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24586 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1705),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1713),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1530),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2000),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24587 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1655),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1659),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1411),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1998),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24588 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1463),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1677),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1634),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1996),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24589 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1464),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1440),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1787),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1994),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24590 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1669),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1388),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1603),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1992),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24591 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1633),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1476),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1782),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1990),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24592 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1408),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1595),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1776),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1988),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24593 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1662),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1594),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1564),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1986),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24594 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1702),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1683),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1780),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1984),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24595 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1328),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1621),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1627),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1982),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24596 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1638),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1624),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1785),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1980),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24597 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1661),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1593),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1563),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1978),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24598 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1611),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1675),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1628),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1976),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24599 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1566),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1474),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1750),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1974),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24600 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1549),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1555),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1431),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1972),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24601 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1461),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1598),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1596),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1970),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24602 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1727),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1616),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1769),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1968),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24603 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1721),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1534),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1631),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1966),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24604 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1571),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1457),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1766),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1964),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24605 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1383),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1398),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1405),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1962),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24606 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1325),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1401),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1759),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2008),
+	.SUM(n_34744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24607 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1472),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1562),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1568),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1959),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24608 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1620),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1652),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1668),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1957),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24609 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_12),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1681),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1768),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1955),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24610 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1576),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_10),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1717),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1953),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24611 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1491),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_8),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1548),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1951),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24612 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1342),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1458),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1663),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1949),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24613 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1283),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1389),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1730),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1947),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24614 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1278),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1704),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1722),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1945),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24615 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1589),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1587),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1654),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1943),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24616 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1380),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1384),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1386),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1941),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24617 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1671),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1584),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1532),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1939),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24618 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1664),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1536),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1504),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1937),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24619 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1706),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1500),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1459),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1935),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24620 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1701),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1699),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1682),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1933),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24621 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1708),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1719),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1544),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1931),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24622 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1574),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1580),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1546),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1929),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24623 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1336),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1495),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1475),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1927),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24624 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1693),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1728),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1522),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1925),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24625 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1729),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1496),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1694),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1923),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24626 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1281),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1387),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1565),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1921),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24627 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1678),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1462),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1676),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1919),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24628 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1445),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_14),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1723),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1917),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24629 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1487),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1485),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1508),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1915),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24630 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1714),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1718),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1602),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1913),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24631 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1436),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1498),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1482),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1911),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24632 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1285),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1439),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1430),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1909),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24633 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1605),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1679),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1416),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1907),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24634 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1591),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1343),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1657),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1905),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24635 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1635),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1625),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1606),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1903),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24636 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1592),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1626),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1658),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1901),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24637 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1710),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1647),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1636),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1899),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24638 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1020),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1645),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1484),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1897),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24639 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_15),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1709),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1731),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1895),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24640 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1637),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1623),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1641),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1893),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24641 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1653),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1649),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_13),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1891),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24642 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1697),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1711),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1558),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1889),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24643 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1674),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1642),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1698),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1887),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24644 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1451),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1378),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1585),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1885),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24645 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1437),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1505),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1419),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1883),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24646 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1607),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1581),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1691),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1881),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24647 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1685),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1518),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1418),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1879),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24648 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1619),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1667),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1622),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1877),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24649 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1599),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1692),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1608),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1875),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24650 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1597),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1422),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1600),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1873),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24651 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1550),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1432),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1556),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1871),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24652 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1573),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1579),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1489),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1869),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24653 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1615),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1726),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1640),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1867),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24654 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1390),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1716),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1449),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1865),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24655 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1513),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1630),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1506),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1863),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24656 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1454),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1577),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1618),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1861),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24657 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1575),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1442),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1644),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1859),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24658 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1547),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1392),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1646),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1857),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24659 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1569),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1524),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1572),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1855),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24660 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1362),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1689),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1570),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1853),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24661 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1471),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1567),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1686),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1851),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24662 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1561),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1528),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1514),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1849),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24663 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1656),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1660),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1412),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1847),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24664 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1590),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1537),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1588),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1845),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24665 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1404),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1665),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1382),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1843),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24666 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1629),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_11),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1586),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1841),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24667 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1519),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1551),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1409),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1839),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24668 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1796),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24669 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1795),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24671 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1503),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1499),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1535),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1835),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24672 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1410),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1434),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1552),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1833),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24673 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1515),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1469),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1501),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1831),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24674 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1480),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1478),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1488),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1829),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24675 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1417),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1517),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1670),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1827),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24676 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1529),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1391),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1490),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1825),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24677 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1470),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1516),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1502),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1823),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24678 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1479),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1477),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1521),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1821),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24679 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1347),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1720),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1533),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1819),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24680 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1453),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1446),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1700),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1817),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24681 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1017),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1684),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1413),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1815),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24682 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1279),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1468),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1402),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1813),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24683 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1443),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1466),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1414),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1811),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24684 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1022),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1643),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1441),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1809),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24685 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1345),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1407),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1421),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1807),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24686 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1467),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1452),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1444),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1805),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24687 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1329),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1583),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1531),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1803),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24688 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1438),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1420),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1426),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1801),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24689 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1274),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1435),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1497),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1799),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24690 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1327),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1456),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1455),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1797),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24691 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1394),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1395),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1399),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1795),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24692 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1525),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1666),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1428),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1793),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24693 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1433),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1509),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1494),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1791),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24694 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1400),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1743),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24695 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1396),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1749),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1396),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1749),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24696 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1756),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24697 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1755),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24698 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_926),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1344),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1651),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1785),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24699 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1164),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1335),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1507),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1783),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24700 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1093),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1348),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1415),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1781),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24701 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1287),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1061),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1673),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1779),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24702 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_920),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1337),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1557),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1777),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24703 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_882),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1330),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1460),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1775),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24704 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1024),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1333),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1527),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1773),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24705 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_819),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1346),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1639),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1771),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24706 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_807),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1326),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1617),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1769),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24707 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1282),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1140),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1543),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1767),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24708 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_931),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1361),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1523),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1765),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24709 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_795),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1360),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1493),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1763),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24710 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1133),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1334),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1690),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1761),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24711 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1148),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1363),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1695),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1759),
+	.SUM(n_34742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24712 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_767),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1280),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1559),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1757),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24713 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1116),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1279),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1406),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1755),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24714 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_813),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1277),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1545),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1753),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24716 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1732),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1538),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1539),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24717 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1742),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24718 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1741),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24719 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_872),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1023),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1425),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1747),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24720 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1169),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1276),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1483),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1745),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24721 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_785),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1113),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1429),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1743),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24722 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1019),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1181),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1423),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1741),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24723 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_831),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1026),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1427),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1739),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24724 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1734),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1541),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1734),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1541),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24725 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1403),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1733),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24726 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1734),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1542),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1540),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1396),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24727 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1733),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1403),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24728 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1397),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1538),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1397),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1538),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24729 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1077),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1078),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_969),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1730),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24730 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_977),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1101),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1099),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1728),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24731 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1243),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_842),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1193),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1726),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24732 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_826),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_921),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1338),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1724),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24733 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1156),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1154),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1233),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1722),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24734 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1076),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_792),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_951),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1720),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24735 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_740),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1062),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1203),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1718),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24736 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1134),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_922),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1249),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1716),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24737 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1180),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_887),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1007),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1714),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24738 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_764),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1131),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1286),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1712),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24739 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1003),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_867),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_894),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1710),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24740 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_773),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_900),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1013),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1708),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24741 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1151),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_928),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1364),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1706),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24742 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1158),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1160),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1215),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1704),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24743 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_778),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_902),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1269),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1734),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24744 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1108),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1105),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1217),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1701),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24745 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1098),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_837),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1214),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1699),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24746 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_822),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_827),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_994),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1697),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24747 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_709),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1197),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1341),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1695),
+	.SUM(n_34740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24748 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1110),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1218),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1107),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1693),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24749 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1095),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_899),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_957),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1691),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24750 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_705),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1157),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1268),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1689),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24751 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1174),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1068),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1350),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1688),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24752 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1183),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1094),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_953),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1686),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24753 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_832),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_865),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1252),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1684),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24754 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1118),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1221),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_880),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1682),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24755 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_814),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1235),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1152),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1680),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24756 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1091),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1089),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1212),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1678),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24757 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1081),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1082),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1209),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1676),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24758 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_800),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_791),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1001),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1674),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24759 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1056),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1143),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1266),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1673),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24760 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1185),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_835),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1205),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1671),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24761 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_850),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_897),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_955),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1669),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24762 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_911),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_909),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_981),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1667),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24763 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_784),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1096),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_959),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1665),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24764 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_707),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1184),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1242),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1663),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24765 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1060),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1202),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1058),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1661),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24766 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_933),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_906),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_988),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1659),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24767 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_908),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1014),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_910),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1657),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24768 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_927),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_923),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_986),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1655),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24769 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_893),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1173),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_974),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1653),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24770 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_925),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_874),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_947),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1651),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24771 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_768),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_871),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_966),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1649),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24772 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_885),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_884),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1002),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1647),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24773 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_838),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_950),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_830),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1645),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24774 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_846),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_958),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_811),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1643),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24775 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1182),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_858),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_998),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1641),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24776 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_702),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_861),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1251),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1639),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24777 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_892),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_847),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_996),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1637),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24778 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_859),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_849),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1010),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1635),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24779 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1073),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1064),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1339),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1633),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24780 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1069),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1120),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1352),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1631),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24781 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_973),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_840),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_839),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1629),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24782 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1065),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_984),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_930),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1627),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24783 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_704),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_802),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1009),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1625),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24784 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_708),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_862),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_999),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1623),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24785 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_934),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_932),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_989),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1621),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24786 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_701),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_913),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1204),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1619),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24787 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_799),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_803),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_945),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1617),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24788 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_834),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_833),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1262),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1615),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24789 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_816),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_817),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1025),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1613),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24790 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1071),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_895),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1332),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1611),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24791 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_870),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_866),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1351),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1609),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24792 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_905),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_904),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_965),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1607),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24793 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_881),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_851),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_941),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1605),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24794 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_924),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_915),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1015),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1603),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24795 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_775),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_883),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1206),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1601),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24796 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1072),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1166),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1260),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1599),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24797 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_873),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1145),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_944),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1597),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24798 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_869),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_868),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1349),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1595),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24799 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1090),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1126),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1234),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1593),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24800 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_901),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_917),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1016),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1591),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24801 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_744),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_793),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1246),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1589),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24802 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1186),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1123),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_971),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1587),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24803 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_770),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_980),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_809),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1585),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24804 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_806),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1063),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1261),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1583),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24805 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_918),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1066),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1241),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1581),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24806 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_985),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_790),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_805),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1579),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24807 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_836),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1165),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_979),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1577),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24808 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1119),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1106),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1259),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1575),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24809 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1201),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_896),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1265),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1573),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24810 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1087),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_804),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1331),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1571),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24811 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1124),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_935),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_954),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1569),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24812 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1139),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1267),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1147),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1567),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24813 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_777),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_939),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1006),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1565),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24814 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1153),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1103),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1000),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1563),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24815 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1194),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_788),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1263),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1561),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24816 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1146),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1138),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1225),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1559),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24817 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1005),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_903),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_912),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1557),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24818 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_857),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_855),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_962),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1555),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24819 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_875),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1137),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1340),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1553),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24820 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_876),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1257),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1111),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1551),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24821 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_698),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_860),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_963),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1549),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24822 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1112),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1208),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1127),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1547),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24823 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1178),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1240),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1175),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1545),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24824 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1129),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1223),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1132),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1543),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24825 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1541),
+	.B_N(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1396),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24830 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1541),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24831 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1397),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24836 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1424),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24837 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1200),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1199),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1248),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1535),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24838 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_699),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_815),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_949),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1533),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24839 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1245),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_841),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_801),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1531),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24840 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1088),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1086),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1211),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1529),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24841 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_742),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_987),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_821),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1527),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24842 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_810),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1170),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_946),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1525),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24843 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_710),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1084),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_940),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1523),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24844 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1150),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1104),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1216),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1521),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24845 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_808),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_818),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1258),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1519),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24846 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_812),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_886),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_991),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1517),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24847 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1198),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1100),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1238),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1515),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24848 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_796),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1190),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1232),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1513),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24849 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_743),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1021),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_968),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1511),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24850 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_891),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1012),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1141),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1509),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24851 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1162),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1161),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1236),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1507),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24852 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_878),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_863),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_964),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1505),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24853 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1057),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1059),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1250),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1503),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24854 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1168),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1167),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1237),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1501),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24855 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1196),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1195),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1247),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1499),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24856 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1130),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1231),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1155),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1497),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24857 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1125),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1128),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1224),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1495),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24858 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_741),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1067),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1253),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1493),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24859 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_745),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_877),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1210),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1491),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24860 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_782),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1102),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1229),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1489),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24861 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1226),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1136),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1135),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1487),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24862 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1115),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1220),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1114),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1485),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24863 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_774),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_843),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1008),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1483),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24864 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1275),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1219),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1109),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1481),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24865 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1083),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1117),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1228),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1479),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24866 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_703),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1142),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1230),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1477),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24867 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1122),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1222),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1121),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1475),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24868 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1019),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_990),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_828),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1473),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24869 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1097),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_972),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1079),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1471),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24870 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1172),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1239),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1171),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1469),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24871 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1179),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_798),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1272),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1468),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24872 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_898),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_889),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_975),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1466),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24873 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_783),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1144),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1271),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1464),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24874 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1085),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1227),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1074),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1462),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24875 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_879),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1163),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_970),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1460),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24876 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1187),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_919),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1244),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1458),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24877 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1159),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1191),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_978),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1456),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24878 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_711),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_797),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_942),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1454),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24879 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_772),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1004),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_825),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1453),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24880 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_916),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_914),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_983),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1451),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24881 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_780),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1011),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1149),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1449),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24882 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_765),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1264),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1018),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1447),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24883 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_776),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1070),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1207),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1445),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24884 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1189),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_794),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1255),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1443),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24885 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_779),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_864),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_948),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1441),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24886 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_769),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1284),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_956),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1439),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24887 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_856),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_854),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_961),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1437),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24888 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_781),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1177),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_992),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1435),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24889 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1080),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_789),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1254),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1433),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24890 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1192),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_852),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_960),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1431),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24891 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_771),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1176),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1273),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1429),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24892 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_823),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_829),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_952),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1427),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24893 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_766),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_844),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_967),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1425),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24894 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_824),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_820),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1270),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1423),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24895 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_706),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1075),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1213),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1421),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24896 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_938),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_943),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_848),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1419),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24897 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_845),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_995),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_936),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1417),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24898 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_700),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1092),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_993),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1415),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24899 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_853),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1188),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_997),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1413),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24900 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_746),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_907),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_982),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1411),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24901 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_929),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_937),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1256),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1409),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24902 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_890),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_888),
+	.CIN(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_976),
+	.COUT(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1407),
+	.SUM(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24903 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1303),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1034),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_747),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24904 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1049),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1356),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24905 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1036),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1049),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1035),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24906 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1303),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1358),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1303),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1358),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24907 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1289),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1313),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_713),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24908 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1313),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1359),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1313),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1359),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24909 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_785),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1365),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24911 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1027),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1366),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24914 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1032),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1367),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24917 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1029),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1355),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24921 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1033),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1372),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1033),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1372),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24922 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1295),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1353),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24923 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1276),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1354),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24924 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1022),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1357),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24925 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_7),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1302),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1301),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24926 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1290),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1321),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1291),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24927 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1315),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1297),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1299),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24928 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1292),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1322),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1293),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24929 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1033),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1319),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1037),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24930 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1042),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1320),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1041),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24931 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1027),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1030),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1310),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24932 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1317),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1298),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1040),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24933 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1028),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1043),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1029),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24934 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1022),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1047),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1288),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24935 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1316),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1032),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1031),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24936 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1323),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1294),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1296),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24937 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1306),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1300),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1295),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24938 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1276),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1312),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_786),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24939 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1038),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1318),
+	.C(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1039),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24941 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1031),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1316),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24942 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1315),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1297),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24943 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1323),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1294),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24944 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1040),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1317),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24945 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_7),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1301),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24946 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1321),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1290),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24947 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1310),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1030),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24948 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1319),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1037),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24949 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_787),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1324),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_787),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1324),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24950 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1322),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1292),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24951 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1320),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1042),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24952 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1318),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1038),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24953 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_760),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1309),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24954 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_761),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1053),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24955 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_762),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1051),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24956 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_756),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1308),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24957 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1054),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_763),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1333),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24958 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_713),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1289),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24959 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1047),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1288),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24960 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1035),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1036),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24961 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1028),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1043),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24962 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_747),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1034),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24963 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_786),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1312),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24964 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1306),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1300),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24965 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_759),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1044),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24966 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_755),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1052),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24967 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_748),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1314),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24968 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_753),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1048),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24969 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_758),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1307),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24970 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_751),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1045),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24971 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_752),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1046),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24972 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_750),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1305),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24973 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_754),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1050),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24974 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_757),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1304),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24975 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_749),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1311),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24976 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_749),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1311),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24977 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_757),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1304),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24978 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_751),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1045),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24979 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_755),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1052),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24980 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_684),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1055),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24981 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_758),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1307),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24982 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_760),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1309),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24983 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_748),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1314),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24984 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_750),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1305),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24985 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_762),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1051),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24986 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_753),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1048),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24987 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_752),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1046),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24988 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_754),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1050),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24989 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_756),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1308),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24990 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_759),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1044),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24991 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_761),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1053),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24992 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_763),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1054),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24993 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1286),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24994 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1284),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24995 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1282),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24996 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1280),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24997 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1277),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24998 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1274),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g24999 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_412),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_712),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_661),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25000 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_418),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_720),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_679),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25001 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_417),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_736),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_680),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25002 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_419),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_734),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_665),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25004 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_721),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_434),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_667),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25005 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_725),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_425),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_668),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25006 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_731),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_415),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_664),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25007 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_723),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_427),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_672),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25008 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_737),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_413),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_662),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25009 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_714),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_423),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_675),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25010 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_718),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_432),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_676),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25011 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_716),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_421),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_671),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25012 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_729),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_430),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_678),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25013 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_429),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_733),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_673),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25014 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_720),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_535),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_418),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_165),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25015 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_715),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_231),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_424),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_168),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25016 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_724),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_543),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_428),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_461),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25017 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_728),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_649),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_522),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25018 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_720),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_154),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_176),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_418),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25019 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_736),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_626),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_417),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_260),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25020 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_728),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_623),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_649),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25021 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_712),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_206),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_198),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_412),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25022 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_717),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_633),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_422),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_504),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25023 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_720),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_165),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_418),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_158),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25024 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_730),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_306),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_431),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_232),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25025 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_717),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_218),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_422),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_683),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25026 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_728),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_575),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_605),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25027 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_717),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_576),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_422),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_263),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25028 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_733),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_593),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_429),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_469),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25029 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_735),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_498),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_420),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_316),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25030 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_730),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_360),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_431),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_313),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25031 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_732),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_587),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_416),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_607),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25032 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_722),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_307),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_435),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_364),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25033 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_726),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_237),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_426),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_476),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25034 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_728),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_605),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_669),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25035 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_719),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_270),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_433),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_344),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25036 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_715),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_251),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_424),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_315),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25037 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_726),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_562),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_426),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_237),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25038 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_726),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_321),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_426),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_264),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25039 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_738),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_557),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_414),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_477),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25040 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_730),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_152),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_431),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_360),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25041 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_736),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_586),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_417),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_335),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25042 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_722),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_89),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_223),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_435),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25043 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_732),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_549),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_416),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_587),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25044 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_726),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_94),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_563),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_426),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25045 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_715),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_283),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_424),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_615),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25046 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_730),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_463),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_431),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_177),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25047 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_720),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_539),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_418),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_546),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25048 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_736),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_691),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_265),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_417),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25049 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_727),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_21),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_670),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25050 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_738),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_513),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_414),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_557),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25051 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_730),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_538),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_431),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_152),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25052 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_728),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_516),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_581),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25053 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_732),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_520),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_416),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_549),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25054 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_719),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_217),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_433),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_345),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25055 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_733),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_155),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_429),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_286),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25056 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_722),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_509),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_435),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_371),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25057 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_726),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_642),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_426),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_234),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25058 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_715),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_590),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_228),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_424),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25059 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_724),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_366),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_428),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_220),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25060 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_715),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_494),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_424),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_145),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25061 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_730),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_133),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_431),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_677),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25062 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_735),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_490),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_420),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_532),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25063 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_719),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_250),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_369),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_433),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25064 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_734),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_271),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_314),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_419),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25065 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_715),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_632),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_424),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_494),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25066 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_720),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_255),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_418),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_358),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25067 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_722),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_297),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_435),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_175),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25068 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_712),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_185),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_412),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_192),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25069 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_724),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_461),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_428),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_480),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25070 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_724),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_375),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_428),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_570),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25071 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_720),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_176),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_447),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_418),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25072 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_719),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_465),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_433),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_639),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25073 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_734),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_565),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_419),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_611),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25074 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_734),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_93),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_271),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_419),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25075 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_734),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_368),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_419),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_519),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25076 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_733),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_483),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_429),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_530),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25077 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_717),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_507),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_422),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_301),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25078 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_719),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_451),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_433),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_164),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25079 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_728),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_471),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_341),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25080 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_728),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_341),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_592),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25081 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_728),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_148),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_258),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25082 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_733),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_141),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_429),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_606),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25083 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_712),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_197),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_412),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_199),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25084 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_730),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_690),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_431),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_472),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25085 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_712),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_199),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_412),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_207),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25086 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_732),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_634),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_416),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_663),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25087 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_736),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_561),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_417),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_508),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25088 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_738),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_333),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_414),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_247),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25089 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_732),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_484),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_416),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_332),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25090 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_715),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_589),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_424),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_440),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25091 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_712),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_187),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_412),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_203),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25092 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_733),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_323),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_429),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_514),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25093 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_735),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_327),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_233),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_420),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25094 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_734),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_558),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_419),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_647),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25095 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_719),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_346),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_433),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_627),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25096 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_719),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_362),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_433),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_295),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25097 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_717),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_243),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_422),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_287),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25098 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_735),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_532),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_555),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_420),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25099 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_719),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_550),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_433),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_138),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25100 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_728),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_240),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_638),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[0]),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25101 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_735),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_555),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_420),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_498),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25102 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_724),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_554),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_428),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_147),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25103 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_735),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_316),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_420),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_444),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25104 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_717),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_695),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_422),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_540),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25105 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_722),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_223),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_435),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_566),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25106 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_728),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_608),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_227),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25107 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_734),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_630),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_419),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_528),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25108 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_720),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_497),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_418),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_343),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25109 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_730),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_242),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_431),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_640),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25110 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_717),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_542),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_422),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_157),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25111 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_722),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_443),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_435),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_506),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25112 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_735),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_629),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_420),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_594),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25113 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_730),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_232),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_431),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_523),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25114 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_728),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_581),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_575),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25115 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_205),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_712),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_211),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_412),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25116 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_717),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_254),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_422),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_449),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25117 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_712),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_210),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_412),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_193),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25118 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_736),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_548),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_417),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_561),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25119 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_712),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_181),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_412),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_187),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25120 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_734),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_521),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_419),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_248),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25121 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_719),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_345),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_433),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_588),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25122 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_712),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_191),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_412),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_209),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25123 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_733),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_606),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_429),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_593),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25124 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_738),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_99),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_414),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_318),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25125 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_734),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_524),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_419),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_322),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25126 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_722),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_651),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_435),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_591),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25127 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_719),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_639),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_433),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_362),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25128 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_726),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_533),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_426),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_562),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25129 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_720),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_386),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_418),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_535),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25130 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_724),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_222),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_428),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_600),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25131 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_717),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_470),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_422),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_458),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25132 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_735),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_236),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_420),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_618),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25133 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_736),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_334),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_417),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_680),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25134 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_724),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_525),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_428),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_554),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25135 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_733),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_530),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_429),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_296),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25136 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_735),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_444),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_420),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_367),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25137 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_738),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_582),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_414),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_239),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25138 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_728),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_227),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_388),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25139 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_720),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_173),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_359),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_418),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25140 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_733),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_90),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_180),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_429),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25141 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_733),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_514),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_429),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_473),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25142 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_722),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_693),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_435),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_443),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25143 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_715),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_145),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_424),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_283),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25144 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_734),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_519),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_419),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_521),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25145 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_732),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_631),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_416),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_645),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25146 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_736),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_336),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_417),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_626),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25147 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_728),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_522),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_169),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25148 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_719),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_164),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_433),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_346),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25149 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_733),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_473),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_429),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_673),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25150 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_735),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_512),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_420),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_652),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25151 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_728),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_692),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_148),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25152 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_720),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_347),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_418),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_510),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25153 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_734),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_314),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_455),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_419),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25154 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_722),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_175),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_435),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_693),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25155 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_724),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_681),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_428),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_222),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25156 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_715),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_87),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_424),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_262),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25157 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_733),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_686),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_429),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_609),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25158 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_719),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_339),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_433),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_550),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25159 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_715),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_276),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_424),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_635),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25160 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_720),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_385),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_418),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_317),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25161 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_722),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_162),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_435),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_601),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25162 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_732),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_377),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_416),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_520),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25163 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_717),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_287),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_422),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_633),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25164 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_734),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_282),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_419),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_565),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25165 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_730),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_313),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_431),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_242),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25166 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_735),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_487),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_420),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_674),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25167 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_734),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_595),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_630),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_419),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25168 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_726),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_212),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_426),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_533),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25169 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_738),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_536),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_414),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_513),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25170 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_734),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_248),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_419),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_284),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25171 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_738),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_318),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_414),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_320),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25172 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_720),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_291),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_418),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_347),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25173 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_724),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_659),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_428),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_552),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25174 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_735),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_618),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_420),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_629),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25175 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_735),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_478),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_420),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_236),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25176 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_733),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_556),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_429),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_483),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25177 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_720),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_358),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_418),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_568),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25178 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_732),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_139),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_416),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_441),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25179 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_732),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_459),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_416),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_377),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25180 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_732),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_98),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_416),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_637),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25181 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_734),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_611),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_419),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_524),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25182 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_735),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_349),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_420),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_490),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25183 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_730),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_472),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_431),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_303),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25184 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_738),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_622),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_414),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_496),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25185 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_736),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_260),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_417),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_694),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25186 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_724),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_380),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_428),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_659),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25187 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_728),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_493),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_516),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25188 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_712),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_203),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_412),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_202),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25189 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_736),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_171),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_691),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_417),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25190 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_717),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_178),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_422),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_460),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25191 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_712),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_209),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_412),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_661),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25192 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_736),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_381),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_417),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_474),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25193 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_733),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_537),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_429),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_686),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25194 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_736),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_479),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_171),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_417),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25195 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_720),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_510),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_418),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_146),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25196 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_736),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_464),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_417),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_336),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25197 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_738),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_584),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_414),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_536),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25198 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_719),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_627),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_433),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_544),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25199 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_722),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_601),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_435),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_509),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25200 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_730),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_303),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_431),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_538),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25201 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_717),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_153),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_422),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_685),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25202 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_726),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_604),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_426),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_321),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25203 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_728),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_258),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_493),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25204 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_717),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_460),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_422),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_243),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25205 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_717),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_277),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_422),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_178),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25206 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_735),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_454),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_420),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_512),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1098), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25207 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_736),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_653),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_551),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_417),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25208 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_735),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_280),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_420),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_491),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1096), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25209 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_717),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_263),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_422),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_309),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25210 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_732),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_607),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_416),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_221),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25211 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_724),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_697),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_428),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_288),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25212 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_726),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_442),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_426),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_212),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25213 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_732),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_441),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_416),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_459),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25214 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_722),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_136),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_435),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_370),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25215 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_735),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_233),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_420),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_349),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25216 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_722),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_612),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_435),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_688),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25217 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_724),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_437),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_428),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_375),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25218 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_712),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_190),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_200),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_412),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1088), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25219 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_738),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_656),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_414),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_167),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1087), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25220 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_720),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_447),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_418),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_539),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25221 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_736),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_269),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_479),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_417),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25222 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_732),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_637),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_416),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_631),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25223 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_724),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_570),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_428),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_525),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25224 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_733),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_286),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_429),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_556),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25225 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_722),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_688),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_435),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_297),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25226 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_726),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_257),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_426),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_604),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25227 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_730),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_462),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_431),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_133),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25228 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_720),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_359),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_596),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_418),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25229 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_726),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_476),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_426),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_642),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25230 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_720),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_696),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_418),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_291),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25231 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_734),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_179),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_419),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_687),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25232 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_728),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_598),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_574),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25233 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_732),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_305),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_416),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_534),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25234 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_717),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_685),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_422),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_277),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25235 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_726),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_330),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_426),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_442),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25236 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_730),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_246),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_431),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_463),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1072), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25237 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_726),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_648),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_426),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_585),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25238 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_712),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_194),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_190),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_412),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25239 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_717),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_96),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_422),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_529),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25240 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_715),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_644),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_424),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_231),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1068), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25241 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_715),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_446),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_424),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_450),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1067), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25242 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_715),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_579),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_424),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_267),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1066), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25243 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_724),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_577),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_572),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_428),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25244 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_738),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_660),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_414),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_584),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1064), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25245 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_715),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_262),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_644),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_424),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25246 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_738),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_247),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_414),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_172),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25247 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_722),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_591),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_435),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_547),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25248 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_722),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_370),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_435),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_666),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1062), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25249 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_717),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_161),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_422),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_507),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25250 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_724),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_643),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_428),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_437),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1060), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25251 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_734),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_455),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_628),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_419),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25252 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_730),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_266),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_431),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_690),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25253 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_736),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_265),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_599),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_417),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25254 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_734),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_363),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_419),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_368),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25255 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_730),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_300),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_431),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_462),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25256 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_712),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_202),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_412),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_191),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25257 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_719),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_445),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_433),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_217),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25258 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_734),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_174),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_419),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_558),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25259 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_720),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_158),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_418),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_385),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25260 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_717),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_301),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_422),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_470),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25261 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_734),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_559),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_419),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_466),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25262 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_736),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_508),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_417),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_586),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25263 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1025),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25264 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1023),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25265 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1020),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25266 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1017),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25267 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_728),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_97),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_240),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25268 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_732),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_221),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_416),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_518),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25269 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_728),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_614),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_692),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25270 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_730),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_134),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_431),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_300),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1015), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25271 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_730),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_135),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_431),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_266),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1014), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25272 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_733),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_249),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_429),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_323),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25273 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_719),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_295),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_433),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_689),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25274 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_712),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_189),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_412),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_183),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25275 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_717),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_215),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_422),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_153),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25276 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_732),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_382),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_416),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_139),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1009), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25277 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_734),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_159),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_419),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_559),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1008), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25278 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_728),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_638),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_623),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25279 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_715),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_376),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_424),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_505),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25280 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_734),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_687),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_419),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_282),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1007), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25281 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_719),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_578),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_433),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_339),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25282 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_735),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_259),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_613),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_420),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1005), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25283 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_712),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_198),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_194),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_412),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25284 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_719),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_91),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_517),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_433),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25285 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_733),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_597),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_429),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_351),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25286 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_728),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_169),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_625),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25287 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_733),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_229),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_429),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_252),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25288 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_719),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_573),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_250),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_433),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25289 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_732),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_526),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_416),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_170),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25290 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_726),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_213),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_426),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_224),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25291 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_662),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_413),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_475),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_738),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25292 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_728),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_457),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_365),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25293 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_720),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_343),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_154),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_418),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25294 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_730),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_571),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_431),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_373),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_994), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25295 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_715),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_440),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_424),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_632),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_993), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25296 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_712),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_195),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_412),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_188),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_992), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25297 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_730),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_379),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_431),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_134),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_991), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25298 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_712),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_193),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_412),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_197),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_990), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25299 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_715),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_311),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_424),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_353),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25300 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_717),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_226),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_422),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_254),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_989), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25301 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_720),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_567),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_418),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_696),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_988), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25302 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_712),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_211),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_182),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_412),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25303 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_733),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_235),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_429),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_249),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_986), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25304 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_712),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_200),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_189),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_412),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25305 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_735),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_85),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_654),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_420),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_984), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25306 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_717),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_527),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_422),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_542),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25307 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_712),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_201),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_412),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_186),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25308 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_738),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_304),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_414),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_603),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25309 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_724),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_310),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_428),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_299),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25310 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_738),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_281),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_414),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_622),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_979), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25311 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_730),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_86),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_467),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_431),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_978), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25312 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_724),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_600),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_428),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_337),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25313 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_719),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_369),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_433),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_465),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_977), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25314 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_733),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_180),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_166),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_429),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25315 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_726),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_682),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_426),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_439),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25316 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_720),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_602),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_418),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_355),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25317 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_712),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_182),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_184),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_412),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_973), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25318 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_719),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_689),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_433),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_482),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_972), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25319 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_728),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_293),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_471),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25320 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_720),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_146),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_418),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_602),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25321 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_732),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_278),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_416),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_305),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25322 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_719),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_544),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_433),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_261),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25323 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_735),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_453),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_420),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_478),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25324 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_676),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_432),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_138),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_719),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25325 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_738),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_275),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_414),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_456),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25326 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_735),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_594),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_420),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_151),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25327 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_733),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_166),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_429),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_331),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25328 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_724),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_480),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_428),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_310),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25329 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_715),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_580),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_424),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_276),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25330 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_726),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_214),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_620),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_426),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25331 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_719),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_621),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_433),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_308),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25332 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_732),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_501),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_416),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_278),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25333 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_719),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_261),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_433),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_485),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_959), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25334 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_728),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_279),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_387),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25335 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_734),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_284),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_419),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_272),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_958), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25336 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_726),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_319),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_426),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_648),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_957), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25337 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_720),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_317),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_418),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_679),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_956), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25338 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_726),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_140),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_426),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_541),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_955), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25339 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_738),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_320),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_414),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_656),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_954), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25340 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_722),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_650),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_435),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_342),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25341 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_728),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_574),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_636),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25342 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_712),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_196),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_412),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_201),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25343 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_717),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_529),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_422),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_695),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_951), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25344 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_734),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_647),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_419),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_159),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25345 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_715),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_160),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_424),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_658),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_949), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25346 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_735),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_515),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_420),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_280),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_948), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25347 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_715),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_267),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_424),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_311),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_947), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25348 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_720),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_488),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_418),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_245),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25349 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_715),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_499),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_424),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_160),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25350 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_717),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_504),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_422),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_294),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25351 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_728),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_388),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_598),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25352 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_738),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_273),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_414),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_582),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_944), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25353 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_736),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_641),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_624),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_417),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25354 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_715),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_228),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_424),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_499),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_942), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25355 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_726),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_149),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_426),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_330),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25356 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_728),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_625),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_608),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25357 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_734),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_322),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_419),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_174),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25358 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_734),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_528),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_419),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_610),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25359 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_715),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_615),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_424),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_446),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25360 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_733),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_452),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_429),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_372),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25361 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_715),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_168),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_424),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_352),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25362 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_735),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_151),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_420),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_487),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25363 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_728),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_144),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_457),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25364 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_724),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_337),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_428),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_697),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25365 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_738),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_603),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_414),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_324),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25366 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_738),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_167),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_281),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_414),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25367 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_730),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_356),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_431),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_571),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25368 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_712),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_100),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_205),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_412),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_929), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25369 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_720),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_88),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_173),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_418),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_928), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25370 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_736),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_448),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_417),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_438),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25371 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_719),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_485),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_433),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_289),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_927), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25372 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_726),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_585),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_426),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_213),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_926), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25373 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_733),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_331),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_429),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_229),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_925), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25374 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_736),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_338),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_417),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_464),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_924), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25375 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_668),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_425),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_564),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_726),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_923), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25376 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_736),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_219),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_417),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_334),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25377 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_720),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_546),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_418),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_488),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_922), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25378 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_730),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_325),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_431),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_379),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25379 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_717),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_540),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_422),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_218),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25380 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_726),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_378),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_426),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_149),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25381 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_738),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_456),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_414),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_475),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1038), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25382 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_733),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_296),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_429),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_537),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25383 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_724),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_92),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_569),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_428),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25384 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_724),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_616),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_643),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_428),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25385 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_719),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_308),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_433),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_270),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25386 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_719),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_344),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_433),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_451),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_915), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25387 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_735),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_290),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_420),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_348),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_914), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25388 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_728),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_592),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_144),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_913), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25389 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_730),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_373),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_431),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_135),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_912), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25390 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_724),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_569),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_577),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_428),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25391 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_733),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_351),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_429),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_155),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_910), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25392 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_730),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_177),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_431),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_356),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_909), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25393 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_722),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_354),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_435),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_612),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_908), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25394 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_735),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_491),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_420),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_453),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25395 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_734),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_137),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_419),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_179),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25396 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_722),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_238),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_435),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_492),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25397 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_732),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_534),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_416),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_484),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25398 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_724),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_374),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_616),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_428),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25399 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_712),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_204),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_412),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_181),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25400 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_735),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_613),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_327),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_420),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25401 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_712),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_186),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_412),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_185),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25402 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_738),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_239),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_414),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_304),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25403 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_736),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_624),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_417),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_268),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25404 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_724),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_142),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_428),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_380),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25405 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_722),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_371),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_435),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_651),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25406 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_717),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_309),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_422),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_226),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25407 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_738),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_361),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_414),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_312),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25408 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_719),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_298),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_433),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_578),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25409 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_735),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_654),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_259),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_420),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25410 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_736),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_599),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_653),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_417),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25411 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_722),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_230),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_435),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_238),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25412 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_732),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_350),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_416),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_328),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25413 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_715),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_635),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_424),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_579),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25414 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_724),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_288),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_428),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_500),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25415 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_722),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_364),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_435),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_162),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25416 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_722),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_545),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_435),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_354),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25417 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_732),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_170),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_416),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_382),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25418 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_724),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_500),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_428),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_366),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25419 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_732),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_150),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_416),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_634),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25420 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_726),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_553),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_426),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_319),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25421 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_734),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_272),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_419),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_137),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1036), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25422 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_736),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_95),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_269),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_417),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25423 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_733),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_495),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_429),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_141),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25424 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_736),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_256),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_417),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_292),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25425 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_722),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_566),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_435),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_230),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25426 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_730),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_640),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_431),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_325),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25427 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_734),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_646),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_419),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_665),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25428 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_736),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_438),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_417),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_381),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25429 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_722),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_506),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_435),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_650),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25430 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_720),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_596),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_489),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_418),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25431 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_722),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_492),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_435),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_619),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25432 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_730),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_326),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_431),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_246),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25433 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_712),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_184),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_206),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_412),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25434 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_717),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_157),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_422),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_161),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25435 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_672),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_427),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_383),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_724),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25436 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_726),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_224),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_426),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_378),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_870), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25437 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_726),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_620),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_426),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_553),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25438 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_717),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_302),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_422),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_576),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25439 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_733),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_486),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_429),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_235),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25440 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_728),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_365),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_614),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25441 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_717),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_449),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_422),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_215),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25442 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_726),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_439),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_426),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_140),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25443 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_671),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_421),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_458),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_717),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25444 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_726),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_329),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_426),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_564),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25445 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_717),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_294),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_422),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_527),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25446 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_715),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_353),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_424),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_376),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25447 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_728),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_636),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_279),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25448 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_728),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_387),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_293),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs2_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25449 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_719),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_517),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_573),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_433),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25450 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_738),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_324),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_361),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_414),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25451 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_717),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_683),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_422),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_302),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25452 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_735),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_367),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_420),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_290),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25453 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_738),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_172),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_414),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_273),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25454 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_732),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_518),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_416),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_350),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25455 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_732),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_328),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_416),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_150),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25456 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_730),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_225),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_431),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_326),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_852), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25457 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_738),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_274),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_414),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_660),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25458 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_735),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_143),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_420),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_454),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25459 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_738),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_312),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_414),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_274),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_849), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25460 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_726),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_234),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_426),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_682),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25461 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_724),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_572),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_374),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_428),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25462 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_736),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_694),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_417),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_256),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_846), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25463 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_734),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_560),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_419),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_363),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25464 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_675),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_423),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_384),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_715),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25465 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_712),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_208),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_412),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_204),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25466 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_738),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_583),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_414),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_333),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25467 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_732),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_285),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_416),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_501),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_841), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25468 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_720),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_468),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_497),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_418),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25469 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_722),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_241),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_435),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_307),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25470 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_712),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_207),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_412),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_208),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25471 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_726),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_541),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_426),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_257),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25472 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_730),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_467),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_431),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_163),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25473 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_732),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_531),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_416),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_285),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25474 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_732),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_655),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_416),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_531),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25475 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_715),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_658),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_424),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_251),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_833), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25476 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_735),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_348),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_420),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_143),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25477 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_736),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_292),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_417),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_340),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25478 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_720),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_568),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_418),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_386),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25479 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_720),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_245),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_418),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_567),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25480 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_720),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_355),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_418),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_255),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25481 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_733),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_252),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_429),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_597),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25482 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_726),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_563),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_657),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_426),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25483 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_733),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_372),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_429),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_495),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25484 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_719),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_588),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_433),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_298),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25485 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_722),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_547),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_435),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_253),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25486 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_722),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_502),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_435),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_545),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25487 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_722),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_342),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_435),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_241),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25488 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_724),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_220),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_428),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_383),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25489 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_726),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_657),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_426),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_214),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25490 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_738),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_477),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_414),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_511),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25491 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_733),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_609),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_429),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_216),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25492 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_719),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_289),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_433),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_445),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25493 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_736),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_340),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_417),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_548),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25494 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_732),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_244),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_416),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_655),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25495 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_712),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_192),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_412),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_195),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25496 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_735),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_617),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_420),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_515),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25497 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_736),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_268),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_417),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_338),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25498 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_733),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_469),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_429),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_486),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25499 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_712),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_183),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_412),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_196),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25500 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_733),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_156),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_429),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_452),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25501 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_724),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_147),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_428),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_543),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25502 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_719),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_482),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_433),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_621),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25503 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_738),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_496),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_414),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_583),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25504 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_730),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_523),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_431),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_225),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25505 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_738),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_357),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_414),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_275),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25506 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_724),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_552),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_428),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_681),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25507 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_715),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_352),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_590),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_424),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25508 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_732),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_503),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_416),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_244),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25509 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_715),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_450),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_424),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_384),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25510 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_715),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_505),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_424),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_589),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25511 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_715),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_315),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_580),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_424),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25512 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_722),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_619),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_435),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_502),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25513 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_730),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_163),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_431),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_481),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25514 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_734),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_466),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_419),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_646),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_798), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25515 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_732),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_645),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_416),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_503),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25516 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_736),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_551),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_641),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_417),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_796), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25517 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_720),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_489),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_468),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_418),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25518 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_734),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_610),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_419),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_560),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_794), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25519 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_712),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_188),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_412),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_210),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_793), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25520 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_730),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_481),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_431),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_306),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_792), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25521 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_732),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_332),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_526),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_416),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25522 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_735),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_652),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_420),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_617),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_790), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25523 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_734),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_628),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_595),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_419),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_789), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25524 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_738),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_511),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_414),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_357),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_788), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25525 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_722),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_253),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_435),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_136),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25526 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_733),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_216),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_429),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_156),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25527 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_726),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_264),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_426),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_329),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25528 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_736),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_474),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_417),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_219),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25529 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_736),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_335),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_417),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_448),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25530 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_724),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_299),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_428),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_142),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25531 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_436),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_115),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_787), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25532 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_436),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_131),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_784), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25533 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_108),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_739),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_783), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25534 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_106),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_739),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_786), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25535 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_436),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_110),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_782), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25536 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_436),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_122),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_781), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25537 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_436),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_111),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_780), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25538 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_436),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_124),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_779), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25539 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_436),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_128),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_778), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25540 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_436),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_112),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25541 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_436),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_107),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25542 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_436),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_120),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25543 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_436),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_125),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25544 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_436),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_123),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_773), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25545 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_436),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_102),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_772), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25546 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_126),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_739),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25547 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_436),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_113),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25548 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_129),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_739),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25549 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_436),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_130),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_768), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25550 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_436),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_132),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_767), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25551 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_436),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_103),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_766), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25552 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_436),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_119),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25553 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_436),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_105),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_764), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25554 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_436),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_117),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_785), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25555 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_75),
+	.B(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25556 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_68),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_403),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25557 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_82),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_389),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25558 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_72),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_409),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_760), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25559 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_78),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_408),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25560 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_69),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_407),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25561 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_84),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_399),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_757), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25562 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_80),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_404),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25563 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_77),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_406),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25564 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_73),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_398),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25565 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_71),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_397),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25566 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_70),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_401),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_752), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25567 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_83),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_402),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25568 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_79),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_405),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25569 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_74),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_410),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25570 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_81),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_400),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25571 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_436),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_109),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25572 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_436),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_104),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25573 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_436),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_118),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_744), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25574 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_436),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_121),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_747), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25575 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_436),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_116),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_743), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25576 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_127),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_436),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25577 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_436),
+	.B(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_741), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25578 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_436),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_114),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_740), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25579 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_436),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_739), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25580 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_738),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25582 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_732),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25583 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_730),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25584 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_728),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25585 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_726),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25586 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_724),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25587 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_722),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25588 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_719),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25589 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_717),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25590 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_715),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_714), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25591 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_413),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_3),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_738), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25592 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_392),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_417),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25593 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_395),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_420),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25594 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_394),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_419),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25595 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_390),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_429),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25596 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_415),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_6),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25597 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_430),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25598 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_21),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25599 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_425),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_0),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25600 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_428),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_396),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25601 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_434),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_5),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25602 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_393),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_418),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25603 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_391),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_433),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25604 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_421),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25605 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_423),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_4),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25606 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_422),
+	.B(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25607 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_431),
+	.B(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_710), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25608 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_414),
+	.B(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25609 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_39),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_423),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25610 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_433),
+	.B(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25611 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_412),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25612 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_428),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_706), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25613 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_416),
+	.B(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25614 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_417),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_704), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25615 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_418),
+	.B(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25616 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_435),
+	.B(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_702), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25617 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_420),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25618 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_419),
+	.B(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_700), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25619 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_426),
+	.B(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25620 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_429),
+	.B(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_698), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25621 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_411),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_412),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_712), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25622 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_677),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25624 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_669),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25625 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_666),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25626 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_663),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25627 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_435),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25628 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_433),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25629 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_431),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25630 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_428),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25631 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_426),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25632 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_424),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25633 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_422),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25635 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_416),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25636 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_414),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25637 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[30]),
+	.B(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25638 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[30]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25639 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[28]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25640 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[10]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25641 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[26]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25642 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[22]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25643 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[18]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25644 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[8]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25645 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[6]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25646 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[12]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25647 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[14]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25648 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[4]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25649 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[24]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25650 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[20]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25651 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[16]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25652 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[18]),
+	.B(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25655 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[20]),
+	.B(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25656 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[26]),
+	.B(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25657 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[28]),
+	.B(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25659 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[24]),
+	.B(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25663 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[22]),
+	.B(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25665 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[16]),
+	.B(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25666 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[2]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25667 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_28),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[26]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_40),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_697), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25668 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_38),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[16]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_47),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_696), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25669 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_34),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[2]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_17),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_695), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25670 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_60),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[17]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_41),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_694), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25671 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_30),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[14]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_48),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25672 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_27),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[24]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_46),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25673 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_33),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_41),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[4]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25674 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_60),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[17]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_49),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25675 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_37),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_42),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[9]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25676 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_31),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[11]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_48),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25677 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_66),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[19]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_44),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25678 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_29),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[15]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_18),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25679 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_29),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[15]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_17),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25680 (
+	.A_N(n_34736),
+	.B(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25681 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_61),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[5]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_17),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25682 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_25),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[22]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_19),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25683 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_25),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[22]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_40),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25684 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_67),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[32]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_41),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25685 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_67),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[32]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_47),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25686 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_67),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[32]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_49),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25687 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_42),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs1_x[32]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_67),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25688 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_45),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs1_x[32]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_67),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25689 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_67),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[32]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_20),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25690 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_67),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[32]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_18),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25691 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_40),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs1_x[32]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_67),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25692 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_17),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs1_x[32]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_67),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25693 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_67),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[32]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_46),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25694 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_19),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs1_x[32]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_67),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_668), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25695 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_67),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[32]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_48),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25696 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_67),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[32]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_44),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25697 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_67),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[32]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_50),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25698 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_43),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs1_x[32]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_67),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25699 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_67),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[32]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_51),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25700 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_32),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[21]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_43),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25701 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_63),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[20]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_40),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25702 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_26),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[10]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_45),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25703 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_34),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[2]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_19),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25704 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_24),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[3]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_43),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25705 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_55),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[6]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_50),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25706 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_36),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_20),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[1]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25707 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_53),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_41),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[7]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25708 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_66),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[19]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_20),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25709 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_28),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[26]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_48),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25710 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_60),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[17]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_48),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25711 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_33),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[4]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_46),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25712 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_53),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[7]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_19),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25713 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_54),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[27]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_44),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25714 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_22),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[31]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_44),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25715 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_24),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[3]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_50),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25716 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_34),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[2]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_45),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25717 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_55),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[6]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_40),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25718 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_63),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[20]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_19),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25719 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_37),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_41),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[9]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25720 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_58),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[25]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_49),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25721 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_55),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_42),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[6]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25722 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_34),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[2]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_46),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25723 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_36),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[1]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_50),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25724 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_64),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[13]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_46),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25725 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_29),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[15]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_45),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25726 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_22),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[31]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_50),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25727 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_32),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[21]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_17),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25728 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_27),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[24]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_45),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25729 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_34),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[2]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_50),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25730 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_55),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_44),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[6]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25731 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_59),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[28]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_20),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25732 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_33),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_44),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[4]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25733 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_35),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[18]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_42),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25734 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_29),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[15]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_41),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25735 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_53),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[7]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_46),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25736 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_26),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_41),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[10]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25737 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_24),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[3]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_46),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25738 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_55),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[6]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_43),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25739 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_31),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[11]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_42),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25740 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_33),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[4]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_19),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25741 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_55),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[6]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_48),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25742 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_54),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[27]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_20),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25743 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_63),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[20]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_20),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25744 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_61),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[5]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_40),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25745 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_59),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[28]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_45),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25746 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_57),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[23]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_46),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25747 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_24),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_20),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[3]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25748 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_26),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[10]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_48),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25749 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_25),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[22]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_44),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25750 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_23),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_44),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[8]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25751 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_38),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[16]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_18),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25752 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_23),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[8]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_46),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25753 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_58),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[25]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_50),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25754 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_57),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[23]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_18),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25755 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_22),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[31]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_46),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25756 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_54),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[27]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_19),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25757 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_38),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[16]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_43),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25758 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_32),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[21]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_47),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25759 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_57),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[23]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_48),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25760 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_27),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[24]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_40),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25761 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_55),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_41),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[6]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25762 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_31),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[11]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_46),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25763 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_55),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[6]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_18),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25764 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_24),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_47),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[3]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25765 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_61),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_44),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[5]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25766 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_65),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[29]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_20),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25767 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_27),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[24]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_18),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25768 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_66),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[19]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_46),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25769 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_54),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[27]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_48),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25770 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_55),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[6]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_45),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25771 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_25),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[22]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_45),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25772 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_28),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[26]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_42),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25773 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_27),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[24]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_50),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25774 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_27),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[24]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_41),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25775 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_23),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[8]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_19),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25776 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_25),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[22]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_43),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25777 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_23),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[8]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_43),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25778 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_64),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[13]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_43),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25779 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_65),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[29]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_46),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25780 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_64),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[13]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_45),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25781 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_38),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[16]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_45),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25782 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_59),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[28]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_42),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25783 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_34),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_40),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[2]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25784 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_53),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[7]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_17),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25785 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_56),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[30]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_46),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25786 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_62),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[12]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_46),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25787 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_34),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_42),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[2]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25788 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_24),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_40),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[3]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25789 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_64),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[13]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_49),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_571), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25790 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_37),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[9]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_40),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_570), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25791 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_36),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_40),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[1]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_569), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25792 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_58),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[25]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_47),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_568), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25793 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_29),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_47),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[15]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_567), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25794 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_34),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[2]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_48),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_566), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25795 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_32),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[21]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_44),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_565), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25796 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_22),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[31]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_19),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25797 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_36),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_19),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[1]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_563), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25798 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_60),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[17]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_19),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_562), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25799 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_25),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[22]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_41),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25800 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_37),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_44),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[9]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_560), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25801 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_65),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[29]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_44),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_559), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25802 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_28),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[26]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_44),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25803 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_58),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[25]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_43),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25804 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_26),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[10]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_18),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25805 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_37),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_20),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[9]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25806 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_31),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[11]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_40),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25807 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_61),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[5]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_19),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25808 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_32),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[21]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_40),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25809 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_23),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_41),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[8]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_551), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25810 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_56),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[30]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_42),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25811 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_57),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[23]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_50),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25812 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_32),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[21]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_41),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25813 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_59),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[28]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_48),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25814 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_62),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_47),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[12]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25815 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_23),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[8]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_48),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25816 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_66),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[19]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_42),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25817 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_64),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[13]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_40),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25818 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_58),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[25]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_17),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25819 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_58),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[25]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_19),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25820 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_24),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[3]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_17),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25821 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_31),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_47),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[11]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25822 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_63),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[20]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_49),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25823 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_30),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[14]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_18),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25824 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_57),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[23]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_43),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25825 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_54),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[27]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_47),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25826 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_62),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[12]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_50),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25827 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_38),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[16]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_19),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25828 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_23),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_20),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[8]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25829 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_53),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[7]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_50),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25830 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_62),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[12]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_18),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25831 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_36),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[1]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_17),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25832 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_53),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_44),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[7]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25833 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_27),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[24]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_17),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25834 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_29),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[15]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_50),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25835 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_26),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[10]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_40),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25836 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_57),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[23]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_44),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25837 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_55),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[6]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_49),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25838 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_61),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[5]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_46),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25839 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_64),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_44),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[13]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25840 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_25),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[22]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_50),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25841 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_62),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_44),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[12]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25842 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_54),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[27]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_50),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25843 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_36),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_42),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[1]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25844 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_59),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[28]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_46),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25845 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_32),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[21]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_20),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25846 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_56),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[30]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_18),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25847 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_27),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[24]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_43),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25848 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_35),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[18]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_20),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25849 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_54),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[27]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_43),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25850 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_66),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[19]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_47),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25851 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_27),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[24]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_48),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25852 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_57),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[23]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_41),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25853 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_59),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[28]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_17),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25854 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_38),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[16]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_48),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25855 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_32),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[21]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_45),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25856 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_25),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[22]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_17),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25857 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_33),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[4]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_50),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25858 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_53),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[7]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_48),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25859 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_37),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[9]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_50),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25860 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_59),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[28]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_40),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25861 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_23),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[8]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_45),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25862 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_26),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_20),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[10]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25863 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_55),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_47),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[6]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25864 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_53),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[7]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_43),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25865 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_32),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[21]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_18),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25866 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_58),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[25]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_45),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25867 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_54),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[27]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_46),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25868 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_61),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[5]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_48),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25869 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_57),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[23]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_20),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25870 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_53),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[7]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_20),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25871 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_33),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_47),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[4]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25872 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_64),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_47),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[13]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25873 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_22),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[31]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_20),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25874 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_28),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[26]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_18),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25875 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_32),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[21]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_42),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25876 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_64),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[13]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_50),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25877 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_31),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[11]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_18),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25878 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_26),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[10]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_42),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25879 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_24),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[3]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_49),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25880 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_29),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[15]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_40),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25881 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_34),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_41),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[2]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25882 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_58),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[25]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_20),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25883 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_28),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[26]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_43),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25884 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_66),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[19]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_19),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25885 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_22),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[31]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_43),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25886 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_65),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[29]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_41),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25887 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_22),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[31]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_18),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25888 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_35),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[18]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_49),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25889 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_60),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[17]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_46),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25890 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_56),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[30]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_17),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25891 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_58),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[25]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_18),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25892 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_61),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_47),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[5]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25893 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_36),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[1]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_49),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25894 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_56),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[30]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_44),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25895 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_61),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_42),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[5]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25896 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_64),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[13]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_41),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25897 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_26),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[10]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_49),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25898 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_56),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[30]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_49),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25899 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_30),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[14]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_40),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25900 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_35),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[18]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_17),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25901 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_63),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[20]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_50),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25902 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_22),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[31]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_17),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25903 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_32),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[21]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_46),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25904 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_56),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[30]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_43),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25905 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_24),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_44),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[3]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25906 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_60),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[17]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_20),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25907 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_27),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[24]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_20),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25908 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_66),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[19]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_18),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25909 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_29),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[15]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_42),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25910 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_56),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[30]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_45),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25911 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_62),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[12]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_17),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25912 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_28),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[26]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_41),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25913 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_26),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_47),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[10]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25914 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_65),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[29]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_45),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25915 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_57),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[23]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_42),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25916 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_62),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[12]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_20),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25917 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_29),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[15]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_48),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25918 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_30),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[14]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_19),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25919 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_66),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[19]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_50),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25920 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_57),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[23]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_45),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25921 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_57),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[23]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_19),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25922 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_54),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[27]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_41),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25923 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_53),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[7]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_40),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25924 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_51),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_75),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25925 (
+	.A0(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_19),
+	.S(brqrv_top_brqrv_exu_i_mul_rs2_x[14]),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25926 (
+	.A0(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_20),
+	.S(brqrv_top_brqrv_exu_i_mul_rs2_x[22]),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2o_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25927 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_rs2_x[8]),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_50),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[8]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_50),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25928 (
+	.A0(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_48),
+	.S(brqrv_top_brqrv_exu_i_mul_rs2_x[16]),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25929 (
+	.A0(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_18),
+	.S(brqrv_top_brqrv_exu_i_mul_rs2_x[18]),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2o_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25930 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_rs2_x[12]),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_17),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[12]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_17),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25931 (
+	.A0(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_46),
+	.S(brqrv_top_brqrv_exu_i_mul_rs2_x[2]),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25932 (
+	.A0(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_49),
+	.S(brqrv_top_brqrv_exu_i_mul_rs2_x[10]),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25933 (
+	.A0(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_40),
+	.S(brqrv_top_brqrv_exu_i_mul_rs2_x[20]),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25934 (
+	.A0(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_41),
+	.S(brqrv_top_brqrv_exu_i_mul_rs2_x[26]),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25935 (
+	.A0(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_44),
+	.S(brqrv_top_brqrv_exu_i_mul_rs2_x[28]),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25936 (
+	.A0(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_42),
+	.S(brqrv_top_brqrv_exu_i_mul_rs2_x[24]),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2o_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25937 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_rs2_x[6]),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_43),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[6]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_43),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2o_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25938 (
+	.A1_N(brqrv_top_brqrv_exu_i_mul_rs2_x[4]),
+	.A2_N(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_45),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[4]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_45),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25939 (
+	.A0(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_47),
+	.S(brqrv_top_brqrv_exu_i_mul_rs2_x[30]),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25940 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_32),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_52),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[21]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25941 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_31),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_52),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[11]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25942 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_35),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_52),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[18]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25943 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[28]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_52),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_59),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25944 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_27),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_52),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[24]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25945 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_36),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_52),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[1]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25946 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[29]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_52),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_65),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25947 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_57),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_52),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[23]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25948 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_26),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_52),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[10]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25949 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_64),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_52),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[13]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25950 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_38),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_52),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[16]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25951 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_28),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_52),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[26]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25952 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_29),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_52),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[15]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25953 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_33),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_52),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[4]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25954 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_60),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_52),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[17]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25955 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_56),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_52),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[30]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25956 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_25),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_52),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[22]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25957 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_22),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_52),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[31]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25958 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_30),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_52),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[14]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25959 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_24),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_52),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[3]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25960 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_66),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_52),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[19]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25961 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_37),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_52),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[9]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25962 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_23),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_52),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[8]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25963 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_62),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_52),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[12]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25964 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[27]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_52),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_54),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25965 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_55),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_52),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[6]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25966 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs1_x[25]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_52),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_58),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25967 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_53),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_52),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[7]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25968 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_63),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_52),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[20]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25969 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_34),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_52),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[2]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25970 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_61),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_52),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[5]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25972 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_39),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_51),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25973 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_39),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_43),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_99), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25974 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_39),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_50),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_98), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25975 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_39),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_46),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_97), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25976 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_39),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_17),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_96), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25977 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_39),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_41),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_95), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25978 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_39),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_19),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_94), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25979 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_39),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_44),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_93), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25980 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_39),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_40),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_92), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25981 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_39),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_42),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_91), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25982 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_39),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_18),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_90), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25983 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_39),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_48),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_89), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25984 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_39),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_47),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_88), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25985 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_39),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_45),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_87), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25986 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_39),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_49),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_86), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25987 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_39),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_20),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_85), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25988 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_26),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[10]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_46),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25989 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_29),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[15]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_46),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25990 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_28),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[26]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_47),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25991 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_56),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[30]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_47),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25992 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_22),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[31]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_45),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25993 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_22),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[31]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_40),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25994 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_60),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[17]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_50),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25995 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_59),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[28]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_41),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25996 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_66),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[19]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_40),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25997 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_54),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[27]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_49),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25998 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_31),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[11]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_19),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g25999 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_32),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[21]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_50),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26000 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_63),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[20]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_45),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26001 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_23),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[8]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_40),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26002 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_33),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[4]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_40),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26003 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_30),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[14]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_49),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26004 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_63),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[20]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_18),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26005 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_58),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[25]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_48),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26006 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_22),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[31]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_48),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26007 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_33),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_42),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[4]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26008 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_31),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_44),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[11]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26009 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_64),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[13]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_20),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26010 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_65),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[29]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_40),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26011 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_25),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[22]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_46),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26012 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_32),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[21]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_48),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26013 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_26),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_44),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[10]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26014 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_53),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_42),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[7]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26015 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_35),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[18]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_43),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26016 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_25),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[22]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_49),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26017 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_34),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_47),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[2]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26018 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_27),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[24]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_47),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26019 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_59),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[28]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_43),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26020 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_62),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[12]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_49),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26021 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_25),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[22]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_47),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26022 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_37),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[9]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_48),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26023 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_66),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[19]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_45),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26024 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_61),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[5]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_45),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26025 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_53),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[7]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_18),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26026 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_59),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[28]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_50),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26027 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_55),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[6]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_20),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26028 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_29),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[15]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_20),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26029 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_35),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[18]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_47),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26030 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_60),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[17]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_42),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26031 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_58),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[25]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_42),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26032 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_30),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[14]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_42),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26033 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_53),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_47),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[7]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26034 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_35),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[18]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_48),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26035 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_35),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[18]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_46),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26036 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_63),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[20]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_41),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26037 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_65),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[29]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_42),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26038 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_62),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_41),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[12]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26039 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_58),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[25]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_40),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26040 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_30),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[14]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_41),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26041 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_58),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[25]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_41),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26042 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_22),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[31]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_41),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26043 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_37),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[9]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_43),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26044 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_30),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[14]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_50),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26045 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_24),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_18),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[3]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26046 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_64),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[13]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_19),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26047 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_56),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[30]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_19),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26048 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_65),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[29]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_50),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26049 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_33),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_20),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[4]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26050 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_23),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[8]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_49),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26051 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_28),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[26]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_49),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26052 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_60),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[17]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_43),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26053 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_65),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[29]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_18),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26054 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_27),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[24]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_44),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26055 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_59),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[28]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_19),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26056 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_34),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[2]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_43),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26057 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_55),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[6]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_19),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26058 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_36),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[1]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_43),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26059 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_22),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[31]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_47),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26060 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_31),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[11]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_20),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26061 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_62),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[12]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_45),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26062 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_34),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_44),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[2]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26063 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_57),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[23]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_49),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26064 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_66),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[19]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_43),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26065 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_35),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[18]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_45),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26066 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_38),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[16]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_40),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26067 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_37),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[9]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_17),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26068 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_62),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[12]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_42),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26069 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_63),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[20]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_48),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26070 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_33),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[4]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_49),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26071 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_31),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[11]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_50),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26072 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_29),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[15]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_43),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26073 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_66),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[19]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_49),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26074 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_55),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[6]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_17),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26075 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_65),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[29]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_17),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26076 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_65),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[29]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_49),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26077 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_60),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[17]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_40),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26078 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_54),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[27]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_42),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26079 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_62),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[12]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_48),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26080 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_64),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[13]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_18),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26081 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_23),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_42),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[8]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26082 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_57),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[23]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_17),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26083 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_38),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[16]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_46),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26084 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_66),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[19]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_41),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26085 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_60),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[17]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_47),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26086 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_30),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[14]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_20),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26087 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_25),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[22]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_42),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26088 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_54),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[27]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_40),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26089 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_63),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[20]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_17),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26090 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_37),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[9]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_18),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26091 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_23),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[8]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_50),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26092 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_29),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[15]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_44),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26093 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_54),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[27]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_45),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26094 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_63),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[20]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_44),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26095 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_61),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[5]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_43),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26096 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_25),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[22]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_20),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26097 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_30),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[14]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_46),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26098 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_26),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[10]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_50),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26099 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_38),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[16]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_17),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26100 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_30),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[14]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_45),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26101 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_65),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[29]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_43),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26102 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_63),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[20]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_43),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26103 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_62),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[12]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_43),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26104 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_38),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[16]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_44),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26105 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_36),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_44),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[1]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26106 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_64),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[13]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_42),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26107 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_36),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_41),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[1]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26108 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_31),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_41),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[11]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26109 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_60),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[17]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_45),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26110 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_38),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[16]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_49),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26111 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_61),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_41),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[5]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26112 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_65),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[29]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_19),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26113 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_23),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[8]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_17),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26114 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_36),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[1]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_45),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26115 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_63),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[20]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_42),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26116 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_38),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[16]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_41),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26117 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_34),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_20),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[2]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26118 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_28),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[26]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_46),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26119 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_28),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[26]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_19),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26120 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_35),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[18]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_41),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26121 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_57),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[23]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_47),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26122 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_31),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[11]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_17),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26123 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_65),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[29]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_48),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26124 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_61),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[5]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_18),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26125 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_31),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[11]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_45),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26126 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_24),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_42),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[3]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26127 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_59),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[28]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_18),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26128 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_30),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[14]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_44),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26129 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_26),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[10]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_43),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26130 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_37),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[9]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_49),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26131 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_30),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_47),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[14]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26132 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_61),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[5]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_50),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26133 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_66),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[19]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_17),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26134 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_27),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[24]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_49),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26135 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_66),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[19]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_48),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26136 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_36),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[1]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_46),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26137 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_30),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[14]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_43),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26138 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_33),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[4]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_48),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26139 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_35),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[18]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_19),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26140 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_28),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[26]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_20),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26141 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_54),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[27]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_18),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26142 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_32),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[21]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_19),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26143 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_61),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[5]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_20),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26144 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_61),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[5]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_49),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26145 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_24),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[3]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_45),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26146 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_24),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[3]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_48),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26147 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_33),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_18),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[4]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26148 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_53),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[7]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_45),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26149 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_37),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[9]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_46),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26150 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_26),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[10]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_17),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26151 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_53),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[7]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_49),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26152 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_26),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[10]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_19),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26153 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_36),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_48),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[1]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26154 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_57),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[23]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_40),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26155 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_28),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[26]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_50),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26156 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_56),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[30]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_40),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26157 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_56),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[30]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_41),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26158 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_33),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[4]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_17),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26159 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_27),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[24]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_42),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26160 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_60),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[17]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_18),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26161 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_64),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[13]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_17),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26162 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_24),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[3]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_19),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26163 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_37),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[9]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_19),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26164 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_29),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[15]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_19),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26165 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_34),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_51),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[2]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26166 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_66),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_51),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[19]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26167 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_22),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_51),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[31]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26168 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_27),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_51),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[24]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26169 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_57),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_51),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[23]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26170 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_61),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_51),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[5]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26171 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_36),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_51),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[1]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26172 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_58),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_51),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[25]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26173 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_59),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_51),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[28]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26174 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_65),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_51),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[29]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26175 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_64),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_51),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[13]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26176 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_37),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_51),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[9]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26177 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_25),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_51),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[22]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26178 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_55),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_51),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[6]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26179 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_32),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_51),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[21]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26180 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_62),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_51),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[12]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26181 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_60),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_51),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[17]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26182 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_53),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_51),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[7]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26183 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_63),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_51),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[20]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26184 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_38),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_51),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[16]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26185 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_56),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_51),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[30]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26186 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_23),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_51),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[8]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26187 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_26),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_51),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[10]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26188 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_35),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_51),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[18]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26189 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_54),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_51),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[27]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26190 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_30),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_51),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[14]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26191 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_29),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_51),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[15]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26192 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_33),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_51),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[4]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26193 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_31),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_51),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[11]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26194 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_24),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_51),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[3]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26195 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_28),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_51),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[26]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26196 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_36),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_18),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[1]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26197 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_35),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[18]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_44),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26198 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_60),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[17]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_17),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26199 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_31),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[11]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_49),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26200 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_37),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_47),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[9]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26201 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_64),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[13]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_48),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26202 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_58),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[25]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_44),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26203 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_36),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_47),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[1]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26204 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_31),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[11]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_43),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26205 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_24),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_41),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[3]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26206 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_38),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[16]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_50),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26207 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_55),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[6]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_46),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26208 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_33),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[4]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_45),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26209 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_33),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[4]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_43),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26210 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_34),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_18),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[2]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26211 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_59),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[28]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_47),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26212 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_38),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[16]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_42),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26213 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_34),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[2]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_49),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26214 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_25),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[22]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_48),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26215 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_54),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[27]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_17),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26216 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_37),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[9]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_45),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26217 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_59),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[28]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_44),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26218 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_65),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[29]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_47),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26219 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_28),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[26]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_17),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26220 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_35),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[18]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_18),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26221 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_23),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[8]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_18),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26222 (
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_23),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_47),
+	.B2(brqrv_top_brqrv_exu_i_mul_rs1_x[8]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26223 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_30),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[14]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_17),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26224 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_32),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[21]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_49),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26225 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_56),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[30]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_20),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26226 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_56),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[30]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_50),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26227 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_62),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[12]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_19),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26228 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_58),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[25]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_46),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26229 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_62),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[12]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_40),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26230 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_63),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[20]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_47),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26231 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_28),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[26]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_45),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26232 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_63),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[20]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_46),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26233 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_38),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[16]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_20),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26234 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_35),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[18]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_40),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26235 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_25),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[22]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_18),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26236 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_27),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[24]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_19),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26237 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_35),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[18]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_50),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26238 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_22),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[31]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_42),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26239 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_60),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[17]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_44),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26240 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_56),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[30]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_48),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26241 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_29),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[15]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_49),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26242 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_59),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[28]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_49),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26243 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_22),
+	.A2(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.B1(brqrv_top_brqrv_exu_i_mul_rs1_x[31]),
+	.B2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_49),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26244 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[24]),
+	.B(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_84), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26245 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[12]),
+	.B(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_83), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26246 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[2]),
+	.B(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_82), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26247 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[4]),
+	.B(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_81), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26248 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[8]),
+	.B(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_80), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26249 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[18]),
+	.B(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_79), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26250 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[10]),
+	.B(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_78), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26251 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[22]),
+	.B(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_77), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26252 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[30]),
+	.B(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_74), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26253 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[20]),
+	.B(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_73), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26254 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[28]),
+	.B(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_72), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26255 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[16]),
+	.B(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_71), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26256 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[14]),
+	.B(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_70), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26257 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[26]),
+	.B(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_69), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26258 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[6]),
+	.B(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_68), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26259 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_39),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_21),
+	.Y(n_34736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26260 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_51),
+	.B(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_75), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26262 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[32]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_67), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26263 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[19]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_66), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26264 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[29]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_65), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26265 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[13]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_64), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26266 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[20]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_63), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26267 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[12]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_62), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26268 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[5]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_61), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26269 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[17]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_60), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26270 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[28]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_59), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26271 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[25]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_58), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26272 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[23]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_57), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26273 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[30]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_56), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26274 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[6]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_55), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26275 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[27]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_54), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26276 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[7]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_53), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26277 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_52), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26278 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26279 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_50), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26280 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_49), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26281 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_48), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26282 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_47), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26283 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_46), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26284 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_45), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26285 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_44), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26286 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_43), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26287 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_42), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26288 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_41), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26289 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_40), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26290 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_39), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26297 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[16]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_38), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26298 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[9]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26299 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[1]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_36), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26300 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[18]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_35), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26301 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[2]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_34), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26302 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[4]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_33), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26303 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[21]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_32), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26304 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[11]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26305 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[14]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26306 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[15]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26307 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[26]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26308 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[24]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26309 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[10]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26310 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[22]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26311 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[3]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26312 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[8]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26313 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs1_x[31]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26314 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[0]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26315 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26316 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26317 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26318 (
+	.A(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g2 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1732),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1735),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26319 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1293),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1371),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26320 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1291),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1373),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26321 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1041),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1370),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26322 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1296),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1376),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26323 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1039),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1369),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26324 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1299),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1377),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26325 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1298),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1375),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26326 (
+	.A(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1302),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1374),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26327 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_735),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_420),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_674),
+	.X(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26328 (
+	.A0(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_50),
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.S(brqrv_top_brqrv_exu_i_mul_rs2_x[6]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26329 (
+	.A0(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_48),
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.S(brqrv_top_brqrv_exu_i_mul_rs2_x[14]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26330 (
+	.A0(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_45),
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.S(brqrv_top_brqrv_exu_i_mul_rs2_x[2]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26331 (
+	.A0(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_43),
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.S(brqrv_top_brqrv_exu_i_mul_rs2_x[4]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26332 (
+	.A0(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_49),
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.S(brqrv_top_brqrv_exu_i_mul_rs2_x[8]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26333 (
+	.A0(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_17),
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.S(brqrv_top_brqrv_exu_i_mul_rs2_x[10]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 brqrv_top_brqrv_exu_i_mul_mul_13151_30_g26334 (
+	.A0(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_19),
+	.A1(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.S(brqrv_top_brqrv_exu_i_mul_rs2_x[12]),
+	.Y(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1667 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_1),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_135),
+	.Y(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder3_out [35]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1668 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [31]),
+	.CIN(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_133),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_135),
+	.SUM(n_33906), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1669 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [30]),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_61),
+	.CIN(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_131),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_133),
+	.SUM(n_33905), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1670 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_57),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_62),
+	.CIN(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_129),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_131),
+	.SUM(n_33904), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1671 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_37),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_58),
+	.CIN(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_127),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_129),
+	.SUM(n_33903), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1672 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_67),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_38),
+	.CIN(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_125),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_127),
+	.SUM(n_33902), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1673 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_49),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_68),
+	.CIN(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_123),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_125),
+	.SUM(n_33901), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1674 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_33),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_50),
+	.CIN(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_121),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_123),
+	.SUM(n_33900), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1675 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_19),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_34),
+	.CIN(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_119),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_121),
+	.SUM(n_33899), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1676 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_63),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_20),
+	.CIN(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_117),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_119),
+	.SUM(n_33898), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1677 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_53),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_64),
+	.CIN(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_115),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_117),
+	.SUM(n_33897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1678 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_45),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_54),
+	.CIN(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_113),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_115),
+	.SUM(n_33896), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1679 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_39),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_46),
+	.CIN(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_111),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_113),
+	.SUM(n_33895), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1680 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_29),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_40),
+	.CIN(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_109),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_111),
+	.SUM(n_33894), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1681 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_23),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_30),
+	.CIN(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_107),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_109),
+	.SUM(n_33893), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1682 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_15),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_24),
+	.CIN(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_105),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_107),
+	.SUM(n_33892), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1683 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_9),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_16),
+	.CIN(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_103),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_105),
+	.SUM(n_33891), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1684 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_65),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_10),
+	.CIN(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_101),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_103),
+	.SUM(n_33890), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1685 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_59),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_66),
+	.CIN(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_99),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_101),
+	.SUM(n_33889), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1686 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_55),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_60),
+	.CIN(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_97),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_99),
+	.SUM(n_33888), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1687 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_51),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_56),
+	.CIN(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_95),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_97),
+	.SUM(n_33887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1688 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_47),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_52),
+	.CIN(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_93),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_95),
+	.SUM(n_33886), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1689 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_43),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_48),
+	.CIN(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_91),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_93),
+	.SUM(n_33885), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1690 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_41),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_44),
+	.CIN(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_89),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_91),
+	.SUM(n_33884), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1691 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_35),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_42),
+	.CIN(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_87),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_89),
+	.SUM(n_33883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1692 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_31),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_36),
+	.CIN(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_85),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_87),
+	.SUM(n_33882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1693 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_27),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_32),
+	.CIN(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_83),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_85),
+	.SUM(n_33881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1694 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_25),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_28),
+	.CIN(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_81),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_83),
+	.SUM(n_33880), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1695 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_21),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_26),
+	.CIN(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_79),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_81),
+	.SUM(n_33879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1696 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_17),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_22),
+	.CIN(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_77),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_79),
+	.SUM(n_33878), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1697 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_13),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_18),
+	.CIN(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_75),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_77),
+	.SUM(n_33877), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1698 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_11),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_14),
+	.CIN(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_73),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_75),
+	.SUM(n_33876), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1699 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_7),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_12),
+	.CIN(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_71),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_73),
+	.SUM(n_33875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1700 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_3),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_69),
+	.CIN(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_8),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_71),
+	.SUM(n_33874), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1701 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_0),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_6),
+	.Y(n_33873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1702 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_5),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_0),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_69), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1703 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [26]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [29]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [28]),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_67),
+	.SUM(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_68), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1704 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [14]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [17]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [16]),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_65),
+	.SUM(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_66), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1705 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [22]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [25]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [24]),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_63),
+	.SUM(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_64), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1706 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [29]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [31]),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_61),
+	.SUM(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_62), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1707 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [13]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [16]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [15]),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_59),
+	.SUM(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_60), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1708 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [28]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [31]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [30]),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_57),
+	.SUM(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_58), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1709 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [12]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [15]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [14]),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_55),
+	.SUM(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_56), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1710 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [21]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [24]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [23]),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_53),
+	.SUM(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_54), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1711 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [11]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [14]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [13]),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_51),
+	.SUM(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_52), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1712 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [25]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [28]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [27]),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_49),
+	.SUM(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_50), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1713 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [10]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [13]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [12]),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_47),
+	.SUM(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_48), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1714 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [20]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [23]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [22]),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_45),
+	.SUM(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_46), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1715 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [9]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [12]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [11]),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_43),
+	.SUM(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_44), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1716 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [8]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [11]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [10]),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_41),
+	.SUM(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_42), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1717 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [19]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [22]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [21]),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_39),
+	.SUM(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_40), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1718 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [27]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [30]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [29]),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_37),
+	.SUM(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_38), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1719 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [7]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [10]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [9]),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_35),
+	.SUM(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_36), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1720 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [24]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [27]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [26]),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_33),
+	.SUM(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_34), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1721 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [6]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [9]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [8]),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_31),
+	.SUM(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_32), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1722 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [18]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [21]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [20]),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_29),
+	.SUM(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1723 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [5]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [8]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [7]),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_27),
+	.SUM(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1724 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [4]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [7]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [6]),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_25),
+	.SUM(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1725 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [17]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [20]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [19]),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_23),
+	.SUM(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1726 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [3]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [6]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [5]),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_21),
+	.SUM(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1727 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [23]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [26]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [25]),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_19),
+	.SUM(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1728 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [2]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [5]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [4]),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_17),
+	.SUM(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1729 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [16]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [19]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [18]),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_15),
+	.SUM(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1730 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [1]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [4]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [3]),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_13),
+	.SUM(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1731 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [0]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [3]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [2]),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_11),
+	.SUM(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1732 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [15]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [18]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [17]),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_9),
+	.SUM(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1733 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [32]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [2]),
+	.CIN(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [1]),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_7),
+	.SUM(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1734 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [0]),
+	.B(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_4),
+	.X(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1735 (
+	.A(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_4),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [0]),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1736 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [31]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [1]),
+	.COUT(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_3),
+	.SUM(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1737 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [30]),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [0]),
+	.B1(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_0),
+	.X(n_33872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1738 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [32]),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_g1739 (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [0]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [30]),
+	.Y(csa_tree_brqrv_top_brqrv_exu_i_div_genblk4_i_new_3bit_div_fullshortq_add_12707_77_groupi_n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g1892 (
+	.A1_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_89),
+	.A2_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_85),
+	.B1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_89),
+	.B2(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_85),
+	.Y(brqrv_top_dccm_rd_addr_hi[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g1893 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_84),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_87),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_89), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g1894 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_90),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_86),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_85), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g1895 (
+	.A(n_39370),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_88),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_84), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g1897 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_83),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_81),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_86), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g1898 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_82),
+	.B(n_34725),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_87), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g1900 (
+	.A(n_34725),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_82),
+	.X(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_88), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g1901 (
+	.A1_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_80),
+	.A2_N(n_34726),
+	.B1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_80),
+	.B2(n_34726),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_83), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g1903 (
+	.A(n_34714),
+	.B(n_34696),
+	.COUT(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_81),
+	.SUM(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_82), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g1905 (
+	.A(n_39231),
+	.B(n_34715),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_80), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g2 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_83),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_81),
+	.X(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_90), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3186 (
+	.A1_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_25),
+	.A2_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_79),
+	.B1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_25),
+	.B2(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_79),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3187 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[29]),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_6),
+	.CIN(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_78),
+	.COUT(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_79),
+	.SUM(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3188 (
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[29]),
+	.A2(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_76),
+	.B1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_75),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_78), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3189 (
+	.A1_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_26),
+	.A2_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_77),
+	.B1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_26),
+	.B2(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_77),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3190 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[28]),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_17),
+	.C(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_74),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_76), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3191 (
+	.A1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_74),
+	.A2(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_19),
+	.B1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_17),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_77), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3192 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_74),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[28]),
+	.C(n_34712),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_75), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3193 (
+	.A1_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_30),
+	.A2_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_74),
+	.B1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_30),
+	.B2(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_74),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3194 (
+	.A(n_34711),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_11),
+	.CIN(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_73),
+	.COUT(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_74),
+	.SUM(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3195 (
+	.A(n_34710),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_9),
+	.CIN(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_72),
+	.COUT(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_73),
+	.SUM(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3196 (
+	.A(n_34709),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_2),
+	.CIN(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_71),
+	.COUT(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_72),
+	.SUM(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3197 (
+	.A(n_34708),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_3),
+	.CIN(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_70),
+	.COUT(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_71),
+	.SUM(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3198 (
+	.A(n_34707),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_4),
+	.CIN(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_69),
+	.COUT(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_70),
+	.SUM(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3199 (
+	.A(n_34706),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_7),
+	.CIN(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_68),
+	.COUT(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_69),
+	.SUM(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3200 (
+	.A1(n_34706),
+	.A2(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_65),
+	.B1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_67),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_68), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3201 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_23),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_66),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3202 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_64),
+	.B(n_34705),
+	.C(n_34704),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_67), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3203 (
+	.A1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_64),
+	.A2(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_18),
+	.B1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_16),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_66), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3204 (
+	.A(n_34705),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_16),
+	.C(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_64),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_65), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3205 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_29),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_64),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3206 (
+	.A(n_34703),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_0),
+	.CIN(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_63),
+	.COUT(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_64),
+	.SUM(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3207 (
+	.A(n_34702),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_13),
+	.CIN(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_62),
+	.COUT(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_63),
+	.SUM(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3208 (
+	.A(n_34701),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_10),
+	.CIN(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_61),
+	.COUT(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_62),
+	.SUM(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3209 (
+	.A(n_34700),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_12),
+	.CIN(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_60),
+	.COUT(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_61),
+	.SUM(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3210 (
+	.A(n_34699),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_8),
+	.CIN(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_59),
+	.COUT(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_60),
+	.SUM(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3211 (
+	.A(n_34698),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_5),
+	.CIN(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_58),
+	.COUT(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_59),
+	.SUM(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3212 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_1),
+	.B(n_34697),
+	.CIN(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_57),
+	.COUT(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_58),
+	.SUM(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3213 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_14),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_21),
+	.CIN(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_56),
+	.COUT(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_57),
+	.SUM(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3214 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_42),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_28),
+	.CIN(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_55),
+	.COUT(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_56),
+	.SUM(brqrv_top_dccm_rd_addr_hi[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3215 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_44),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_43),
+	.CIN(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_54),
+	.COUT(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_55),
+	.SUM(brqrv_top_dccm_rd_addr_hi[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3216 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_46),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_45),
+	.CIN(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_53),
+	.COUT(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_54),
+	.SUM(brqrv_top_dccm_rd_addr_hi[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3217 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_32),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_47),
+	.CIN(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_52),
+	.COUT(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_53),
+	.SUM(brqrv_top_dccm_rd_addr_hi[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3218 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_33),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_34),
+	.CIN(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_51),
+	.COUT(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_52),
+	.SUM(brqrv_top_dccm_rd_addr_hi[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3219 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_36),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_35),
+	.CIN(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_50),
+	.COUT(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_51),
+	.SUM(brqrv_top_dccm_rd_addr_hi[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3220 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_38),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_37),
+	.CIN(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_49),
+	.COUT(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_50),
+	.SUM(brqrv_top_dccm_rd_addr_hi[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3221 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_40),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_39),
+	.CIN(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_48),
+	.COUT(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_49),
+	.SUM(brqrv_top_dccm_rd_addr_hi[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3222 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_31),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_41),
+	.CIN(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_27),
+	.COUT(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_48),
+	.SUM(brqrv_top_dccm_rd_addr_hi[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3224 (
+	.A(n_34721),
+	.B(n_34732),
+	.COUT(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_46),
+	.SUM(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_47), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3225 (
+	.A(n_34722),
+	.B(n_34733),
+	.COUT(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_44),
+	.SUM(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_45), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3226 (
+	.A(n_34723),
+	.B(n_34734),
+	.COUT(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_42),
+	.SUM(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_43), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3227 (
+	.A(n_34716),
+	.B(n_34727),
+	.COUT(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_40),
+	.SUM(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_41), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3228 (
+	.A(n_34717),
+	.B(n_34728),
+	.COUT(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_38),
+	.SUM(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_39), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3229 (
+	.A(n_34718),
+	.B(n_34729),
+	.COUT(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_36),
+	.SUM(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3230 (
+	.A(n_34719),
+	.B(n_34730),
+	.COUT(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_34),
+	.SUM(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_35), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3231 (
+	.A(n_34720),
+	.B(n_34731),
+	.COUT(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_32),
+	.SUM(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_33), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3232 (
+	.A(n_34715),
+	.B(n_34726),
+	.C(n_39231),
+	.X(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3233 (
+	.A1_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_22),
+	.A2_N(n_39370),
+	.B1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_22),
+	.B2(n_39370),
+	.Y(brqrv_top_brqrv_lsu_end_addr_d[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3234 (
+	.A_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_16),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_18),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3235 (
+	.A_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_17),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_19),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3236 (
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_offset_d[11]),
+	.A2(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_15),
+	.B1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_21),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3237 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_20),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_86),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3239 (
+	.A(n_34705),
+	.B(n_34706),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3240 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[28]),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[29]),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3241 (
+	.A1_N(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_6),
+	.A2_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[31]),
+	.B1(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_6),
+	.B2(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[31]),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3242 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_89),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_90),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3243 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_88),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_87),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3244 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_15),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_offset_d[11]),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3245 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[28]),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_11),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3246 (
+	.A(n_34705),
+	.B(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_0),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3247 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_11),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[28]),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3248 (
+	.A(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_0),
+	.B(n_34705),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3249 (
+	.A(n_34735),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3250 (
+	.A(n_34697),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3251 (
+	.A(n_34703),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3252 (
+	.A(n_34701),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3253 (
+	.A(n_34712),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3255 (
+	.A(n_34711),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3256 (
+	.A(n_34700),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3257 (
+	.A(n_34707),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3258 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[30]),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3259 (
+	.A(n_34699),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3261 (
+	.A(n_34709),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3262 (
+	.A(n_34710),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3263 (
+	.A(n_34698),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_g3264 (
+	.A(n_34704),
+	.Y(csa_tree_brqrv_top_brqrv_lsu_lsu_lsc_ctl_add_21454_45_groupi_n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g780 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[31]),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_73),
+	.X(n_33650), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g781 (
+	.A1(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_71),
+	.A2(brqrv_top_brqrv_dec_decode_write_csr_data[30]),
+	.B1(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_73),
+	.X(n_33649), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g782 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[30]),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_71),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_73), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g783 (
+	.A1(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_13),
+	.A2(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_69),
+	.B1(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_71),
+	.Y(n_33648), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g784 (
+	.A(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_69),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_13),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_71), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g785 (
+	.A1(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_67),
+	.A2(brqrv_top_brqrv_dec_decode_write_csr_data[28]),
+	.B1(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_69),
+	.X(n_33647), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g786 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[28]),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_67),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_69), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g787 (
+	.A1(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_9),
+	.A2(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_65),
+	.B1(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_67),
+	.Y(n_33646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g788 (
+	.A(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_65),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_9),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_67), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g789 (
+	.A1(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_63),
+	.A2(brqrv_top_brqrv_dec_decode_write_csr_data[26]),
+	.B1(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_65),
+	.X(n_33645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g790 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[26]),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_63),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_65), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g791 (
+	.A1(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_5),
+	.A2(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_61),
+	.B1(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_63),
+	.Y(n_33644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g792 (
+	.A(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_61),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_5),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_63), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g793 (
+	.A1(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_59),
+	.A2(brqrv_top_brqrv_dec_decode_write_csr_data[24]),
+	.B1(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_61),
+	.X(n_33643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g794 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[24]),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_59),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_61), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g795 (
+	.A1(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_8),
+	.A2(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_57),
+	.B1(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_59),
+	.Y(n_33642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g796 (
+	.A(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_57),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_8),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_59), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g797 (
+	.A1(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_55),
+	.A2(brqrv_top_brqrv_dec_decode_write_csr_data[22]),
+	.B1(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_57),
+	.X(n_33641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g798 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[22]),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_55),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_57), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g799 (
+	.A1(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_3),
+	.A2(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_53),
+	.B1(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_55),
+	.Y(n_33640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g800 (
+	.A(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_53),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_3),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_55), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g801 (
+	.A1(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_51),
+	.A2(brqrv_top_brqrv_dec_decode_write_csr_data[20]),
+	.B1(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_53),
+	.X(n_33639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g802 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[20]),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_51),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_53), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g803 (
+	.A1(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_12),
+	.A2(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_49),
+	.B1(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_51),
+	.Y(n_33638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g804 (
+	.A(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_49),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_12),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g805 (
+	.A1(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_47),
+	.A2(brqrv_top_brqrv_dec_decode_write_csr_data[18]),
+	.B1(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_49),
+	.X(n_33637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g806 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[18]),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_47),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_49), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g807 (
+	.A1(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_7),
+	.A2(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_45),
+	.B1(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_47),
+	.Y(n_33636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g808 (
+	.A(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_45),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_7),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_47), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g809 (
+	.A1(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_43),
+	.A2(brqrv_top_brqrv_dec_decode_write_csr_data[16]),
+	.B1(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_45),
+	.X(n_33635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g810 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[16]),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_43),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_45), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g811 (
+	.A1(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_11),
+	.A2(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_41),
+	.B1(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_43),
+	.Y(n_33634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g812 (
+	.A(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_41),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_11),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_43), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g813 (
+	.A1(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_39),
+	.A2(brqrv_top_brqrv_dec_decode_write_csr_data[14]),
+	.B1(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_41),
+	.X(n_33633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g814 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[14]),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_39),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_41), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g815 (
+	.A1(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_6),
+	.A2(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_37),
+	.B1(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_39),
+	.Y(n_33632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g816 (
+	.A(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_37),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_6),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_39), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g817 (
+	.A1(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_35),
+	.A2(brqrv_top_brqrv_dec_decode_write_csr_data[12]),
+	.B1(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_37),
+	.X(n_33631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g818 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[12]),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_35),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g819 (
+	.A1(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_2),
+	.A2(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_33),
+	.B1(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_35),
+	.Y(n_33630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g820 (
+	.A(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_33),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_2),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_35), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g821 (
+	.A1(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_31),
+	.A2(brqrv_top_brqrv_dec_decode_write_csr_data[10]),
+	.B1(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_33),
+	.X(n_33629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g822 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[10]),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_31),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_33), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g823 (
+	.A1(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_1),
+	.A2(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_29),
+	.B1(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_31),
+	.Y(n_33628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g824 (
+	.A(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_29),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_1),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g825 (
+	.A1(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_27),
+	.A2(brqrv_top_brqrv_dec_decode_write_csr_data[8]),
+	.B1(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_29),
+	.X(n_33627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g826 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[8]),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_27),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g827 (
+	.A1(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_4),
+	.A2(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_25),
+	.B1(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_27),
+	.Y(n_33626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g828 (
+	.A(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_25),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_4),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g829 (
+	.A1(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_23),
+	.A2(brqrv_top_brqrv_dec_decode_write_csr_data[6]),
+	.B1(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_25),
+	.X(n_33625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g830 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[6]),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_23),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g831 (
+	.A1(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_10),
+	.A2(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_21),
+	.B1(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_23),
+	.Y(n_33624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g832 (
+	.A(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_21),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_10),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g833 (
+	.A1(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_19),
+	.A2(brqrv_top_brqrv_dec_decode_write_csr_data[4]),
+	.B1(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_21),
+	.X(n_33623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g834 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[4]),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_19),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g835 (
+	.A1(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_0),
+	.A2(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_17),
+	.B1(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_19),
+	.Y(n_33622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g836 (
+	.A(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_17),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_0),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g837 (
+	.A1(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_14),
+	.A2(brqrv_top_brqrv_dec_decode_write_csr_data[2]),
+	.B1(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_17),
+	.X(n_33621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g838 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[2]),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_14),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g839 (
+	.A(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_14),
+	.B(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_15),
+	.Y(n_33620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g840 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[1]),
+	.B(brqrv_top_brqrv_dec_decode_write_csr_data[0]),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g841 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[0]),
+	.B(brqrv_top_brqrv_dec_decode_write_csr_data[1]),
+	.X(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g842 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[29]),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g843 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[19]),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g844 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[15]),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g845 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[5]),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g846 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[27]),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g847 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[23]),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g848 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[17]),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g849 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[13]),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g850 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[25]),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g851 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[7]),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g852 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[21]),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g853 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[11]),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g854 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[9]),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 dec_brqrv_top_brqrv_dec_decode_sub_7434_71_g855 (
+	.A(brqrv_top_brqrv_dec_decode_write_csr_data[3]),
+	.Y(dec_brqrv_top_brqrv_dec_decode_sub_7434_71_n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_g230 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[30]),
+	.B(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_n_31),
+	.X(n_34693), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_g231 (
+	.A(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_n_31),
+	.B(brqrv_top_brqrv_exu_i0_pc_x[30]),
+	.Y(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_n_32), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_g232 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[29]),
+	.B(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_n_28),
+	.COUT(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_n_31),
+	.SUM(n_34692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_g233 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[28]),
+	.B(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_n_26),
+	.COUT(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_n_28),
+	.SUM(n_34691), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_g234 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[27]),
+	.B(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_n_24),
+	.COUT(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_n_26),
+	.SUM(n_34690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_g235 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[26]),
+	.B(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_n_22),
+	.COUT(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_n_24),
+	.SUM(n_34689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_g236 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[25]),
+	.B(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_n_20),
+	.COUT(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_n_22),
+	.SUM(n_34688), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_g237 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[24]),
+	.B(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_n_18),
+	.COUT(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_n_20),
+	.SUM(n_34687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_g238 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[23]),
+	.B(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_n_16),
+	.COUT(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_n_18),
+	.SUM(n_34686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_g239 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[22]),
+	.B(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_n_14),
+	.COUT(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_n_16),
+	.SUM(n_34685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_g240 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[21]),
+	.B(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_n_12),
+	.COUT(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_n_14),
+	.SUM(n_34684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_g241 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[20]),
+	.B(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_n_10),
+	.COUT(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_n_12),
+	.SUM(n_34683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_g242 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[19]),
+	.B(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_n_8),
+	.COUT(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_n_10),
+	.SUM(n_34682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_g243 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[18]),
+	.B(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_n_6),
+	.COUT(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_n_8),
+	.SUM(n_34681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_g244 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[17]),
+	.B(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_n_4),
+	.COUT(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_n_6),
+	.SUM(n_34680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_g245 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[16]),
+	.B(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_n_2),
+	.COUT(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_n_4),
+	.SUM(n_34679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_g246 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[15]),
+	.B(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_n_0),
+	.COUT(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_n_2),
+	.SUM(n_34678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_g247 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[13]),
+	.B(brqrv_top_brqrv_exu_i0_pc_x[14]),
+	.COUT(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_n_0),
+	.SUM(n_34677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9351_59_g312 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel[31]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_44),
+	.COUT(brqrv_top_brqrv_dec_tlu_mcyclel_cout),
+	.SUM(n_34518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9351_59_g313 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel[30]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_42),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_44),
+	.SUM(n_34517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9351_59_g314 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel[29]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_40),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_42),
+	.SUM(n_34516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9351_59_g315 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel[28]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_38),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_40),
+	.SUM(n_34515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9351_59_g316 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel[27]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_36),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_38),
+	.SUM(n_34514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9351_59_g317 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel[26]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_34),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_36),
+	.SUM(n_34513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9351_59_g318 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel[25]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_32),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_34),
+	.SUM(n_34512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9351_59_g319 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel[24]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_30),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_32),
+	.SUM(n_34511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9351_59_g320 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel[23]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_28),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_30),
+	.SUM(n_34510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9351_59_g321 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel[22]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_26),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_28),
+	.SUM(n_34509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9351_59_g322 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel[21]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_24),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_26),
+	.SUM(n_34508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9351_59_g323 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel[20]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_22),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_24),
+	.SUM(n_34507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9351_59_g324 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel[19]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_20),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_22),
+	.SUM(n_34506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9351_59_g325 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel[18]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_18),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_20),
+	.SUM(n_34505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9351_59_g326 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel[17]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_16),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_18),
+	.SUM(n_34504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9351_59_g327 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel[16]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_14),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_16),
+	.SUM(n_34503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9351_59_g328 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel[15]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_12),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_14),
+	.SUM(n_34502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9351_59_g329 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel[14]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_10),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_12),
+	.SUM(n_34501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9351_59_g330 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel[13]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_8),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_10),
+	.SUM(n_34500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9351_59_g331 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel[12]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_6),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_8),
+	.SUM(n_34499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9351_59_g332 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel[11]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_4),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_6),
+	.SUM(n_34498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9351_59_g333 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel[10]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_2),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_4),
+	.SUM(n_34497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9351_59_g334 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel[9]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_0),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_2),
+	.SUM(n_34496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9351_59_g335 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel[8]),
+	.B(brqrv_top_brqrv_dec_tlu_mcyclela_cout),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9351_59_n_0),
+	.SUM(n_34495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 inc_brqrv_top_brqrv_dec_tlu_add_9371_43_g409 (
+	.A(brqrv_top_brqrv_dec_tlu_mcycleh[31]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_60),
+	.Y(n_34645), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_9371_43_g410 (
+	.A1(brqrv_top_brqrv_dec_tlu_mcycleh[30]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_59),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_60),
+	.X(n_34643), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9371_43_g411 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_59),
+	.B(brqrv_top_brqrv_dec_tlu_mcycleh[30]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_60), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9371_43_g412 (
+	.A(brqrv_top_brqrv_dec_tlu_mcycleh[29]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_56),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_59),
+	.SUM(n_34641), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9371_43_g413 (
+	.A(brqrv_top_brqrv_dec_tlu_mcycleh[28]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_54),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_56),
+	.SUM(n_34639), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9371_43_g414 (
+	.A(brqrv_top_brqrv_dec_tlu_mcycleh[27]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_52),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_54),
+	.SUM(n_34637), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9371_43_g415 (
+	.A(brqrv_top_brqrv_dec_tlu_mcycleh[26]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_50),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_52),
+	.SUM(n_34635), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9371_43_g416 (
+	.A(brqrv_top_brqrv_dec_tlu_mcycleh[25]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_48),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_50),
+	.SUM(n_34633), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9371_43_g417 (
+	.A(brqrv_top_brqrv_dec_tlu_mcycleh[24]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_46),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_48),
+	.SUM(n_34631), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9371_43_g418 (
+	.A(brqrv_top_brqrv_dec_tlu_mcycleh[23]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_44),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_46),
+	.SUM(n_34629), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9371_43_g419 (
+	.A(brqrv_top_brqrv_dec_tlu_mcycleh[22]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_42),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_44),
+	.SUM(n_34627), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9371_43_g420 (
+	.A(brqrv_top_brqrv_dec_tlu_mcycleh[21]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_40),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_42),
+	.SUM(n_34625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9371_43_g421 (
+	.A(brqrv_top_brqrv_dec_tlu_mcycleh[20]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_38),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_40),
+	.SUM(n_34623), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9371_43_g422 (
+	.A(brqrv_top_brqrv_dec_tlu_mcycleh[19]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_36),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_38),
+	.SUM(n_34621), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9371_43_g423 (
+	.A(brqrv_top_brqrv_dec_tlu_mcycleh[18]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_34),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_36),
+	.SUM(n_34619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9371_43_g424 (
+	.A(brqrv_top_brqrv_dec_tlu_mcycleh[17]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_32),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_34),
+	.SUM(n_34617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9371_43_g425 (
+	.A(brqrv_top_brqrv_dec_tlu_mcycleh[16]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_30),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_32),
+	.SUM(n_34615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9371_43_g426 (
+	.A(brqrv_top_brqrv_dec_tlu_mcycleh[15]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_28),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_30),
+	.SUM(n_34613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9371_43_g427 (
+	.A(brqrv_top_brqrv_dec_tlu_mcycleh[14]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_26),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_28),
+	.SUM(n_34611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9371_43_g428 (
+	.A(brqrv_top_brqrv_dec_tlu_mcycleh[13]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_24),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_26),
+	.SUM(n_34609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9371_43_g429 (
+	.A(brqrv_top_brqrv_dec_tlu_mcycleh[12]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_22),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_24),
+	.SUM(n_34607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9371_43_g430 (
+	.A(brqrv_top_brqrv_dec_tlu_mcycleh[11]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_20),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_22),
+	.SUM(n_34605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9371_43_g431 (
+	.A(brqrv_top_brqrv_dec_tlu_mcycleh[10]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_18),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_20),
+	.SUM(n_34603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9371_43_g432 (
+	.A(brqrv_top_brqrv_dec_tlu_mcycleh[9]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_16),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_18),
+	.SUM(n_34601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9371_43_g433 (
+	.A(brqrv_top_brqrv_dec_tlu_mcycleh[8]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_14),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_16),
+	.SUM(n_34599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9371_43_g434 (
+	.A(brqrv_top_brqrv_dec_tlu_mcycleh[7]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_12),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_14),
+	.SUM(n_34597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9371_43_g435 (
+	.A(brqrv_top_brqrv_dec_tlu_mcycleh[6]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_10),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_12),
+	.SUM(n_34595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9371_43_g436 (
+	.A(brqrv_top_brqrv_dec_tlu_mcycleh[5]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_8),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_10),
+	.SUM(n_34593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9371_43_g437 (
+	.A(brqrv_top_brqrv_dec_tlu_mcycleh[4]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_6),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_8),
+	.SUM(n_34591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9371_43_g438 (
+	.A(brqrv_top_brqrv_dec_tlu_mcycleh[3]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_4),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_6),
+	.SUM(n_34589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9371_43_g439 (
+	.A(brqrv_top_brqrv_dec_tlu_mcycleh[2]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_2),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_4),
+	.SUM(n_34587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9371_43_g440 (
+	.A(brqrv_top_brqrv_dec_tlu_mcycleh[1]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_0),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_2),
+	.SUM(n_34585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9371_43_g441 (
+	.A(brqrv_top_brqrv_dec_tlu_mcyclel_cout_f),
+	.B(brqrv_top_brqrv_dec_tlu_mcycleh[0]),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9371_43_n_0),
+	.SUM(n_34584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9385_65_g312 (
+	.A(brqrv_top_brqrv_dec_tlu_minstretl[31]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_44),
+	.COUT(brqrv_top_brqrv_dec_tlu_minstretl_cout),
+	.SUM(n_34494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9385_65_g313 (
+	.A(brqrv_top_brqrv_dec_tlu_minstretl[30]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_42),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_44),
+	.SUM(n_34493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9385_65_g314 (
+	.A(brqrv_top_brqrv_dec_tlu_minstretl[29]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_40),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_42),
+	.SUM(n_34492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9385_65_g315 (
+	.A(brqrv_top_brqrv_dec_tlu_minstretl[28]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_38),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_40),
+	.SUM(n_34491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9385_65_g316 (
+	.A(brqrv_top_brqrv_dec_tlu_minstretl[27]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_36),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_38),
+	.SUM(n_34490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9385_65_g317 (
+	.A(brqrv_top_brqrv_dec_tlu_minstretl[26]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_34),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_36),
+	.SUM(n_34489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9385_65_g318 (
+	.A(brqrv_top_brqrv_dec_tlu_minstretl[25]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_32),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_34),
+	.SUM(n_34488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9385_65_g319 (
+	.A(brqrv_top_brqrv_dec_tlu_minstretl[24]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_30),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_32),
+	.SUM(n_34487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9385_65_g320 (
+	.A(brqrv_top_brqrv_dec_tlu_minstretl[23]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_28),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_30),
+	.SUM(n_34486), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9385_65_g321 (
+	.A(brqrv_top_brqrv_dec_tlu_minstretl[22]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_26),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_28),
+	.SUM(n_34485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9385_65_g322 (
+	.A(brqrv_top_brqrv_dec_tlu_minstretl[21]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_24),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_26),
+	.SUM(n_34484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9385_65_g323 (
+	.A(brqrv_top_brqrv_dec_tlu_minstretl[20]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_22),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_24),
+	.SUM(n_34483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9385_65_g324 (
+	.A(brqrv_top_brqrv_dec_tlu_minstretl[19]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_20),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_22),
+	.SUM(n_34482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9385_65_g325 (
+	.A(brqrv_top_brqrv_dec_tlu_minstretl[18]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_18),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_20),
+	.SUM(n_34481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9385_65_g326 (
+	.A(brqrv_top_brqrv_dec_tlu_minstretl[17]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_16),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_18),
+	.SUM(n_34480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9385_65_g327 (
+	.A(brqrv_top_brqrv_dec_tlu_minstretl[16]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_14),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_16),
+	.SUM(n_34479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9385_65_g328 (
+	.A(brqrv_top_brqrv_dec_tlu_minstretl[15]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_12),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_14),
+	.SUM(n_34478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9385_65_g329 (
+	.A(brqrv_top_brqrv_dec_tlu_minstretl[14]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_10),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_12),
+	.SUM(n_34477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9385_65_g330 (
+	.A(brqrv_top_brqrv_dec_tlu_minstretl[13]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_8),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_10),
+	.SUM(n_34476), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9385_65_g331 (
+	.A(brqrv_top_brqrv_dec_tlu_minstretl[12]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_6),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_8),
+	.SUM(n_34475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9385_65_g332 (
+	.A(brqrv_top_brqrv_dec_tlu_minstretl[11]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_4),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_6),
+	.SUM(n_34474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9385_65_g333 (
+	.A(brqrv_top_brqrv_dec_tlu_minstretl[10]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_2),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_4),
+	.SUM(n_34473), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9385_65_g334 (
+	.A(brqrv_top_brqrv_dec_tlu_minstretl[9]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_0),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_2),
+	.SUM(n_34472), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9385_65_g335 (
+	.A(brqrv_top_brqrv_dec_tlu_minstretl[8]),
+	.B(brqrv_top_brqrv_dec_tlu_minstretl_couta),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9385_65_n_0),
+	.SUM(n_34471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_9408_47_g409 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_minstreth[31]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_60),
+	.B1(brqrv_top_brqrv_dec_tlu_minstreth[31]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_60),
+	.Y(n_34646), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_9408_47_g410 (
+	.A1(brqrv_top_brqrv_dec_tlu_minstreth[30]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_59),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_60),
+	.X(n_34644), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9408_47_g411 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_59),
+	.B(brqrv_top_brqrv_dec_tlu_minstreth[30]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_60), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9408_47_g412 (
+	.A(brqrv_top_brqrv_dec_tlu_minstreth[29]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_56),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_59),
+	.SUM(n_34642), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9408_47_g413 (
+	.A(brqrv_top_brqrv_dec_tlu_minstreth[28]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_54),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_56),
+	.SUM(n_34640), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9408_47_g414 (
+	.A(brqrv_top_brqrv_dec_tlu_minstreth[27]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_52),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_54),
+	.SUM(n_34638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9408_47_g415 (
+	.A(brqrv_top_brqrv_dec_tlu_minstreth[26]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_50),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_52),
+	.SUM(n_34636), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9408_47_g416 (
+	.A(brqrv_top_brqrv_dec_tlu_minstreth[25]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_48),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_50),
+	.SUM(n_34634), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9408_47_g417 (
+	.A(brqrv_top_brqrv_dec_tlu_minstreth[24]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_46),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_48),
+	.SUM(n_34632), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9408_47_g418 (
+	.A(brqrv_top_brqrv_dec_tlu_minstreth[23]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_44),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_46),
+	.SUM(n_34630), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9408_47_g419 (
+	.A(brqrv_top_brqrv_dec_tlu_minstreth[22]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_42),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_44),
+	.SUM(n_34628), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9408_47_g420 (
+	.A(brqrv_top_brqrv_dec_tlu_minstreth[21]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_40),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_42),
+	.SUM(n_34626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9408_47_g421 (
+	.A(brqrv_top_brqrv_dec_tlu_minstreth[20]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_38),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_40),
+	.SUM(n_34624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9408_47_g422 (
+	.A(brqrv_top_brqrv_dec_tlu_minstreth[19]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_36),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_38),
+	.SUM(n_34622), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9408_47_g423 (
+	.A(brqrv_top_brqrv_dec_tlu_minstreth[18]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_34),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_36),
+	.SUM(n_34620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9408_47_g424 (
+	.A(brqrv_top_brqrv_dec_tlu_minstreth[17]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_32),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_34),
+	.SUM(n_34618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9408_47_g425 (
+	.A(brqrv_top_brqrv_dec_tlu_minstreth[16]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_30),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_32),
+	.SUM(n_34616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9408_47_g426 (
+	.A(brqrv_top_brqrv_dec_tlu_minstreth[15]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_28),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_30),
+	.SUM(n_34614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9408_47_g427 (
+	.A(brqrv_top_brqrv_dec_tlu_minstreth[14]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_26),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_28),
+	.SUM(n_34612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9408_47_g428 (
+	.A(brqrv_top_brqrv_dec_tlu_minstreth[13]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_24),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_26),
+	.SUM(n_34610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9408_47_g429 (
+	.A(brqrv_top_brqrv_dec_tlu_minstreth[12]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_22),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_24),
+	.SUM(n_34608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9408_47_g430 (
+	.A(brqrv_top_brqrv_dec_tlu_minstreth[11]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_20),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_22),
+	.SUM(n_34606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9408_47_g431 (
+	.A(brqrv_top_brqrv_dec_tlu_minstreth[10]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_18),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_20),
+	.SUM(n_34604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9408_47_g432 (
+	.A(brqrv_top_brqrv_dec_tlu_minstreth[9]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_16),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_18),
+	.SUM(n_34602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9408_47_g433 (
+	.A(brqrv_top_brqrv_dec_tlu_minstreth[8]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_14),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_16),
+	.SUM(n_34600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9408_47_g434 (
+	.A(brqrv_top_brqrv_dec_tlu_minstreth[7]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_12),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_14),
+	.SUM(n_34598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9408_47_g435 (
+	.A(brqrv_top_brqrv_dec_tlu_minstreth[6]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_10),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_12),
+	.SUM(n_34596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9408_47_g436 (
+	.A(brqrv_top_brqrv_dec_tlu_minstreth[5]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_8),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_10),
+	.SUM(n_34594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9408_47_g437 (
+	.A(brqrv_top_brqrv_dec_tlu_minstreth[4]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_6),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_8),
+	.SUM(n_34592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9408_47_g438 (
+	.A(brqrv_top_brqrv_dec_tlu_minstreth[3]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_4),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_6),
+	.SUM(n_34590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9408_47_g439 (
+	.A(brqrv_top_brqrv_dec_tlu_minstreth[2]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_2),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_4),
+	.SUM(n_34588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9408_47_g440 (
+	.A(brqrv_top_brqrv_dec_tlu_minstreth[1]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_0),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_2),
+	.SUM(n_34586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9408_47_g441 (
+	.A(brqrv_top_brqrv_dec_tlu_minstretl_cout_f),
+	.B(brqrv_top_brqrv_dec_tlu_minstreth[0]),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9408_47_n_0),
+	.SUM(n_34583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 inc_brqrv_top_brqrv_dec_tlu_add_9495_128_g390 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r[31]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_56),
+	.X(brqrv_top_brqrv_dec_tlu_n_5620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9495_128_g391 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r[30]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_54),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_56),
+	.SUM(brqrv_top_brqrv_dec_tlu_n_5619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9495_128_g392 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r[29]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_52),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_54),
+	.SUM(brqrv_top_brqrv_dec_tlu_n_5618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9495_128_g393 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r[28]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_50),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_52),
+	.SUM(brqrv_top_brqrv_dec_tlu_n_5617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9495_128_g394 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r[27]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_48),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_50),
+	.SUM(brqrv_top_brqrv_dec_tlu_n_5616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9495_128_g395 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r[26]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_46),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_48),
+	.SUM(brqrv_top_brqrv_dec_tlu_n_5615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9495_128_g396 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r[25]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_44),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_46),
+	.SUM(brqrv_top_brqrv_dec_tlu_n_5614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9495_128_g397 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r[24]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_42),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_44),
+	.SUM(brqrv_top_brqrv_dec_tlu_n_5613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9495_128_g398 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r[23]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_40),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_42),
+	.SUM(brqrv_top_brqrv_dec_tlu_n_5612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9495_128_g399 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r[22]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_38),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_40),
+	.SUM(brqrv_top_brqrv_dec_tlu_n_5611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9495_128_g400 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r[21]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_36),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_38),
+	.SUM(brqrv_top_brqrv_dec_tlu_n_5610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9495_128_g401 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r[20]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_34),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_36),
+	.SUM(brqrv_top_brqrv_dec_tlu_n_5609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9495_128_g402 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r[19]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_32),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_34),
+	.SUM(brqrv_top_brqrv_dec_tlu_n_5608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9495_128_g403 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r[18]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_30),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_32),
+	.SUM(brqrv_top_brqrv_dec_tlu_n_5607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9495_128_g404 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r[17]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_28),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_30),
+	.SUM(brqrv_top_brqrv_dec_tlu_n_5606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9495_128_g405 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r[16]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_26),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_28),
+	.SUM(brqrv_top_brqrv_dec_tlu_n_5605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9495_128_g406 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r[15]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_24),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_26),
+	.SUM(brqrv_top_brqrv_dec_tlu_n_5604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9495_128_g407 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r[14]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_22),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_24),
+	.SUM(brqrv_top_brqrv_dec_tlu_n_5603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9495_128_g408 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r[13]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_20),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_22),
+	.SUM(brqrv_top_brqrv_dec_tlu_n_5602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9495_128_g409 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r[12]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_18),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_20),
+	.SUM(brqrv_top_brqrv_dec_tlu_n_5601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9495_128_g410 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r[11]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_16),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_18),
+	.SUM(brqrv_top_brqrv_dec_tlu_n_5600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9495_128_g411 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r[10]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_14),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_16),
+	.SUM(brqrv_top_brqrv_dec_tlu_n_5599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9495_128_g412 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r[9]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_12),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_14),
+	.SUM(brqrv_top_brqrv_dec_tlu_n_5598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9495_128_g413 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r[8]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_10),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_12),
+	.SUM(brqrv_top_brqrv_dec_tlu_n_5597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9495_128_g414 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r[7]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_8),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_10),
+	.SUM(brqrv_top_brqrv_dec_tlu_n_5596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9495_128_g415 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r[6]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_6),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_8),
+	.SUM(brqrv_top_brqrv_dec_tlu_n_5595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9495_128_g416 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r[5]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_4),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_6),
+	.SUM(brqrv_top_brqrv_dec_tlu_n_5594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9495_128_g417 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r[4]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_2),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_4),
+	.SUM(brqrv_top_brqrv_dec_tlu_n_5593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9495_128_g418 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r[3]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_0),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_2),
+	.SUM(brqrv_top_brqrv_dec_tlu_n_5592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9495_128_g419 (
+	.A(brqrv_top_brqrv_dec_tlu_pc_r[1]),
+	.B(brqrv_top_brqrv_dec_tlu_pc_r[2]),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9495_128_n_0),
+	.SUM(brqrv_top_brqrv_dec_tlu_n_5591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_9651_75_g407 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[31]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_58),
+	.B1(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[31]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_58),
+	.Y(n_34470), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_9651_75_g408 (
+	.A1(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[30]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_57),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_58),
+	.X(n_34469), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_9651_75_g409 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_57),
+	.B(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[30]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_58), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9651_75_g410 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[29]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_54),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_57),
+	.SUM(n_34468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9651_75_g411 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[28]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_52),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_54),
+	.SUM(n_34467), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9651_75_g412 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[27]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_50),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_52),
+	.SUM(n_34466), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9651_75_g413 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[26]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_48),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_50),
+	.SUM(n_34465), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9651_75_g414 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[25]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_46),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_48),
+	.SUM(n_34464), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9651_75_g415 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[24]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_44),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_46),
+	.SUM(n_34463), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9651_75_g416 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[23]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_42),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_44),
+	.SUM(n_34462), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9651_75_g417 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[22]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_40),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_42),
+	.SUM(n_34461), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9651_75_g418 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[21]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_38),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_40),
+	.SUM(n_34460), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9651_75_g419 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[20]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_36),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_38),
+	.SUM(n_34459), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9651_75_g420 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[19]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_34),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_36),
+	.SUM(n_34458), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9651_75_g421 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[18]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_32),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_34),
+	.SUM(n_34457), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9651_75_g422 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[17]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_30),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_32),
+	.SUM(n_34456), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9651_75_g423 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[16]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_28),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_30),
+	.SUM(n_34455), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9651_75_g424 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[15]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_26),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_28),
+	.SUM(n_34454), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9651_75_g425 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[14]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_24),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_26),
+	.SUM(n_34453), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9651_75_g426 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[13]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_22),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_24),
+	.SUM(n_34452), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9651_75_g427 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[12]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_20),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_22),
+	.SUM(n_34451), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9651_75_g428 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[11]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_18),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_20),
+	.SUM(n_34450), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9651_75_g429 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[10]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_16),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_18),
+	.SUM(n_34449), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9651_75_g430 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[9]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_14),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_16),
+	.SUM(n_34448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9651_75_g431 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[8]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_12),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_14),
+	.SUM(n_34447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9651_75_g432 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[7]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_10),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_12),
+	.SUM(n_34446), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9651_75_g433 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[6]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_8),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_10),
+	.SUM(n_34445), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9651_75_g434 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[5]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_6),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_8),
+	.SUM(n_34444), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9651_75_g435 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[4]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_4),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_6),
+	.SUM(n_34443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9651_75_g436 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[3]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_2),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_4),
+	.SUM(n_34442), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9651_75_g437 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[2]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_0),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_2),
+	.SUM(n_34441), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_9651_75_g438 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[0]),
+	.B(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[1]),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_9651_75_n_0),
+	.SUM(n_34440), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g848 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc3h[31]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_122),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc3h[31]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_122),
+	.Y(n_34408), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g849 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc3h[30]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_121),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_122),
+	.X(n_34407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g850 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_121),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc3h[30]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g851 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3h[29]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_118),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_121),
+	.SUM(n_34406), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g852 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3h[28]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_116),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_118),
+	.SUM(n_34405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g853 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3h[27]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_114),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_116),
+	.SUM(n_34404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g854 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3h[26]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_112),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_114),
+	.SUM(n_34403), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g855 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3h[25]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_110),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_112),
+	.SUM(n_34402), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g856 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3h[24]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_108),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_110),
+	.SUM(n_34401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g857 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3h[23]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_106),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_108),
+	.SUM(n_34400), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g858 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3h[22]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_104),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_106),
+	.SUM(n_34399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g859 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3h[21]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_102),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_104),
+	.SUM(n_34398), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g860 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3h[20]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_100),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_102),
+	.SUM(n_34397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g861 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3h[19]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_98),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_100),
+	.SUM(n_34396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g862 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3h[18]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_96),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_98),
+	.SUM(n_34395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g863 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3h[17]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_94),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_96),
+	.SUM(n_34394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g864 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3h[16]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_92),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_94),
+	.SUM(n_34393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g865 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3h[15]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_90),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_92),
+	.SUM(n_34392), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g866 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3h[14]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_88),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_90),
+	.SUM(n_34391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g867 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3h[13]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_86),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_88),
+	.SUM(n_34390), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g868 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3h[12]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_84),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_86),
+	.SUM(n_34389), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g869 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3h[11]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_82),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_84),
+	.SUM(n_34388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g870 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3h[10]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_80),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_82),
+	.SUM(n_34387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g871 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3h[9]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_78),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_80),
+	.SUM(n_34386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g872 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3h[8]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_76),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_78),
+	.SUM(n_34385), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g873 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3h[7]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_74),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_76),
+	.SUM(n_34384), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g874 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3h[6]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_72),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_74),
+	.SUM(n_34383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g875 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3h[5]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_70),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_72),
+	.SUM(n_34382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g876 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3h[4]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_68),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_70),
+	.SUM(n_34381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g877 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3h[3]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_66),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_68),
+	.SUM(n_34380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g878 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3h[2]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_64),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_66),
+	.SUM(n_34379), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g879 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3h[1]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_62),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_64),
+	.SUM(n_34378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g880 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3h[0]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_60),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_62),
+	.SUM(n_34377), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g881 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3[31]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_58),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_60),
+	.SUM(n_34439), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g882 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3[30]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_56),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_58),
+	.SUM(n_34438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g883 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3[29]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_54),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_56),
+	.SUM(n_34437), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g884 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3[28]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_52),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_54),
+	.SUM(n_34436), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g885 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3[27]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_50),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_52),
+	.SUM(n_34435), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g886 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3[26]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_48),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_50),
+	.SUM(n_34434), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g887 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3[25]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_46),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_48),
+	.SUM(n_34433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g888 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3[24]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_44),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_46),
+	.SUM(n_34432), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g889 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3[23]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_42),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_44),
+	.SUM(n_34431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g890 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3[22]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_40),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_42),
+	.SUM(n_34430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g891 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3[21]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_38),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_40),
+	.SUM(n_34429), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g892 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3[20]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_36),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_38),
+	.SUM(n_34428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g893 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3[19]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_34),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_36),
+	.SUM(n_34427), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g894 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3[18]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_32),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_34),
+	.SUM(n_34426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g895 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3[17]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_30),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_32),
+	.SUM(n_34425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g896 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3[16]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_28),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_30),
+	.SUM(n_34424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g897 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3[15]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_26),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_28),
+	.SUM(n_34423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g898 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3[14]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_24),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_26),
+	.SUM(n_34422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g899 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3[13]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_22),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_24),
+	.SUM(n_34421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g900 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3[12]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_20),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_22),
+	.SUM(n_34420), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g901 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3[11]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_18),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_20),
+	.SUM(n_34419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g902 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3[10]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_16),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_18),
+	.SUM(n_34418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g903 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3[9]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_14),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_16),
+	.SUM(n_34417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g904 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3[8]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_12),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_14),
+	.SUM(n_34416), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g905 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3[7]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_10),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_12),
+	.SUM(n_34415), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g906 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3[6]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_8),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_10),
+	.SUM(n_34414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g907 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3[5]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_6),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_8),
+	.SUM(n_34413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g908 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3[4]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_4),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_6),
+	.SUM(n_34412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g909 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3[3]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_2),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_4),
+	.SUM(n_34411), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g910 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3[2]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_0),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_2),
+	.SUM(n_34410), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10043_59_g911 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc3[0]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc3[1]),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10043_59_n_0),
+	.SUM(n_34409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g848 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc4h[31]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_122),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc4h[31]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_122),
+	.Y(n_34345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g849 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc4h[30]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_121),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_122),
+	.X(n_34344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g850 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_121),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4h[30]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g851 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4h[29]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_118),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_121),
+	.SUM(n_34343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g852 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4h[28]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_116),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_118),
+	.SUM(n_34342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g853 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4h[27]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_114),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_116),
+	.SUM(n_34341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g854 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4h[26]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_112),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_114),
+	.SUM(n_34340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g855 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4h[25]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_110),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_112),
+	.SUM(n_34339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g856 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4h[24]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_108),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_110),
+	.SUM(n_34338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g857 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4h[23]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_106),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_108),
+	.SUM(n_34337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g858 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4h[22]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_104),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_106),
+	.SUM(n_34336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g859 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4h[21]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_102),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_104),
+	.SUM(n_34335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g860 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4h[20]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_100),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_102),
+	.SUM(n_34334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g861 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4h[19]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_98),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_100),
+	.SUM(n_34333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g862 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4h[18]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_96),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_98),
+	.SUM(n_34332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g863 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4h[17]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_94),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_96),
+	.SUM(n_34331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g864 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4h[16]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_92),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_94),
+	.SUM(n_34330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g865 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4h[15]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_90),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_92),
+	.SUM(n_34329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g866 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4h[14]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_88),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_90),
+	.SUM(n_34328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g867 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4h[13]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_86),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_88),
+	.SUM(n_34327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g868 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4h[12]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_84),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_86),
+	.SUM(n_34326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g869 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4h[11]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_82),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_84),
+	.SUM(n_34325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g870 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4h[10]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_80),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_82),
+	.SUM(n_34324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g871 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4h[9]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_78),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_80),
+	.SUM(n_34323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g872 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4h[8]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_76),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_78),
+	.SUM(n_34322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g873 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4h[7]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_74),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_76),
+	.SUM(n_34321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g874 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4h[6]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_72),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_74),
+	.SUM(n_34320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g875 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4h[5]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_70),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_72),
+	.SUM(n_34319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g876 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4h[4]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_68),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_70),
+	.SUM(n_34318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g877 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4h[3]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_66),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_68),
+	.SUM(n_34317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g878 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4h[2]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_64),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_66),
+	.SUM(n_34316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g879 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4h[1]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_62),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_64),
+	.SUM(n_34315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g880 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4h[0]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_60),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_62),
+	.SUM(n_34314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g881 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4[31]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_58),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_60),
+	.SUM(n_34376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g882 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4[30]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_56),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_58),
+	.SUM(n_34375), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g883 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4[29]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_54),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_56),
+	.SUM(n_34374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g884 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4[28]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_52),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_54),
+	.SUM(n_34373), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g885 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4[27]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_50),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_52),
+	.SUM(n_34372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g886 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4[26]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_48),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_50),
+	.SUM(n_34371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g887 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4[25]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_46),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_48),
+	.SUM(n_34370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g888 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4[24]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_44),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_46),
+	.SUM(n_34369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g889 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4[23]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_42),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_44),
+	.SUM(n_34368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g890 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4[22]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_40),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_42),
+	.SUM(n_34367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g891 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4[21]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_38),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_40),
+	.SUM(n_34366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g892 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4[20]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_36),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_38),
+	.SUM(n_34365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g893 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4[19]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_34),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_36),
+	.SUM(n_34364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g894 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4[18]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_32),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_34),
+	.SUM(n_34363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g895 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4[17]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_30),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_32),
+	.SUM(n_34362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g896 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4[16]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_28),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_30),
+	.SUM(n_34361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g897 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4[15]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_26),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_28),
+	.SUM(n_34360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g898 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4[14]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_24),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_26),
+	.SUM(n_34359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g899 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4[13]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_22),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_24),
+	.SUM(n_34358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g900 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4[12]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_20),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_22),
+	.SUM(n_34357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g901 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4[11]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_18),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_20),
+	.SUM(n_34356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g902 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4[10]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_16),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_18),
+	.SUM(n_34355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g903 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4[9]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_14),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_16),
+	.SUM(n_34354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g904 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4[8]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_12),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_14),
+	.SUM(n_34353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g905 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4[7]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_10),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_12),
+	.SUM(n_34352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g906 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4[6]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_8),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_10),
+	.SUM(n_34351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g907 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4[5]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_6),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_8),
+	.SUM(n_34350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g908 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4[4]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_4),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_6),
+	.SUM(n_34349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g909 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4[3]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_2),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_4),
+	.SUM(n_34348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g910 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4[2]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_0),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_2),
+	.SUM(n_34347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10069_59_g911 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc4[0]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc4[1]),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10069_59_n_0),
+	.SUM(n_34346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g848 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc5h[31]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_122),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc5h[31]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_122),
+	.Y(n_34282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g849 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc5h[30]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_121),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_122),
+	.X(n_34281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g850 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_121),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc5h[30]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g851 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5h[29]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_118),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_121),
+	.SUM(n_34280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g852 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5h[28]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_116),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_118),
+	.SUM(n_34279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g853 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5h[27]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_114),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_116),
+	.SUM(n_34278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g854 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5h[26]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_112),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_114),
+	.SUM(n_34277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g855 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5h[25]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_110),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_112),
+	.SUM(n_34276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g856 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5h[24]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_108),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_110),
+	.SUM(n_34275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g857 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5h[23]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_106),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_108),
+	.SUM(n_34274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g858 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5h[22]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_104),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_106),
+	.SUM(n_34273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g859 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5h[21]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_102),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_104),
+	.SUM(n_34272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g860 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5h[20]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_100),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_102),
+	.SUM(n_34271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g861 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5h[19]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_98),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_100),
+	.SUM(n_34270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g862 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5h[18]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_96),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_98),
+	.SUM(n_34269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g863 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5h[17]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_94),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_96),
+	.SUM(n_34268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g864 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5h[16]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_92),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_94),
+	.SUM(n_34267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g865 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5h[15]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_90),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_92),
+	.SUM(n_34266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g866 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5h[14]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_88),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_90),
+	.SUM(n_34265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g867 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5h[13]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_86),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_88),
+	.SUM(n_34264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g868 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5h[12]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_84),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_86),
+	.SUM(n_34263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g869 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5h[11]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_82),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_84),
+	.SUM(n_34262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g870 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5h[10]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_80),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_82),
+	.SUM(n_34261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g871 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5h[9]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_78),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_80),
+	.SUM(n_34260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g872 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5h[8]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_76),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_78),
+	.SUM(n_34259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g873 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5h[7]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_74),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_76),
+	.SUM(n_34258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g874 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5h[6]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_72),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_74),
+	.SUM(n_34257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g875 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5h[5]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_70),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_72),
+	.SUM(n_34256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g876 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5h[4]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_68),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_70),
+	.SUM(n_34255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g877 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5h[3]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_66),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_68),
+	.SUM(n_34254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g878 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5h[2]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_64),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_66),
+	.SUM(n_34253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g879 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5h[1]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_62),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_64),
+	.SUM(n_34252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g880 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5h[0]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_60),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_62),
+	.SUM(n_34251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g881 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5[31]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_58),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_60),
+	.SUM(n_34313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g882 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5[30]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_56),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_58),
+	.SUM(n_34312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g883 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5[29]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_54),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_56),
+	.SUM(n_34311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g884 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5[28]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_52),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_54),
+	.SUM(n_34310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g885 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5[27]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_50),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_52),
+	.SUM(n_34309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g886 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5[26]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_48),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_50),
+	.SUM(n_34308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g887 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5[25]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_46),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_48),
+	.SUM(n_34307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g888 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5[24]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_44),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_46),
+	.SUM(n_34306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g889 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5[23]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_42),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_44),
+	.SUM(n_34305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g890 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5[22]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_40),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_42),
+	.SUM(n_34304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g891 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5[21]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_38),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_40),
+	.SUM(n_34303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g892 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5[20]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_36),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_38),
+	.SUM(n_34302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g893 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5[19]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_34),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_36),
+	.SUM(n_34301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g894 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5[18]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_32),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_34),
+	.SUM(n_34300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g895 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5[17]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_30),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_32),
+	.SUM(n_34299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g896 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5[16]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_28),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_30),
+	.SUM(n_34298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g897 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5[15]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_26),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_28),
+	.SUM(n_34297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g898 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5[14]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_24),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_26),
+	.SUM(n_34296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g899 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5[13]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_22),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_24),
+	.SUM(n_34295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g900 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5[12]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_20),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_22),
+	.SUM(n_34294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g901 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5[11]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_18),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_20),
+	.SUM(n_34293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g902 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5[10]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_16),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_18),
+	.SUM(n_34292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g903 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5[9]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_14),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_16),
+	.SUM(n_34291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g904 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5[8]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_12),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_14),
+	.SUM(n_34290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g905 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5[7]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_10),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_12),
+	.SUM(n_34289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g906 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5[6]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_8),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_10),
+	.SUM(n_34288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g907 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5[5]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_6),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_8),
+	.SUM(n_34287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g908 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5[4]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_4),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_6),
+	.SUM(n_34286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g909 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5[3]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_2),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_4),
+	.SUM(n_34285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g910 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5[2]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_0),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_2),
+	.SUM(n_34284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10095_59_g911 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc5[0]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc5[1]),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10095_59_n_0),
+	.SUM(n_34283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g848 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_mhpmc6h[31]),
+	.A2_N(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_122),
+	.B1(brqrv_top_brqrv_dec_tlu_mhpmc6h[31]),
+	.B2(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_122),
+	.Y(n_34219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g849 (
+	.A1(brqrv_top_brqrv_dec_tlu_mhpmc6h[30]),
+	.A2(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_121),
+	.B1(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_122),
+	.X(n_34218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g850 (
+	.A(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_121),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc6h[30]),
+	.Y(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g851 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6h[29]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_118),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_121),
+	.SUM(n_34217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g852 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6h[28]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_116),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_118),
+	.SUM(n_34216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g853 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6h[27]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_114),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_116),
+	.SUM(n_34215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g854 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6h[26]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_112),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_114),
+	.SUM(n_34214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g855 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6h[25]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_110),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_112),
+	.SUM(n_34213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g856 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6h[24]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_108),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_110),
+	.SUM(n_34212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g857 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6h[23]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_106),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_108),
+	.SUM(n_34211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g858 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6h[22]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_104),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_106),
+	.SUM(n_34210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g859 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6h[21]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_102),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_104),
+	.SUM(n_34209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g860 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6h[20]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_100),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_102),
+	.SUM(n_34208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g861 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6h[19]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_98),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_100),
+	.SUM(n_34207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g862 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6h[18]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_96),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_98),
+	.SUM(n_34206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g863 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6h[17]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_94),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_96),
+	.SUM(n_34205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g864 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6h[16]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_92),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_94),
+	.SUM(n_34204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g865 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6h[15]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_90),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_92),
+	.SUM(n_34203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g866 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6h[14]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_88),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_90),
+	.SUM(n_34202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g867 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6h[13]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_86),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_88),
+	.SUM(n_34201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g868 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6h[12]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_84),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_86),
+	.SUM(n_34200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g869 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6h[11]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_82),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_84),
+	.SUM(n_34199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g870 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6h[10]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_80),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_82),
+	.SUM(n_34198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g871 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6h[9]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_78),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_80),
+	.SUM(n_34197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g872 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6h[8]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_76),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_78),
+	.SUM(n_34196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g873 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6h[7]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_74),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_76),
+	.SUM(n_34195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g874 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6h[6]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_72),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_74),
+	.SUM(n_34194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g875 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6h[5]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_70),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_72),
+	.SUM(n_34193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g876 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6h[4]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_68),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_70),
+	.SUM(n_34192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g877 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6h[3]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_66),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_68),
+	.SUM(n_34191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g878 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6h[2]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_64),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_66),
+	.SUM(n_34190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g879 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6h[1]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_62),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_64),
+	.SUM(n_34189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g880 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6h[0]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_60),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_62),
+	.SUM(n_34188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g881 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6[31]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_58),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_60),
+	.SUM(n_34250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g882 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6[30]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_56),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_58),
+	.SUM(n_34249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g883 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6[29]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_54),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_56),
+	.SUM(n_34248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g884 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6[28]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_52),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_54),
+	.SUM(n_34247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g885 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6[27]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_50),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_52),
+	.SUM(n_34246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g886 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6[26]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_48),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_50),
+	.SUM(n_34245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g887 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6[25]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_46),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_48),
+	.SUM(n_34244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g888 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6[24]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_44),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_46),
+	.SUM(n_34243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g889 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6[23]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_42),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_44),
+	.SUM(n_34242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g890 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6[22]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_40),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_42),
+	.SUM(n_34241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g891 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6[21]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_38),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_40),
+	.SUM(n_34240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g892 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6[20]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_36),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_38),
+	.SUM(n_34239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g893 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6[19]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_34),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_36),
+	.SUM(n_34238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g894 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6[18]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_32),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_34),
+	.SUM(n_34237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g895 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6[17]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_30),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_32),
+	.SUM(n_34236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g896 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6[16]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_28),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_30),
+	.SUM(n_34235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g897 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6[15]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_26),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_28),
+	.SUM(n_34234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g898 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6[14]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_24),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_26),
+	.SUM(n_34233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g899 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6[13]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_22),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_24),
+	.SUM(n_34232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g900 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6[12]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_20),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_22),
+	.SUM(n_34231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g901 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6[11]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_18),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_20),
+	.SUM(n_34230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g902 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6[10]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_16),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_18),
+	.SUM(n_34229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g903 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6[9]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_14),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_16),
+	.SUM(n_34228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g904 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6[8]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_12),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_14),
+	.SUM(n_34227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g905 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6[7]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_10),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_12),
+	.SUM(n_34226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g906 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6[6]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_8),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_10),
+	.SUM(n_34225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g907 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6[5]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_6),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_8),
+	.SUM(n_34224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g908 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6[4]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_4),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_6),
+	.SUM(n_34223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g909 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6[3]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_2),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_4),
+	.SUM(n_34222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g910 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6[2]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_0),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_2),
+	.SUM(n_34221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_add_10121_59_g911 (
+	.A(brqrv_top_brqrv_dec_tlu_mhpmc6[0]),
+	.B(brqrv_top_brqrv_dec_tlu_mhpmc6[1]),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_add_10121_59_n_0),
+	.SUM(n_34220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_g300 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[31]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_44),
+	.X(n_33619), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_g301 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[30]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_42),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_44),
+	.SUM(n_33618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_g302 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[29]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_40),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_42),
+	.SUM(n_33617), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_g303 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[28]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_38),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_40),
+	.SUM(n_33616), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_g304 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[27]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_36),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_38),
+	.SUM(n_33615), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_g305 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[26]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_34),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_36),
+	.SUM(n_33614), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_g306 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[25]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_32),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_34),
+	.SUM(n_33613), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_g307 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[24]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_30),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_32),
+	.SUM(n_33612), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_g308 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[23]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_28),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_30),
+	.SUM(n_33611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_g309 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[22]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_26),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_28),
+	.SUM(n_33610), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_g310 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[21]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_24),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_26),
+	.SUM(n_33609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_g311 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[20]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_22),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_24),
+	.SUM(n_33608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_g312 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[19]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_20),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_22),
+	.SUM(n_33607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_g313 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[18]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_18),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_20),
+	.SUM(n_33606), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_g314 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[17]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_16),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_18),
+	.SUM(n_33605), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_g315 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[16]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_14),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_16),
+	.SUM(n_33604), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_g316 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[15]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_12),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_14),
+	.SUM(n_33603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_g317 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[14]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_10),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_12),
+	.SUM(n_33602), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_g318 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[13]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_8),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_10),
+	.SUM(n_33601), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_g319 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[12]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_6),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_8),
+	.SUM(n_33600), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_g320 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[11]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_4),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_6),
+	.SUM(n_33599), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_g321 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[10]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_2),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_4),
+	.SUM(n_33598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_g322 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[9]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_0),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_2),
+	.SUM(n_33597), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_g323 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[8]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_inc_cout),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10376_43_n_0),
+	.SUM(n_33596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_g300 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[31]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_44),
+	.X(n_33595), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_g301 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[30]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_42),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_44),
+	.SUM(n_33594), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_g302 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[29]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_40),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_42),
+	.SUM(n_33593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_g303 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[28]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_38),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_40),
+	.SUM(n_33592), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_g304 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[27]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_36),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_38),
+	.SUM(n_33591), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_g305 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[26]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_34),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_36),
+	.SUM(n_33590), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_g306 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[25]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_32),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_34),
+	.SUM(n_33589), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_g307 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[24]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_30),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_32),
+	.SUM(n_33588), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_g308 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[23]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_28),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_30),
+	.SUM(n_33587), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_g309 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[22]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_26),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_28),
+	.SUM(n_33586), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_g310 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[21]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_24),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_26),
+	.SUM(n_33585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_g311 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[20]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_22),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_24),
+	.SUM(n_33584), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_g312 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[19]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_20),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_22),
+	.SUM(n_33583), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_g313 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[18]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_18),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_20),
+	.SUM(n_33582), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_g314 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[17]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_16),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_18),
+	.SUM(n_33581), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_g315 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[16]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_14),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_16),
+	.SUM(n_33580), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_g316 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[15]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_12),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_14),
+	.SUM(n_33579), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_g317 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[14]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_10),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_12),
+	.SUM(n_33578), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_g318 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[13]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_8),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_10),
+	.SUM(n_33577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_g319 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[12]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_6),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_8),
+	.SUM(n_33576), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_g320 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[11]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_4),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_6),
+	.SUM(n_33575), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_g321 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[10]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_2),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_4),
+	.SUM(n_33574), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_g322 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[9]),
+	.B(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_0),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_2),
+	.SUM(n_33573), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_g323 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[8]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_inc_cout),
+	.COUT(inc_brqrv_top_brqrv_dec_tlu_int_timers_add_10398_43_n_0),
+	.SUM(n_33572), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_g229 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[31]),
+	.B(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_n_32),
+	.X(n_34059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_g230 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[30]),
+	.B(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_n_30),
+	.COUT(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_n_32),
+	.SUM(n_34058), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_g231 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[29]),
+	.B(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_n_28),
+	.COUT(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_n_30),
+	.SUM(n_34057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_g232 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[28]),
+	.B(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_n_26),
+	.COUT(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_n_28),
+	.SUM(n_34056), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_g233 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[27]),
+	.B(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_n_24),
+	.COUT(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_n_26),
+	.SUM(n_34055), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_g234 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[26]),
+	.B(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_n_22),
+	.COUT(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_n_24),
+	.SUM(n_34054), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_g235 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[25]),
+	.B(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_n_20),
+	.COUT(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_n_22),
+	.SUM(n_34053), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_g236 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[24]),
+	.B(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_n_18),
+	.COUT(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_n_20),
+	.SUM(n_34052), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_g237 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[23]),
+	.B(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_n_16),
+	.COUT(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_n_18),
+	.SUM(n_34051), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_g238 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[22]),
+	.B(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_n_14),
+	.COUT(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_n_16),
+	.SUM(n_34050), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_g239 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[21]),
+	.B(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_n_12),
+	.COUT(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_n_14),
+	.SUM(n_34049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_g240 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[20]),
+	.B(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_n_10),
+	.COUT(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_n_12),
+	.SUM(n_34048), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_g241 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[19]),
+	.B(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_n_8),
+	.COUT(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_n_10),
+	.SUM(n_34047), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_g242 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[18]),
+	.B(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_n_6),
+	.COUT(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_n_8),
+	.SUM(n_34046), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_g243 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[17]),
+	.B(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_n_4),
+	.COUT(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_n_6),
+	.SUM(n_34045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_g244 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[16]),
+	.B(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_n_2),
+	.COUT(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_n_4),
+	.SUM(n_34044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_g245 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[15]),
+	.B(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_n_0),
+	.COUT(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_n_2),
+	.SUM(n_34043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_g246 (
+	.A(brqrv_top_brqrv_ifu_i0_pc[13]),
+	.B(brqrv_top_brqrv_ifu_i0_pc[14]),
+	.COUT(inc_brqrv_top_brqrv_exu_i_alu_ibradder_add_22817_35_n_0),
+	.SUM(n_34042), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 inc_brqrv_top_brqrv_ifu_aln_add_14261_97_g390 (
+	.A(n_34860),
+	.B(inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_56),
+	.X(n_34829), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_ifu_aln_add_14261_97_g391 (
+	.A(n_34859),
+	.B(inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_54),
+	.COUT(inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_56),
+	.SUM(n_34828), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_ifu_aln_add_14261_97_g392 (
+	.A(n_34858),
+	.B(inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_52),
+	.COUT(inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_54),
+	.SUM(n_34827), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_ifu_aln_add_14261_97_g393 (
+	.A(n_34857),
+	.B(inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_50),
+	.COUT(inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_52),
+	.SUM(n_34826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_ifu_aln_add_14261_97_g394 (
+	.A(n_34856),
+	.B(inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_48),
+	.COUT(inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_50),
+	.SUM(n_34825), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_ifu_aln_add_14261_97_g395 (
+	.A(n_34855),
+	.B(inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_46),
+	.COUT(inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_48),
+	.SUM(n_34824), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_ifu_aln_add_14261_97_g396 (
+	.A(n_34854),
+	.B(inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_44),
+	.COUT(inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_46),
+	.SUM(n_34823), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_ifu_aln_add_14261_97_g397 (
+	.A(n_34853),
+	.B(inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_42),
+	.COUT(inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_44),
+	.SUM(n_34822), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_ifu_aln_add_14261_97_g398 (
+	.A(n_34852),
+	.B(inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_40),
+	.COUT(inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_42),
+	.SUM(n_34821), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_ifu_aln_add_14261_97_g399 (
+	.A(n_34851),
+	.B(inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_38),
+	.COUT(inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_40),
+	.SUM(n_34820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_ifu_aln_add_14261_97_g400 (
+	.A(n_34850),
+	.B(inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_36),
+	.COUT(inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_38),
+	.SUM(n_34819), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_ifu_aln_add_14261_97_g401 (
+	.A(n_34849),
+	.B(inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_34),
+	.COUT(inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_36),
+	.SUM(n_34818), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_ifu_aln_add_14261_97_g402 (
+	.A(n_34848),
+	.B(inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_32),
+	.COUT(inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_34),
+	.SUM(n_34817), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_ifu_aln_add_14261_97_g403 (
+	.A(n_34847),
+	.B(inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_30),
+	.COUT(inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_32),
+	.SUM(n_34816), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_ifu_aln_add_14261_97_g404 (
+	.A(n_34846),
+	.B(inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_28),
+	.COUT(inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_30),
+	.SUM(n_34815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_ifu_aln_add_14261_97_g405 (
+	.A(n_34845),
+	.B(inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_26),
+	.COUT(inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_28),
+	.SUM(n_34814), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_ifu_aln_add_14261_97_g406 (
+	.A(n_34844),
+	.B(inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_24),
+	.COUT(inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_26),
+	.SUM(n_34813), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_ifu_aln_add_14261_97_g407 (
+	.A(n_34843),
+	.B(inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_22),
+	.COUT(inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_24),
+	.SUM(n_34812), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_ifu_aln_add_14261_97_g408 (
+	.A(n_34842),
+	.B(inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_20),
+	.COUT(inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_22),
+	.SUM(n_34811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_ifu_aln_add_14261_97_g409 (
+	.A(n_34841),
+	.B(inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_18),
+	.COUT(inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_20),
+	.SUM(n_34810), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_ifu_aln_add_14261_97_g410 (
+	.A(n_34840),
+	.B(inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_16),
+	.COUT(inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_18),
+	.SUM(n_34809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_ifu_aln_add_14261_97_g411 (
+	.A(n_34839),
+	.B(inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_14),
+	.COUT(inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_16),
+	.SUM(n_34808), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_ifu_aln_add_14261_97_g412 (
+	.A(n_34838),
+	.B(inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_12),
+	.COUT(inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_14),
+	.SUM(n_34807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_ifu_aln_add_14261_97_g413 (
+	.A(n_34837),
+	.B(inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_10),
+	.COUT(inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_12),
+	.SUM(n_34806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_ifu_aln_add_14261_97_g414 (
+	.A(n_34836),
+	.B(inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_8),
+	.COUT(inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_10),
+	.SUM(n_34805), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_ifu_aln_add_14261_97_g415 (
+	.A(n_34835),
+	.B(inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_6),
+	.COUT(inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_8),
+	.SUM(n_34804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_ifu_aln_add_14261_97_g416 (
+	.A(n_34834),
+	.B(inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_4),
+	.COUT(inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_6),
+	.SUM(n_34803), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_ifu_aln_add_14261_97_g417 (
+	.A(n_34833),
+	.B(inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_2),
+	.COUT(inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_4),
+	.SUM(n_34802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_ifu_aln_add_14261_97_g418 (
+	.A(n_34832),
+	.B(inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_0),
+	.COUT(inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_2),
+	.SUM(n_34801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_ifu_aln_add_14261_97_g419 (
+	.A(n_34830),
+	.B(n_34831),
+	.COUT(inc_brqrv_top_brqrv_ifu_aln_add_14261_97_n_0),
+	.SUM(n_34800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_g229  (
+	.A(n_33714),
+	.B(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_n_32 ),
+	.X(n_33687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_g230  (
+	.A(n_33712),
+	.B(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_n_30 ),
+	.COUT(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_n_32 ),
+	.SUM(n_33686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_g231  (
+	.A(n_33710),
+	.B(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_n_28 ),
+	.COUT(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_n_30 ),
+	.SUM(n_33685), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_g232  (
+	.A(n_33708),
+	.B(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_n_26 ),
+	.COUT(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_n_28 ),
+	.SUM(n_33684), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_g233  (
+	.A(n_33706),
+	.B(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_n_24 ),
+	.COUT(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_n_26 ),
+	.SUM(n_33683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_g234  (
+	.A(n_33704),
+	.B(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_n_22 ),
+	.COUT(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_n_24 ),
+	.SUM(n_33682), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_g235  (
+	.A(n_33702),
+	.B(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_n_20 ),
+	.COUT(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_n_22 ),
+	.SUM(n_33681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_g236  (
+	.A(n_33700),
+	.B(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_n_18 ),
+	.COUT(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_n_20 ),
+	.SUM(n_33680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_g237  (
+	.A(n_33698),
+	.B(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_n_16 ),
+	.COUT(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_n_18 ),
+	.SUM(n_33679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_g238  (
+	.A(n_33697),
+	.B(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_n_14 ),
+	.COUT(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_n_16 ),
+	.SUM(n_33678), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_g239  (
+	.A(n_33696),
+	.B(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_n_12 ),
+	.COUT(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_n_14 ),
+	.SUM(n_33677), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_g240  (
+	.A(n_33695),
+	.B(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_n_10 ),
+	.COUT(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_n_12 ),
+	.SUM(n_33676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_g241  (
+	.A(n_33694),
+	.B(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_n_8 ),
+	.COUT(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_n_10 ),
+	.SUM(n_33675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_g242  (
+	.A(n_33693),
+	.B(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_n_6 ),
+	.COUT(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_n_8 ),
+	.SUM(n_33674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_g243  (
+	.A(n_33692),
+	.B(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_n_4 ),
+	.COUT(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_n_6 ),
+	.SUM(n_33673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_g244  (
+	.A(n_33691),
+	.B(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_n_2 ),
+	.COUT(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_n_4 ),
+	.SUM(n_33672), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_g245  (
+	.A(n_33690),
+	.B(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_n_0 ),
+	.COUT(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_n_2 ),
+	.SUM(n_33671), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_g246  (
+	.A(n_33688),
+	.B(n_33689),
+	.COUT(\inc_brqrv_top_brqrv_ifu_bpred.bp_predtgt_addr_add_22817_35_n_0 ),
+	.SUM(n_33670), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_g381  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[31]),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_54 ),
+	.Y(n_33715), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_g382  (
+	.A1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[30]),
+	.A2(\inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_53 ),
+	.B1(\inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_54 ),
+	.X(n_33713), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_g383  (
+	.A(\inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_53 ),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[30]),
+	.Y(\inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_54 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_g384  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[29]),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_50 ),
+	.COUT(\inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_53 ),
+	.SUM(n_33711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_g385  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[28]),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_48 ),
+	.COUT(\inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_50 ),
+	.SUM(n_33709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_g386  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[27]),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_46 ),
+	.COUT(\inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_48 ),
+	.SUM(n_33707), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_g387  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[26]),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_44 ),
+	.COUT(\inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_46 ),
+	.SUM(n_33705), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_g388  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[25]),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_42 ),
+	.COUT(\inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_44 ),
+	.SUM(n_33703), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_g389  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[24]),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_40 ),
+	.COUT(\inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_42 ),
+	.SUM(n_33701), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_g390  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[23]),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_38 ),
+	.COUT(\inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_40 ),
+	.SUM(n_33699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_g391  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[22]),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_36 ),
+	.COUT(\inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_38 ),
+	.SUM(n_33733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_g392  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[21]),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_34 ),
+	.COUT(\inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_36 ),
+	.SUM(n_33730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_g393  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[20]),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_32 ),
+	.COUT(\inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_34 ),
+	.SUM(n_33727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_g394  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[19]),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_30 ),
+	.COUT(\inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_32 ),
+	.SUM(n_33724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_g395  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[18]),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_28 ),
+	.COUT(\inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_30 ),
+	.SUM(n_33721), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_g396  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[17]),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_26 ),
+	.COUT(\inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_28 ),
+	.SUM(n_33718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_g397  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[16]),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_24 ),
+	.COUT(\inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_26 ),
+	.SUM(n_33716), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_g398  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[15]),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_22 ),
+	.COUT(\inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_24 ),
+	.SUM(n_33734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_g399  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[14]),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_20 ),
+	.COUT(\inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_22 ),
+	.SUM(n_33731), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_g400  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[13]),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_18 ),
+	.COUT(\inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_20 ),
+	.SUM(n_33728), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_g401  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[12]),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_16 ),
+	.COUT(\inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_18 ),
+	.SUM(n_33725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_g402  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[11]),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_14 ),
+	.COUT(\inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_16 ),
+	.SUM(n_33722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_g403  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[10]),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_12 ),
+	.COUT(\inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_14 ),
+	.SUM(n_33719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_g404  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[9]),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_10 ),
+	.COUT(\inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_12 ),
+	.SUM(n_33717), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_g405  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[8]),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_8 ),
+	.COUT(\inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_10 ),
+	.SUM(n_33735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_g406  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[7]),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_6 ),
+	.COUT(\inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_8 ),
+	.SUM(n_33732), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_g407  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[6]),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_4 ),
+	.COUT(\inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_6 ),
+	.SUM(n_33729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_g408  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[5]),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_2 ),
+	.COUT(\inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_4 ),
+	.SUM(n_33726), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_g409  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[4]),
+	.B(\inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_0 ),
+	.COUT(\inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_2 ),
+	.SUM(n_33723), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 \inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_g410  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[2]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[3]),
+	.COUT(\inc_brqrv_top_brqrv_ifu_ifc_add_15702_59_Y_inc_brqrv_top_brqrv_ifu_bpred.bp_add_14602_56_n_0 ),
+	.SUM(n_33720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_g244 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[31]),
+	.B(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_n_34),
+	.Y(n_33669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_g246 (
+	.A(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_n_33),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[30]),
+	.Y(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_n_34), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_g247 (
+	.A(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_n_30),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[29]),
+	.COUT(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_n_33),
+	.SUM(n_33667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_g248 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[28]),
+	.B(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_n_28),
+	.COUT(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_n_30),
+	.SUM(n_33666), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_g249 (
+	.A(n_34712),
+	.B(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_n_26),
+	.COUT(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_n_28),
+	.SUM(n_33665), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_g250 (
+	.A(n_34711),
+	.B(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_n_24),
+	.COUT(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_n_26),
+	.SUM(n_33664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_g251 (
+	.A(n_34710),
+	.B(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_n_22),
+	.COUT(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_n_24),
+	.SUM(n_33663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_g252 (
+	.A(n_34709),
+	.B(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_n_20),
+	.COUT(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_n_22),
+	.SUM(n_33662), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_g253 (
+	.A(n_34708),
+	.B(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_n_18),
+	.COUT(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_n_20),
+	.SUM(n_33661), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_g254 (
+	.A(n_34707),
+	.B(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_n_16),
+	.COUT(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_n_18),
+	.SUM(n_33660), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_g255 (
+	.A(n_34706),
+	.B(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_n_14),
+	.COUT(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_n_16),
+	.SUM(n_33659), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_g256 (
+	.A(n_34705),
+	.B(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_n_12),
+	.COUT(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_n_14),
+	.SUM(n_33658), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_g257 (
+	.A(n_34704),
+	.B(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_n_10),
+	.COUT(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_n_12),
+	.SUM(n_33657), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_g258 (
+	.A(n_34703),
+	.B(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_n_8),
+	.COUT(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_n_10),
+	.SUM(n_33656), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_g259 (
+	.A(n_34702),
+	.B(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_n_6),
+	.COUT(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_n_8),
+	.SUM(n_33655), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_g260 (
+	.A(n_34701),
+	.B(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_n_4),
+	.COUT(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_n_6),
+	.SUM(n_33654), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_g261 (
+	.A(n_34700),
+	.B(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_n_2),
+	.COUT(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_n_4),
+	.SUM(n_33653), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_g262 (
+	.A(n_34699),
+	.B(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_n_0),
+	.COUT(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_n_2),
+	.SUM(n_33652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_g263 (
+	.A(n_34698),
+	.B(n_34697),
+	.COUT(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_n_0),
+	.SUM(n_33651), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g851399 (
+	.A(n_3724),
+	.Y(n_33447), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g851400 (
+	.A(n_30655),
+	.Y(n_28873), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g851401 (
+	.A(n_30811),
+	.Y(n_2725), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g851403 (
+	.A(n_32575),
+	.Y(n_29134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g851405 (
+	.A(n_30578),
+	.Y(n_2718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g852011 (
+	.A_N(n_32562),
+	.B(n_28804),
+	.Y(n_38479), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g852012 (
+	.A(n_33369),
+	.B(n_6026),
+	.Y(n_38480), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 g852013 (
+	.A0(n_34125),
+	.A1(n_31550),
+	.S(n_31642),
+	.X(n_38481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 g852014 (
+	.A0(n_34129),
+	.A1(n_31464),
+	.S(n_31642),
+	.X(n_38482), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g852015 (
+	.A1(n_30797),
+	.A2(n_28300),
+	.B1(n_28390),
+	.X(n_38483), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4bb_1 g852016 (
+	.A(brqrv_top_brqrv_dbg_execute_command),
+	.B(brqrv_top_brqrv_dec_tlu_misc_clk_override),
+	.C_N(n_28030),
+	.D_N(n_26138),
+	.X(n_38484), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g852017 (
+	.A0(n_26740),
+	.A1(n_3270),
+	.S(n_28115),
+	.Y(n_38485), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g852019 (
+	.A1(n_25543),
+	.A2(n_30262),
+	.B1(n_24211),
+	.B2(brqrv_top_brqrv_dec_tlu_nmi_lsu_load_type_f),
+	.Y(n_38487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g852020 (
+	.A(n_30490),
+	.B(brqrv_top_brqrv_dec_tlu_mtdata1_t3[9]),
+	.Y(n_38488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g852021 (
+	.A(brqrv_top_brqrv_dec_tlu_illegal_r),
+	.B(brqrv_top_brqrv_dec_tlu_dbg_halted),
+	.Y(n_38489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g852022 (
+	.A1(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_m[0]),
+	.A2(brqrv_top_brqrv_lsu_lsu_addr_m[0]),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_m[1]),
+	.Y(n_38490), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 g852023 (
+	.A(n_38491),
+	.B(n_31594),
+	.C(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.X(n_38492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g3 (
+	.A(n_32811),
+	.B_N(n_31596),
+	.Y(n_38491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g852024 (
+	.A1(n_31798),
+	.A2(n_537),
+	.B1(brqrv_top_brqrv_lsu_lsu_pkt_m[9]),
+	.X(n_38493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 g852025 (
+	.A0(n_34135),
+	.A1(brqrv_top_brqrv_exu_i_alu_pcout[12]),
+	.S(n_31642),
+	.X(n_38494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 g852026 (
+	.A0(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[15]),
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[15]),
+	.S(n_31462),
+	.X(n_38495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g852028 (
+	.A(n_1549),
+	.B(n_34151),
+	.Y(n_38497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g852029 (
+	.A(n_1563),
+	.B(n_34135),
+	.Y(n_38498), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g852030 (
+	.A(n_1558),
+	.B(n_34129),
+	.Y(n_38499), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g852031 (
+	.A(n_1545),
+	.B(n_34146),
+	.Y(n_38500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g852032 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[14]),
+	.B(n_31742),
+	.C(n_32205),
+	.Y(n_38501), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g852033 (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.sv2v_tmp_F09CF [3]),
+	.B_N(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.sv2v_tmp_F09CF [2]),
+	.Y(n_38502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 g852034 (
+	.A(n_32678),
+	.B(n_32582),
+	.C(n_32569),
+	.Y(n_38503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g852035 (
+	.A1(n_5684),
+	.A2(n_5503),
+	.B1(brqrv_top_brqrv_dec_tlu_mfdht[5]),
+	.Y(n_38504), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g852036 (
+	.A_N(n_32761),
+	.B(n_5379),
+	.C(brqrv_top_brqrv_dec_decode_r_t[12]),
+	.Y(n_38505), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g852037 (
+	.A(n_32687),
+	.B(n_32686),
+	.Y(n_38506), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g852038 (
+	.A(n_5135),
+	.B(n_5175),
+	.Y(n_38507), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g852039 (
+	.A(n_38544),
+	.B(n_29931),
+	.Y(n_38508), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g852040 (
+	.A0(n_3029),
+	.A1(n_3044),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[9]),
+	.Y(n_38509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g852041 (
+	.A0(n_3029),
+	.A1(n_3044),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[8]),
+	.Y(n_38510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g852042 (
+	.A0(n_3009),
+	.A1(n_3048),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[41]),
+	.Y(n_38511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g852043 (
+	.A0(n_3020),
+	.A1(n_3067),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[88]),
+	.Y(n_38512), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g852044 (
+	.A0(n_3026),
+	.A1(n_3073),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[64]),
+	.Y(n_38513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g852045 (
+	.A0(n_3026),
+	.A1(n_3073),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[65]),
+	.Y(n_38514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g852046 (
+	.A0(n_3019),
+	.A1(n_3065),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[104]),
+	.Y(n_38515), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g852047 (
+	.A0(n_3019),
+	.A1(n_3065),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[105]),
+	.Y(n_38516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g852048 (
+	.A0(n_3004),
+	.A1(n_3038),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[25]),
+	.Y(n_38517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g852049 (
+	.A0(n_3009),
+	.A1(n_3048),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[40]),
+	.Y(n_38518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g852050 (
+	.A0(n_3017),
+	.A1(n_3061),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[96]),
+	.Y(n_38519), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g852051 (
+	.A0(n_3022),
+	.A1(n_3069),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[80]),
+	.Y(n_38520), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g852052 (
+	.A0(n_3031),
+	.A1(n_3035),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[16]),
+	.Y(n_38521), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g852053 (
+	.A0(n_3025),
+	.A1(n_3042),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[112]),
+	.Y(n_38522), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g852054 (
+	.A0(n_3004),
+	.A1(n_3038),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[24]),
+	.Y(n_38523), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g852055 (
+	.A0(n_3006),
+	.A1(n_3059),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[120]),
+	.Y(n_38524), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g852056 (
+	.A0(n_3012),
+	.A1(n_3056),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[57]),
+	.Y(n_38525), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g852057 (
+	.A0(n_3012),
+	.A1(n_3056),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[56]),
+	.Y(n_38526), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g852058 (
+	.A0(n_3006),
+	.A1(n_3059),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[121]),
+	.Y(n_38527), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g852059 (
+	.A0(n_3006),
+	.A1(n_3059),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[122]),
+	.Y(n_38528), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g852060 (
+	.A0(n_3025),
+	.A1(n_3042),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[113]),
+	.Y(n_38529), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g852061 (
+	.A0(n_3025),
+	.A1(n_3042),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[114]),
+	.Y(n_38530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g852062 (
+	.A0(n_3017),
+	.A1(n_3061),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[98]),
+	.Y(n_38531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g852063 (
+	.A0(n_3017),
+	.A1(n_3061),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[97]),
+	.Y(n_38532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g852064 (
+	.A0(n_3019),
+	.A1(n_3065),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[106]),
+	.Y(n_38533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g852065 (
+	.A0(n_3031),
+	.A1(n_3035),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[18]),
+	.Y(n_38534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g852066 (
+	.A0(n_3020),
+	.A1(n_3067),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[89]),
+	.Y(n_38535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g852067 (
+	.A0(n_3020),
+	.A1(n_3067),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[90]),
+	.Y(n_38536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g852068 (
+	.A0(n_3022),
+	.A1(n_3069),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[81]),
+	.Y(n_38537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g852069 (
+	.A0(n_3004),
+	.A1(n_3038),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[26]),
+	.Y(n_38538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g852070 (
+	.A0(n_3022),
+	.A1(n_3069),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[82]),
+	.Y(n_38539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g852071 (
+	.A0(n_3012),
+	.A1(n_3056),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[58]),
+	.Y(n_38540), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g852072 (
+	.A0(n_3026),
+	.A1(n_3073),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[66]),
+	.Y(n_38541), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g852073 (
+	.A0(n_3031),
+	.A1(n_3035),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[17]),
+	.Y(n_38542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g852074 (
+	.A0(n_3009),
+	.A1(n_3048),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[42]),
+	.Y(n_38543), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g852075 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_r[11]),
+	.B(n_39122),
+	.Y(n_38544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2i_1 g852076 (
+	.A0(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [1]),
+	.A1(n_32209),
+	.S(n_31487),
+	.Y(n_38545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g852077 (
+	.A(n_39187),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[1]),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[1]),
+	.X(n_38547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 g852079 (
+	.A(n_39186),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[1]),
+	.C(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[1]),
+	.X(n_38549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g852647 (
+	.A(brqrv_top_brqrv_dbg_dbg_state[2]),
+	.B(brqrv_top_brqrv_dbg_dbg_state[3]),
+	.Y(n_39120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g852648 (
+	.A(brqrv_top_brqrv_dec_tlu_dbg_halted),
+	.B(n_32761),
+	.Y(n_33495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g852649 (
+	.A_N(brqrv_top_brqrv_lsu_lsu_pkt_r[9]),
+	.B(n_29913),
+	.C(n_29909),
+	.Y(n_39122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g852650 (
+	.A(n_32911),
+	.B(n_32913),
+	.C(n_32912),
+	.D(n_32910),
+	.Y(n_39123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g852654 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[21]),
+	.B(brqrv_top_brqrv_dec_dec_i0_instr_d[20]),
+	.Y(n_39127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_add_12709_88_g852655  (
+	.A(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.B(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_adder4_out [36]),
+	.Y(n_39128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g852656 (
+	.A(n_31646),
+	.B(n_31647),
+	.Y(n_39129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_2 g852658 (
+	.A_N(n_30890),
+	.B(n_30885),
+	.C(brqrv_top_brqrv_dec_extint_stall),
+	.X(n_39131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g852659 (
+	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_n_268 ),
+	.B_N(\brqrv_top_mem_Gen_dccm_enable.dccm_n_269 ),
+	.Y(n_39132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g852660 (
+	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_n_269 ),
+	.B_N(\brqrv_top_mem_Gen_dccm_enable.dccm_n_268 ),
+	.Y(n_39133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g852661 (
+	.A(n_23368),
+	.B(n_23107),
+	.X(n_39134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_2 g852662 (
+	.A(n_540),
+	.B(n_32914),
+	.C(n_32915),
+	.X(n_39135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g852663 (
+	.A(brqrv_top_brqrv_dma_mem_addr[2]),
+	.B(n_545),
+	.X(n_39136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 g852664 (
+	.A1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1055),
+	.A2(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_684),
+	.B1(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_1341),
+	.X(n_39137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g852665 (
+	.A(brqrv_top_brqrv_exu_i0_pred_correct_upper_r),
+	.B(brqrv_top_brqrv_dec_tlu_pc0_valid_r_BAR),
+	.Y(n_39138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_2 g852666 (
+	.A_N(n_7871),
+	.B(n_30465),
+	.C(brqrv_top_brqrv_dec_tlu_mtvec[0]),
+	.X(n_39139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_2 g852667 (
+	.A(n_32578),
+	.B(n_32573),
+	.C(n_31701),
+	.Y(n_39140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g852668 (
+	.A(n_19365),
+	.B(n_19360),
+	.X(n_39141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_2 g852669 (
+	.A(n_32790),
+	.B(n_6028),
+	.C(n_19361),
+	.Y(n_39142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g852670 (
+	.A(n_32579),
+	.B(n_32783),
+	.Y(n_39143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g852671 (
+	.A(n_31398),
+	.B(n_31297),
+	.X(n_39144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g852672 (
+	.A(n_31398),
+	.B(n_12382),
+	.X(n_39145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2b_4 g852673 (
+	.A_N(brqrv_top_brqrv_dec_tlu_n_12950),
+	.B(n_19365),
+	.X(n_39146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g852674 (
+	.A(n_23893),
+	.B(n_5302),
+	.X(n_39147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g852675 (
+	.A(n_23893),
+	.B(n_5301),
+	.X(n_39148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g852676 (
+	.A(n_23893),
+	.B(n_6034),
+	.X(n_39149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_2 g852677 (
+	.A(n_39122),
+	.B(n_2683),
+	.C(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addr_external_r),
+	.X(n_39150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g852678 (
+	.A(\brqrv_top_mem_iccm.iccm_n_371 ),
+	.B(\brqrv_top_mem_iccm.iccm_n_370 ),
+	.X(n_39151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g852679 (
+	.A(n_33495),
+	.B(brqrv_top_brqrv_exu_i0_pred_correct_upper_r),
+	.X(n_39152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g852680 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[31]),
+	.B(inc_brqrv_top_brqrv_dec_decode_ibradder_correct_add_22817_35_n_32),
+	.X(n_39153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g852681 (
+	.A(n_2812),
+	.B(n_2808),
+	.X(n_39154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_2 g852682 (
+	.A(n_31724),
+	.B(n_31743),
+	.Y(n_39155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g852683 (
+	.A(n_2544),
+	.B(n_2800),
+	.X(n_39156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g852684 (
+	.A(n_38508),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addr_external_r),
+	.X(n_39157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g852685 (
+	.A(n_2814),
+	.B(n_462),
+	.X(n_39158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g852686 (
+	.A(n_2862),
+	.B(n_462),
+	.X(n_39159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_0 g852687 (
+	.A(\brqrv_top_mem_Gen_dccm_enable.dccm_n_267 ),
+	.B(\brqrv_top_mem_Gen_dccm_enable.dccm_n_266 ),
+	.X(n_39160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g852688 (
+	.A(n_2861),
+	.B(n_2808),
+	.X(n_39161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g852689 (
+	.A(n_2860),
+	.B(n_2585),
+	.X(n_39162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g852690 (
+	.A(n_2544),
+	.B(n_2590),
+	.X(n_39163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_2 g852691 (
+	.A(brqrv_top_brqrv_dec_dec_i0_instr_d[21]),
+	.B(n_31743),
+	.C_N(brqrv_top_brqrv_dec_dec_i0_instr_d[20]),
+	.Y(n_39164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_2 g852692 (
+	.A(n_52),
+	.B(n_60),
+	.X(n_39165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g852693 (
+	.A(brqrv_top_brqrv_dbg_command_reg[17]),
+	.B(brqrv_top_brqrv_dbg_command_reg[22]),
+	.X(n_39166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g852694 (
+	.A(n_2729),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_data[27]),
+	.X(n_39167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g852695 (
+	.A_N(n_29429),
+	.B(n_28181),
+	.Y(n_39168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852696 (
+	.A1(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [40]),
+	.A2(n_2617),
+	.B1(\brqrv_top_mem_iccm.iccm_iccm_bank_dout [1]),
+	.B2(n_2722),
+	.X(n_39169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_2 g852697 (
+	.A_N(n_32778),
+	.B(n_23374),
+	.Y(n_39170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g852698 (
+	.A(n_23127),
+	.B(n_32571),
+	.Y(n_39171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g852699 (
+	.A_N(n_32571),
+	.B(n_22588),
+	.C(n_32570),
+	.X(n_39172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21bo_2 g852700 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[11]),
+	.A2(n_19934),
+	.B1_N(n_19813),
+	.X(n_39173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852701 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[119]),
+	.A2(n_19921),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[115]),
+	.B2(n_19927),
+	.X(n_39174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852702 (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[127]),
+	.A2(n_19924),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[123]),
+	.B2(n_19920),
+	.X(n_39175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852703 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[736]),
+	.A2(n_354),
+	.B1(brqrv_top_brqrv_dec_arf_gpr_out[768]),
+	.B2(n_510),
+	.X(n_39176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852704 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[960]),
+	.A2(n_506),
+	.B1(brqrv_top_brqrv_dec_arf_gpr_out[864]),
+	.B2(n_504),
+	.X(n_39177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852705 (
+	.A1(brqrv_top_brqrv_dec_arf_gpr_out[800]),
+	.A2(n_347),
+	.B1(brqrv_top_brqrv_dec_arf_gpr_out[832]),
+	.B2(n_496),
+	.X(n_39178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g852706 (
+	.A(n_493),
+	.B(brqrv_top_brqrv_dec_arf_gpr_out[704]),
+	.Y(n_39179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_2 g852707 (
+	.A(n_32790),
+	.B(n_31711),
+	.C_N(n_19363),
+	.X(n_39180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2b_4 g852708 (
+	.A(n_8250),
+	.B_N(n_19300),
+	.X(n_39181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_2 g852709 (
+	.A(n_12538),
+	.B(n_12539),
+	.C_N(n_15635),
+	.X(n_39182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g852710 (
+	.A(n_11205),
+	.B(n_31420),
+	.Y(n_39183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21bo_2 g852711 (
+	.A1(n_9744),
+	.A2(n_31425),
+	.B1_N(n_31421),
+	.X(n_39184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 g852712 (
+	.A(n_8514),
+	.B(n_22464),
+	.C(brqrv_top_brqrv_dec_tlu_mhpme4[9]),
+	.Y(n_39185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g852713 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[0]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[0]),
+	.X(n_39186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g852714 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[0]),
+	.B(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[0]),
+	.X(n_39187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g852715 (
+	.A(n_25399),
+	.B_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_wr_en),
+	.Y(n_39188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g852717 (
+	.A(n_31485),
+	.B_N(n_463),
+	.Y(n_39190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 g852718 (
+	.A(n_31582),
+	.B_N(n_5383),
+	.Y(n_39191), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g852719 (
+	.A_N(n_3273),
+	.B(n_28179),
+	.Y(n_39192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g852720 (
+	.A_N(n_3271),
+	.B(n_28179),
+	.Y(n_39193), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g852721 (
+	.A_N(n_2851),
+	.B(n_5321),
+	.Y(n_39194), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g852722 (
+	.A(n_2312),
+	.B(n_31616),
+	.X(n_39195), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g852723 (
+	.A(n_2311),
+	.B(n_31617),
+	.X(n_39196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g852724 (
+	.A(n_1562),
+	.B(n_34132),
+	.Y(n_39197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g852725 (
+	.A(n_1561),
+	.B(n_34155),
+	.X(n_39198), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g852726 (
+	.A(n_1560),
+	.B(n_34128),
+	.Y(n_39199), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g852727 (
+	.A(n_1559),
+	.B(n_34127),
+	.X(n_39200), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g852728 (
+	.A(n_1557),
+	.B(n_34138),
+	.Y(n_39201), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g852729 (
+	.A(n_1556),
+	.B(n_34137),
+	.Y(n_39202), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g852730 (
+	.A(n_1555),
+	.B(n_34126),
+	.X(n_39203), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g852731 (
+	.A(n_1554),
+	.B(n_34125),
+	.X(n_39204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g852732 (
+	.A(n_1553),
+	.B(n_34130),
+	.Y(n_39205), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g852733 (
+	.A(n_1552),
+	.B(n_34131),
+	.Y(n_39206), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g852734 (
+	.A(n_1551),
+	.B(n_34136),
+	.Y(n_39207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g852735 (
+	.A(n_1550),
+	.B(n_34124),
+	.X(n_39208), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g852736 (
+	.A(n_1548),
+	.B(n_34153),
+	.X(n_39209), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g852737 (
+	.A(n_1547),
+	.B(n_34152),
+	.X(n_39210), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g852738 (
+	.A(n_1546),
+	.B(n_34145),
+	.Y(n_39211), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g852739 (
+	.A(n_1544),
+	.B(n_34141),
+	.X(n_39212), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g852740 (
+	.A(n_1543),
+	.B(n_34147),
+	.Y(n_39213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g852741 (
+	.A(n_1542),
+	.B(n_34142),
+	.X(n_39214), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g852742 (
+	.A(n_1541),
+	.B(n_34150),
+	.Y(n_39215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g852743 (
+	.A(n_1540),
+	.B(n_34148),
+	.Y(n_39216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g852744 (
+	.A(n_1539),
+	.B(n_34143),
+	.X(n_39217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 g852745 (
+	.A(n_1538),
+	.B(n_34140),
+	.X(n_39218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g852746 (
+	.A(n_1537),
+	.B(n_34139),
+	.Y(n_39219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g852747 (
+	.A(n_1536),
+	.B(n_34149),
+	.Y(n_39220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g852748 (
+	.A(n_1535),
+	.B(n_34144),
+	.Y(n_39221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g852749 (
+	.A(n_1534),
+	.B(n_34134),
+	.Y(n_39222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 g852750 (
+	.A(n_1533),
+	.B(n_34133),
+	.Y(n_39223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g852751 (
+	.A(n_31824),
+	.B(n_31070),
+	.C(n_31831),
+	.D_N(n_676),
+	.Y(n_39224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g852752 (
+	.A_N(n_69),
+	.B(n_32285),
+	.C(n_32286),
+	.D(n_32284),
+	.Y(n_39225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 g852753 (
+	.A(n_30704),
+	.B(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2433),
+	.X(n_39226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 g852754 (
+	.A_N(brqrv_top_brqrv_exu_i_mul_mul_13151_30_n_2430),
+	.B(n_341),
+	.Y(n_39227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g852755 (
+	.A(n_2723),
+	.B(n_33230),
+	.X(n_39228), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 g852756 (
+	.A(n_2619),
+	.B(n_33230),
+	.X(n_39229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2b_2 g852757 (
+	.A(n_56),
+	.B_N(n_63),
+	.X(n_39230), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g852758 (
+	.A(brqrv_top_brqrv_dec_lsu_valid_raw_d),
+	.B(n_3776),
+	.C_N(n_31871),
+	.Y(n_39231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852759 (
+	.A1(n_34139),
+	.A2(n_467),
+	.B1(brqrv_top_brqrv_exu_i_alu_pcout[16]),
+	.B2(n_31642),
+	.X(n_39232), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852760 (
+	.A1(n_34150),
+	.A2(n_467),
+	.B1(brqrv_top_brqrv_exu_i_alu_pcout[27]),
+	.B2(n_31642),
+	.X(n_39233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852761 (
+	.A1(n_34147),
+	.A2(n_467),
+	.B1(brqrv_top_brqrv_exu_i_alu_pcout[24]),
+	.B2(n_31642),
+	.X(n_39234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852762 (
+	.A1(n_34141),
+	.A2(n_467),
+	.B1(brqrv_top_brqrv_exu_i_alu_pcout[18]),
+	.B2(n_31642),
+	.X(n_39235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852763 (
+	.A1(n_34140),
+	.A2(n_467),
+	.B1(brqrv_top_brqrv_exu_i_alu_pcout[17]),
+	.B2(n_31642),
+	.X(n_39236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852764 (
+	.A1(n_34144),
+	.A2(n_467),
+	.B1(brqrv_top_brqrv_exu_i_alu_pcout[21]),
+	.B2(n_31642),
+	.X(n_39237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852765 (
+	.A1(n_34153),
+	.A2(n_467),
+	.B1(brqrv_top_brqrv_exu_i_alu_pcout[30]),
+	.B2(n_31642),
+	.X(n_39238), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852766 (
+	.A1(n_34142),
+	.A2(n_467),
+	.B1(brqrv_top_brqrv_exu_i_alu_pcout[19]),
+	.B2(n_31642),
+	.X(n_39239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852767 (
+	.A1(n_34151),
+	.A2(n_467),
+	.B1(brqrv_top_brqrv_exu_i_alu_pcout[28]),
+	.B2(n_31642),
+	.X(n_39240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852768 (
+	.A1(n_34143),
+	.A2(n_467),
+	.B1(brqrv_top_brqrv_exu_i_alu_pcout[20]),
+	.B2(n_31642),
+	.X(n_39241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852769 (
+	.A1(n_34137),
+	.A2(n_467),
+	.B1(brqrv_top_brqrv_exu_i_alu_pcout[14]),
+	.B2(n_31642),
+	.X(n_39242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852770 (
+	.A1(n_34136),
+	.A2(n_467),
+	.B1(brqrv_top_brqrv_exu_i_alu_pcout[13]),
+	.B2(n_31642),
+	.X(n_39243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852771 (
+	.A1(n_34149),
+	.A2(n_467),
+	.B1(brqrv_top_brqrv_exu_i_alu_pcout[26]),
+	.B2(n_31642),
+	.X(n_39244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852772 (
+	.A1(n_34138),
+	.A2(n_467),
+	.B1(brqrv_top_brqrv_exu_i_alu_pcout[15]),
+	.B2(n_31642),
+	.X(n_39245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_2 g852773 (
+	.A(n_30585),
+	.B(n_33369),
+	.C_N(n_30588),
+	.X(n_39246), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g852774 (
+	.A(brqrv_top_dccm_rd_addr_hi[3]),
+	.B(brqrv_top_dccm_rd_addr_hi[2]),
+	.C(\brqrv_top_mem_Gen_dccm_enable.dccm_n_398 ),
+	.D(n_27492),
+	.Y(n_39247), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g852775 (
+	.A_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[11]),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_is_sideeffects_d),
+	.C(n_26318),
+	.X(n_39248), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g852776 (
+	.A1(brqrv_top_brqrv_dec_tlu_mpc_debug_run_ack_f),
+	.A2(n_27072),
+	.B1(brqrv_top_brqrv_dec_tlu_mpc_debug_run_req_sync),
+	.X(n_39249), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852777 (
+	.A1(n_26116),
+	.A2(brqrv_top_brqrv_dec_decode_x_d[10]),
+	.B1(n_26118),
+	.B2(brqrv_top_brqrv_dec_decode_x_d[9]),
+	.X(n_39250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g852778 (
+	.A(brqrv_top_brqrv_dbg_command_reg[12]),
+	.B(brqrv_top_brqrv_dbg_command_reg[13]),
+	.C(brqrv_top_brqrv_dbg_command_reg[14]),
+	.D(brqrv_top_brqrv_dbg_command_reg[15]),
+	.X(n_39251), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852779 (
+	.A1(n_1289),
+	.A2(n_31177),
+	.B1(n_39135),
+	.B2(n_31172),
+	.X(n_39252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g852780 (
+	.A(n_39253),
+	.Y(n_39254), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g852781 (
+	.A1(n_1289),
+	.A2(n_31210),
+	.B1(n_1293),
+	.B2(n_32395),
+	.C1(n_39135),
+	.C2(n_31208),
+	.Y(n_39253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852782 (
+	.A1(n_1289),
+	.A2(n_32404),
+	.B1(n_39135),
+	.B2(n_32399),
+	.X(n_39255), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852783 (
+	.A1(n_22927),
+	.A2(n_31207),
+	.B1(n_32914),
+	.B2(n_31206),
+	.X(n_39256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g852784 (
+	.A(n_39257),
+	.Y(n_39258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g852785 (
+	.A1(n_1289),
+	.A2(n_31191),
+	.B1(n_1293),
+	.B2(n_31192),
+	.C1(n_1294),
+	.C2(n_31194),
+	.Y(n_39257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g852786 (
+	.A(n_39259),
+	.Y(n_39260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g852787 (
+	.A1(n_1289),
+	.A2(n_31200),
+	.B1(n_1293),
+	.B2(n_31201),
+	.C1(n_1294),
+	.C2(n_31203),
+	.Y(n_39259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g852788 (
+	.A1(n_39272),
+	.A2(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[22]),
+	.B1(n_7737),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[22]),
+	.C1(brqrv_top_brqrv_dec_tlu_flush_path_r[22]),
+	.C2(brqrv_top_brqrv_dec_tlu_flush_lower_r),
+	.Y(n_39261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g852789 (
+	.A1(brqrv_top_brqrv_exu_i0_flush_upper_d),
+	.A2(n_39237),
+	.B1(n_39271),
+	.B2(n_33730),
+	.C1(brqrv_top_brqrv_dec_tlu_flush_path_r[21]),
+	.C2(brqrv_top_brqrv_dec_tlu_flush_lower_r),
+	.Y(n_39262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g852790 (
+	.A1(brqrv_top_brqrv_exu_i0_flush_upper_d),
+	.A2(n_39244),
+	.B1(n_39271),
+	.B2(n_33705),
+	.C1(brqrv_top_brqrv_dec_tlu_flush_path_r[26]),
+	.C2(brqrv_top_brqrv_dec_tlu_flush_lower_r),
+	.Y(n_39263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g852791 (
+	.A1(brqrv_top_brqrv_exu_i0_flush_upper_d),
+	.A2(n_39241),
+	.B1(n_39272),
+	.B2(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[20]),
+	.C1(brqrv_top_brqrv_dec_tlu_flush_path_r[20]),
+	.C2(brqrv_top_brqrv_dec_tlu_flush_lower_r),
+	.Y(n_39264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g852792 (
+	.A1(brqrv_top_brqrv_exu_i0_flush_upper_d),
+	.A2(n_39234),
+	.B1(n_39271),
+	.B2(n_33701),
+	.C1(brqrv_top_brqrv_dec_tlu_flush_path_r[24]),
+	.C2(brqrv_top_brqrv_dec_tlu_flush_lower_r),
+	.Y(n_39265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g852793 (
+	.A1(n_39272),
+	.A2(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[16]),
+	.B1(n_7737),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[16]),
+	.C1(brqrv_top_brqrv_dec_tlu_flush_path_r[16]),
+	.C2(brqrv_top_brqrv_dec_tlu_flush_lower_r),
+	.Y(n_39266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g852794 (
+	.A1(brqrv_top_brqrv_exu_i0_flush_upper_d),
+	.A2(n_39235),
+	.B1(n_39271),
+	.B2(n_33721),
+	.C1(brqrv_top_brqrv_dec_tlu_flush_path_r[18]),
+	.C2(brqrv_top_brqrv_dec_tlu_flush_lower_r),
+	.Y(n_39267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g852795 (
+	.A1(brqrv_top_brqrv_exu_i0_flush_upper_d),
+	.A2(n_39245),
+	.B1(n_39271),
+	.B2(n_33734),
+	.C1(brqrv_top_brqrv_dec_tlu_flush_path_r[15]),
+	.C2(brqrv_top_brqrv_dec_tlu_flush_lower_r),
+	.Y(n_39268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g852796 (
+	.A1(n_39271),
+	.A2(n_33699),
+	.B1(n_7737),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[23]),
+	.C1(brqrv_top_brqrv_dec_tlu_flush_path_r[23]),
+	.C2(brqrv_top_brqrv_dec_tlu_flush_lower_r),
+	.Y(n_39269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g852797 (
+	.A1(brqrv_top_brqrv_exu_i0_flush_upper_d),
+	.A2(n_39242),
+	.B1(n_39272),
+	.B2(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[14]),
+	.C1(brqrv_top_brqrv_dec_tlu_flush_path_r[14]),
+	.C2(brqrv_top_brqrv_dec_tlu_flush_lower_r),
+	.Y(n_39270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_2 g852798 (
+	.A(brqrv_top_brqrv_ifu_ic_fetch_val_f[0]),
+	.B(n_30476),
+	.C(brqrv_top_brqrv_ifu_ifc_fetch_req_f),
+	.X(n_39271), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_2 g852799 (
+	.A_N(n_30476),
+	.B(brqrv_top_brqrv_ifu_ic_fetch_val_f[0]),
+	.C(brqrv_top_brqrv_ifu_ifc_fetch_req_f),
+	.X(n_39272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852800 (
+	.A1(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[12]),
+	.A2(n_22330),
+	.B1(n_31462),
+	.B2(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[12]),
+	.X(n_39273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852801 (
+	.A1(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[11]),
+	.A2(n_22330),
+	.B1(n_31462),
+	.B2(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[11]),
+	.X(n_39274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852802 (
+	.A1(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[6]),
+	.A2(n_22330),
+	.B1(n_31462),
+	.B2(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[6]),
+	.X(n_39275), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852803 (
+	.A1(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[2]),
+	.A2(n_22330),
+	.B1(n_31462),
+	.B2(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[2]),
+	.X(n_39276), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22a_1 g852804 (
+	.A1(n_32267),
+	.A2(n_31075),
+	.B1(n_32268),
+	.B2(n_31578),
+	.X(n_39277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 g852805 (
+	.A1(n_31100),
+	.A2(n_31075),
+	.B1(n_31104),
+	.B2(n_31109),
+	.Y(n_39278), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a311oi_1 g852806 (
+	.A1(n_9371),
+	.A2(n_8805),
+	.A3(n_8405),
+	.B1(n_31107),
+	.C1(n_22303),
+	.Y(n_39279), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 g852807 (
+	.A(n_19970),
+	.B(n_19969),
+	.C(n_20016),
+	.D(n_20013),
+	.X(n_39280), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 g852808 (
+	.A1(n_494),
+	.A2(brqrv_top_brqrv_dec_arf_gpr_out[610]),
+	.B1(n_507),
+	.B2(brqrv_top_brqrv_dec_arf_gpr_out[482]),
+	.Y(n_39281), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g852809 (
+	.A(n_39282),
+	.Y(n_39283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g852810 (
+	.A1(n_2074),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[15]),
+	.B1(n_19378),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[15]),
+	.C1(brqrv_top_brqrv_dec_tlu_request_debug_mode_r),
+	.C2(brqrv_top_brqrv_dec_tlu_pc_r[15]),
+	.Y(n_39282), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g852811 (
+	.A(n_39284),
+	.Y(n_39285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g852812 (
+	.A1(n_2074),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[27]),
+	.B1(n_19378),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[27]),
+	.C1(brqrv_top_brqrv_dec_tlu_request_debug_mode_r),
+	.C2(brqrv_top_brqrv_dec_tlu_pc_r[27]),
+	.Y(n_39284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g852813 (
+	.A(n_39286),
+	.Y(n_39287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g852814 (
+	.A1(n_2074),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[21]),
+	.B1(n_19378),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[21]),
+	.C1(brqrv_top_brqrv_dec_tlu_request_debug_mode_r),
+	.C2(brqrv_top_brqrv_dec_tlu_pc_r[21]),
+	.Y(n_39286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g852815 (
+	.A(n_39288),
+	.Y(n_39289), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g852816 (
+	.A1(n_2074),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[18]),
+	.B1(n_19378),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[18]),
+	.C1(brqrv_top_brqrv_dec_tlu_request_debug_mode_r),
+	.C2(brqrv_top_brqrv_dec_tlu_pc_r[18]),
+	.Y(n_39288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g852817 (
+	.A(n_39290),
+	.Y(n_39291), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g852818 (
+	.A1(n_2074),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[17]),
+	.B1(n_19378),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[17]),
+	.C1(brqrv_top_brqrv_dec_tlu_request_debug_mode_r),
+	.C2(brqrv_top_brqrv_dec_tlu_pc_r[17]),
+	.Y(n_39290), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g852819 (
+	.A(n_39292),
+	.Y(n_39293), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g852820 (
+	.A1(n_2074),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[16]),
+	.B1(n_19378),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[16]),
+	.C1(brqrv_top_brqrv_dec_tlu_request_debug_mode_r),
+	.C2(brqrv_top_brqrv_dec_tlu_pc_r[16]),
+	.Y(n_39292), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g852821 (
+	.A(n_39294),
+	.Y(n_39295), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g852822 (
+	.A1(n_2074),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[28]),
+	.B1(n_19378),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[28]),
+	.C1(brqrv_top_brqrv_dec_tlu_request_debug_mode_r),
+	.C2(brqrv_top_brqrv_dec_tlu_pc_r[28]),
+	.Y(n_39294), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g852823 (
+	.A(n_39296),
+	.Y(n_39297), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g852824 (
+	.A1(n_2074),
+	.A2(brqrv_top_brqrv_dec_dec_csr_wrdata_r[30]),
+	.B1(n_19378),
+	.B2(brqrv_top_brqrv_dec_tlu_npc_r[30]),
+	.C1(brqrv_top_brqrv_dec_tlu_request_debug_mode_r),
+	.C2(brqrv_top_brqrv_dec_tlu_pc_r[30]),
+	.Y(n_39296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852825 (
+	.A1(n_34133),
+	.A2(n_467),
+	.B1(n_31544),
+	.B2(n_31642),
+	.X(n_39298), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852826 (
+	.A1(n_34130),
+	.A2(n_467),
+	.B1(n_31465),
+	.B2(n_31642),
+	.X(n_39299), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852827 (
+	.A1(n_34128),
+	.A2(n_467),
+	.B1(n_32509),
+	.B2(n_31642),
+	.X(n_39300), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852828 (
+	.A1(n_34127),
+	.A2(n_467),
+	.B1(n_31463),
+	.B2(n_31642),
+	.X(n_39301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852829 (
+	.A1(n_34126),
+	.A2(n_467),
+	.B1(n_32519),
+	.B2(n_31642),
+	.X(n_39302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852830 (
+	.A1(n_34124),
+	.A2(n_467),
+	.B1(n_31547),
+	.B2(n_31642),
+	.X(n_39303), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852831 (
+	.A1(n_34152),
+	.A2(n_467),
+	.B1(brqrv_top_brqrv_exu_i_alu_pcout[29]),
+	.B2(n_31642),
+	.X(n_39304), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852832 (
+	.A1(n_34148),
+	.A2(n_467),
+	.B1(brqrv_top_brqrv_exu_i_alu_pcout[25]),
+	.B2(n_31642),
+	.X(n_39305), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852833 (
+	.A1(n_34146),
+	.A2(n_467),
+	.B1(brqrv_top_brqrv_exu_i_alu_pcout[23]),
+	.B2(n_31642),
+	.X(n_39306), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852834 (
+	.A1(n_34145),
+	.A2(n_467),
+	.B1(brqrv_top_brqrv_exu_i_alu_pcout[22]),
+	.B2(n_31642),
+	.X(n_39307), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852835 (
+	.A1(n_34134),
+	.A2(n_467),
+	.B1(n_31466),
+	.B2(n_31642),
+	.X(n_39308), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852836 (
+	.A1(n_34132),
+	.A2(n_467),
+	.B1(n_32516),
+	.B2(n_31642),
+	.X(n_39309), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852837 (
+	.A1(n_34131),
+	.A2(n_467),
+	.B1(n_32517),
+	.B2(n_31642),
+	.X(n_39310), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 g852838 (
+	.A1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_rs1_d[30]),
+	.A2(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_n_33),
+	.B1(inc_brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_add_22799_37_n_34),
+	.C1(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsadder_n_26),
+	.Y(n_39311), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 g852839 (
+	.A_N(n_8392),
+	.B(n_8807),
+	.C(n_8507),
+	.D(brqrv_top_brqrv_dec_tlu_mhpme5[5]),
+	.Y(n_39312), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 g852840 (
+	.A(n_30519),
+	.B(brqrv_top_brqrv_ifu_aln_first2B),
+	.C(n_5723),
+	.D_N(n_32638),
+	.Y(n_39313), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4bb_1 g852841 (
+	.A(n_29925),
+	.B(n_29926),
+	.C_N(n_29914),
+	.D_N(n_29916),
+	.X(n_39314), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 g852842 (
+	.A_N(brqrv_top_brqrv_lsu_lsu_pkt_r[5]),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_r[11]),
+	.C(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U1_Plus1_0.lsu_ld_datafn_corr_r [7]),
+	.Y(n_39315), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_2 g852843 (
+	.A(n_31124),
+	.B(n_32771),
+	.C(n_4912),
+	.Y(n_39316), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_2 g852844 (
+	.A(n_32348),
+	.B(n_32771),
+	.C(n_4909),
+	.Y(n_39317), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g852845 (
+	.A1(n_3768),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [5]),
+	.B1(n_31232),
+	.B2(n_2563),
+	.C1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [5]),
+	.C2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [0]),
+	.Y(n_39318), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852846 (
+	.A1(n_2858),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[32]),
+	.B1(n_2809),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[64]),
+	.X(n_39319), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852847 (
+	.A1(n_2858),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[3]),
+	.B1(n_2809),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[5]),
+	.X(n_39320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852848 (
+	.A1(n_2858),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[33]),
+	.B1(n_2809),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[65]),
+	.X(n_39321), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852849 (
+	.A1(n_2858),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_unsign[1]),
+	.B1(n_2809),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_unsign[2]),
+	.X(n_39322), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852850 (
+	.A1(n_2858),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[2]),
+	.B1(n_2809),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[4]),
+	.X(n_39323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852851 (
+	.A1(n_70),
+	.A2(n_33928),
+	.B1(n_33758),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.X(n_39324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852852 (
+	.A1(n_65),
+	.A2(n_33838),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [2]),
+	.X(n_39325), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852853 (
+	.A1(n_65),
+	.A2(n_33856),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [20]),
+	.X(n_39326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852854 (
+	.A1(n_70),
+	.A2(n_33926),
+	.B1(n_33756),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.X(n_39327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852855 (
+	.A1(n_66),
+	.A2(n_33817),
+	.B1(n_33753),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.X(n_39328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852856 (
+	.A1(n_65),
+	.A2(n_33853),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [17]),
+	.X(n_39329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852857 (
+	.A1(n_65),
+	.A2(n_33852),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [16]),
+	.X(n_39330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852858 (
+	.A1(n_70),
+	.A2(n_33922),
+	.B1(n_33752),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.X(n_39331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852859 (
+	.A1(n_65),
+	.A2(n_33851),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [15]),
+	.X(n_39332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852860 (
+	.A1(n_70),
+	.A2(n_33921),
+	.B1(n_33751),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.X(n_39333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852861 (
+	.A1(n_65),
+	.A2(n_33862),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [26]),
+	.X(n_39334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852862 (
+	.A1(n_65),
+	.A2(n_33849),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [13]),
+	.X(n_39335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852863 (
+	.A1(n_70),
+	.A2(n_33919),
+	.B1(n_33749),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.X(n_39336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852864 (
+	.A1(n_70),
+	.A2(n_33932),
+	.B1(n_33762),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.X(n_39337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852865 (
+	.A1(n_66),
+	.A2(n_33812),
+	.B1(n_33748),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.X(n_39338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852866 (
+	.A1(n_65),
+	.A2(n_33861),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [25]),
+	.X(n_39339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852867 (
+	.A1(n_65),
+	.A2(n_33848),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [12]),
+	.X(n_39340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852868 (
+	.A1(n_70),
+	.A2(n_33931),
+	.B1(n_33761),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.X(n_39341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852869 (
+	.A1(n_66),
+	.A2(n_33808),
+	.B1(n_33744),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.X(n_39342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852870 (
+	.A1(n_65),
+	.A2(n_33844),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [8]),
+	.X(n_39343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852871 (
+	.A1(n_65),
+	.A2(n_33866),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [30]),
+	.X(n_39344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852872 (
+	.A1(n_70),
+	.A2(n_33936),
+	.B1(n_33766),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.X(n_39345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852873 (
+	.A1(n_65),
+	.A2(n_33858),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [22]),
+	.X(n_39346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852874 (
+	.A1(n_66),
+	.A2(n_33804),
+	.B1(n_33740),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.X(n_39347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852875 (
+	.A1(n_65),
+	.A2(n_33857),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [21]),
+	.X(n_39348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852876 (
+	.A1(n_65),
+	.A2(n_33840),
+	.B1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [4]),
+	.X(n_39349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852877 (
+	.A1(n_70),
+	.A2(n_33927),
+	.B1(n_33757),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.X(n_39350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852878 (
+	.A1(n_66),
+	.A2(n_33802),
+	.B1(n_33738),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.X(n_39351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852879 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [1]),
+	.B1(n_33737),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.X(n_39352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 g852880 (
+	.A1(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.A2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [0]),
+	.B1(n_33736),
+	.B2(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.X(n_39353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41a_2 g852881 (
+	.A1(brqrv_top_brqrv_dbg_command_reg[5]),
+	.A2(brqrv_top_brqrv_dbg_command_reg[7]),
+	.A3(brqrv_top_brqrv_dbg_command_reg[6]),
+	.A4(n_26296),
+	.B1(brqrv_top_brqrv_dbg_command_reg[12]),
+	.X(n_39354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_2 g852882 (
+	.A1(n_5341),
+	.A2(n_6034),
+	.B1(n_31834),
+	.B2(n_5301),
+	.X(n_39355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_2 g852883 (
+	.A1(n_5341),
+	.A2(n_5301),
+	.B1(n_31834),
+	.B2(n_5302),
+	.X(n_39356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_2 g852884 (
+	.A1(n_5341),
+	.A2(n_5302),
+	.B1(n_31834),
+	.B2(n_6034),
+	.X(n_39357), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 g852885 (
+	.A1(n_34715),
+	.A2(n_34726),
+	.B1(n_32830),
+	.Y(n_39358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 g852886 (
+	.A1(n_39359),
+	.A2(n_19370),
+	.B1(n_33476),
+	.Y(n_39360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 g852887 (
+	.A1(n_19653),
+	.A2(n_19657),
+	.B1(n_19655),
+	.X(n_39359), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 g852888 (
+	.A(brqrv_top_brqrv_exu_i0_pc_x[1]),
+	.B(brqrv_top_brqrv_dec_decode_last_br_immed_x[1]),
+	.COUT(n_39361),
+	.SUM(n_39362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g852889 (
+	.A(brqrv_top_brqrv_dec_decode_last_br_immed_x[12]),
+	.B(brqrv_top_brqrv_exu_i0_pc_x[12]),
+	.CIN(n_39368),
+	.COUT(n_39364),
+	.SUM(n_39363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g852890 (
+	.A(brqrv_top_brqrv_dec_decode_last_br_immed_x[10]),
+	.B(brqrv_top_brqrv_exu_i0_pc_x[10]),
+	.CIN(n_31309),
+	.COUT(n_39366),
+	.SUM(n_39365), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g852891 (
+	.A(brqrv_top_brqrv_dec_decode_last_br_immed_x[11]),
+	.B(brqrv_top_brqrv_exu_i0_pc_x[11]),
+	.CIN(n_39366),
+	.COUT(n_39368),
+	.SUM(n_39367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__fa_1 g852892 (
+	.A(n_34713),
+	.B(n_34695),
+	.CIN(n_34724),
+	.COUT(n_39370),
+	.SUM(n_39369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell (
+	.HI(n_39583),
+	.LO(logic_0_1_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell1 (
+	.HI(n_39584),
+	.LO(logic_0_2_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell2 (
+	.HI(n_39585),
+	.LO(logic_0_3_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell3 (
+	.HI(n_39586),
+	.LO(logic_0_4_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell4 (
+	.HI(n_39587),
+	.LO(logic_0_5_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell5 (
+	.HI(n_39588),
+	.LO(logic_0_6_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell6 (
+	.HI(n_39589),
+	.LO(logic_0_7_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell7 (
+	.HI(n_39590),
+	.LO(logic_0_8_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell8 (
+	.HI(n_39591),
+	.LO(logic_0_9_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell9 (
+	.HI(n_39592),
+	.LO(logic_0_10_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell10 (
+	.HI(n_39593),
+	.LO(logic_0_11_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell11 (
+	.HI(n_39594),
+	.LO(logic_0_12_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell12 (
+	.HI(n_39595),
+	.LO(logic_0_13_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell13 (
+	.HI(n_39596),
+	.LO(logic_0_14_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell14 (
+	.HI(n_39597),
+	.LO(logic_0_15_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell15 (
+	.HI(n_39598),
+	.LO(logic_0_16_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell16 (
+	.HI(n_39599),
+	.LO(logic_0_17_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell17 (
+	.HI(n_39600),
+	.LO(logic_0_18_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell18 (
+	.HI(n_39601),
+	.LO(logic_0_19_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell19 (
+	.HI(n_39602),
+	.LO(logic_0_20_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell20 (
+	.HI(n_39603),
+	.LO(logic_0_21_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell21 (
+	.HI(n_39604),
+	.LO(logic_0_22_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell22 (
+	.HI(n_39605),
+	.LO(logic_0_23_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell23 (
+	.HI(n_39606),
+	.LO(logic_0_24_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell24 (
+	.HI(n_39607),
+	.LO(logic_0_25_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell25 (
+	.HI(n_39608),
+	.LO(logic_0_26_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell26 (
+	.HI(n_39609),
+	.LO(logic_0_27_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell27 (
+	.HI(n_39610),
+	.LO(logic_0_28_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell28 (
+	.HI(n_39611),
+	.LO(logic_0_29_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell29 (
+	.HI(n_39612),
+	.LO(logic_0_30_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell30 (
+	.HI(n_39613),
+	.LO(logic_0_31_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell31 (
+	.HI(n_39614),
+	.LO(logic_0_32_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell32 (
+	.HI(n_39615),
+	.LO(logic_0_33_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell33 (
+	.HI(n_39616),
+	.LO(logic_0_34_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell34 (
+	.HI(n_39617),
+	.LO(logic_0_35_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell35 (
+	.HI(n_39618),
+	.LO(logic_0_36_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell36 (
+	.HI(n_39619),
+	.LO(logic_0_37_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell37 (
+	.HI(n_39620),
+	.LO(logic_0_38_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell38 (
+	.HI(n_39621),
+	.LO(logic_0_39_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell39 (
+	.HI(n_39622),
+	.LO(logic_0_40_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell40 (
+	.HI(n_39623),
+	.LO(logic_0_41_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell41 (
+	.HI(n_39624),
+	.LO(logic_0_42_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell42 (
+	.HI(n_39625),
+	.LO(logic_0_43_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell43 (
+	.HI(io_oeb[0]),
+	.LO(logic_0_44_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell44 (
+	.HI(io_oeb[1]),
+	.LO(logic_0_45_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell45 (
+	.HI(io_oeb[2]),
+	.LO(logic_0_46_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell46 (
+	.HI(io_oeb[3]),
+	.LO(logic_0_47_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell47 (
+	.HI(io_oeb[5]),
+	.LO(logic_0_48_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell48 (
+	.LO(logic_0_49_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell49 (
+	.LO(logic_0_50_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell50 (
+	.LO(logic_0_51_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell51 (
+	.LO(logic_0_52_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell52 (
+	.LO(logic_0_53_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell53 (
+	.LO(logic_0_54_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell54 (
+	.LO(logic_0_55_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell55 (
+	.LO(logic_0_56_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell56 (
+	.LO(logic_0_57_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell57 (
+	.LO(logic_0_58_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell58 (
+	.LO(logic_0_59_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell59 (
+	.LO(logic_0_60_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell60 (
+	.LO(logic_0_61_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell61 (
+	.LO(logic_0_62_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell62 (
+	.LO(logic_0_63_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell63 (
+	.LO(logic_0_64_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell64 (
+	.LO(io_oeb[36]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell65 (
+	.LO(io_oeb[37]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell66 (
+	.LO(io_oeb[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell67 (
+	.LO(io_oeb[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell68 (
+	.LO(io_oeb[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell69 (
+	.LO(io_out[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell70 (
+	.LO(io_out[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell71 (
+	.LO(io_out[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell72 (
+	.LO(io_out[36]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell73 (
+	.LO(io_out[37]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell74 (
+	.LO(io_out[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell75 (
+	.LO(io_out[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell76 (
+	.LO(io_out[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell77 (
+	.LO(io_out[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell78 (
+	.LO(la_data_out[100]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell79 (
+	.LO(la_data_out[101]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell80 (
+	.LO(la_data_out[102]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell81 (
+	.LO(la_data_out[103]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell82 (
+	.LO(la_data_out[104]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell83 (
+	.LO(la_data_out[105]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell84 (
+	.LO(la_data_out[106]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell85 (
+	.LO(la_data_out[107]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell86 (
+	.LO(la_data_out[108]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell87 (
+	.LO(la_data_out[109]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell88 (
+	.LO(la_data_out[110]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell89 (
+	.LO(la_data_out[111]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell90 (
+	.LO(la_data_out[112]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell91 (
+	.LO(la_data_out[113]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell92 (
+	.LO(la_data_out[114]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell93 (
+	.LO(la_data_out[115]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell94 (
+	.LO(la_data_out[116]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell95 (
+	.LO(la_data_out[117]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell96 (
+	.LO(la_data_out[118]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell97 (
+	.LO(la_data_out[119]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell98 (
+	.LO(la_data_out[120]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell99 (
+	.LO(la_data_out[121]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell100 (
+	.LO(la_data_out[122]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell101 (
+	.LO(la_data_out[123]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell102 (
+	.LO(la_data_out[124]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell103 (
+	.LO(la_data_out[125]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell104 (
+	.LO(la_data_out[126]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell105 (
+	.LO(la_data_out[127]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell106 (
+	.LO(la_data_out[32]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell107 (
+	.LO(la_data_out[33]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell108 (
+	.LO(la_data_out[34]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell109 (
+	.LO(la_data_out[35]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell110 (
+	.LO(la_data_out[36]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell111 (
+	.LO(la_data_out[37]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell112 (
+	.LO(la_data_out[38]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell113 (
+	.LO(la_data_out[39]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell114 (
+	.LO(la_data_out[40]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell115 (
+	.LO(la_data_out[41]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell116 (
+	.LO(la_data_out[42]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell117 (
+	.LO(la_data_out[43]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell118 (
+	.LO(la_data_out[44]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell119 (
+	.LO(la_data_out[45]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell120 (
+	.LO(la_data_out[46]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell121 (
+	.LO(la_data_out[47]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell122 (
+	.LO(la_data_out[48]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell123 (
+	.LO(la_data_out[49]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell124 (
+	.LO(la_data_out[50]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell125 (
+	.LO(la_data_out[51]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell126 (
+	.LO(la_data_out[52]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell127 (
+	.LO(la_data_out[53]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell128 (
+	.LO(la_data_out[54]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell129 (
+	.LO(la_data_out[55]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell130 (
+	.LO(la_data_out[56]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell131 (
+	.LO(la_data_out[57]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell132 (
+	.LO(la_data_out[58]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell133 (
+	.LO(la_data_out[59]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell134 (
+	.LO(la_data_out[60]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell135 (
+	.LO(la_data_out[61]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell136 (
+	.LO(la_data_out[62]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell137 (
+	.LO(la_data_out[63]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell138 (
+	.LO(la_data_out[64]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell139 (
+	.LO(la_data_out[65]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell140 (
+	.LO(la_data_out[66]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell141 (
+	.LO(la_data_out[67]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell142 (
+	.LO(la_data_out[68]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell143 (
+	.LO(la_data_out[69]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell144 (
+	.LO(la_data_out[70]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell145 (
+	.LO(la_data_out[71]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell146 (
+	.LO(la_data_out[72]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell147 (
+	.LO(la_data_out[73]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell148 (
+	.LO(la_data_out[74]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell149 (
+	.LO(la_data_out[75]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell150 (
+	.LO(la_data_out[76]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell151 (
+	.LO(la_data_out[77]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell152 (
+	.LO(la_data_out[78]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell153 (
+	.LO(la_data_out[79]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell154 (
+	.LO(la_data_out[80]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell155 (
+	.LO(la_data_out[81]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell156 (
+	.LO(la_data_out[82]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell157 (
+	.LO(la_data_out[83]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell158 (
+	.LO(la_data_out[84]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell159 (
+	.LO(la_data_out[85]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell160 (
+	.LO(la_data_out[86]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell161 (
+	.LO(la_data_out[87]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell162 (
+	.LO(la_data_out[88]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell163 (
+	.LO(la_data_out[89]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell164 (
+	.LO(la_data_out[90]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell165 (
+	.LO(la_data_out[91]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell166 (
+	.LO(la_data_out[92]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell167 (
+	.LO(la_data_out[93]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell168 (
+	.LO(la_data_out[94]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell169 (
+	.LO(la_data_out[95]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell170 (
+	.LO(la_data_out[96]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell171 (
+	.LO(la_data_out[97]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell172 (
+	.LO(la_data_out[98]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell173 (
+	.LO(la_data_out[99]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell174 (
+	.LO(user_irq[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell175 (
+	.LO(user_irq[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell176 (
+	.LO(user_irq[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell177 (
+	.LO(wbs_ack_o), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell178 (
+	.LO(wbs_dat_o[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell179 (
+	.LO(wbs_dat_o[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell180 (
+	.LO(wbs_dat_o[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell181 (
+	.LO(wbs_dat_o[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell182 (
+	.LO(wbs_dat_o[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell183 (
+	.LO(wbs_dat_o[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell184 (
+	.LO(wbs_dat_o[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell185 (
+	.LO(wbs_dat_o[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell186 (
+	.LO(wbs_dat_o[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell187 (
+	.LO(wbs_dat_o[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell188 (
+	.LO(wbs_dat_o[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell189 (
+	.LO(wbs_dat_o[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell190 (
+	.LO(wbs_dat_o[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell191 (
+	.LO(wbs_dat_o[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell192 (
+	.LO(wbs_dat_o[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell193 (
+	.LO(wbs_dat_o[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell194 (
+	.LO(wbs_dat_o[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell195 (
+	.LO(wbs_dat_o[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell196 (
+	.LO(wbs_dat_o[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell197 (
+	.LO(wbs_dat_o[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell198 (
+	.LO(wbs_dat_o[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell199 (
+	.LO(wbs_dat_o[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell200 (
+	.LO(wbs_dat_o[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell201 (
+	.LO(wbs_dat_o[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell202 (
+	.LO(wbs_dat_o[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell203 (
+	.LO(wbs_dat_o[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell204 (
+	.LO(wbs_dat_o[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell205 (
+	.LO(wbs_dat_o[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell206 (
+	.LO(wbs_dat_o[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell207 (
+	.LO(wbs_dat_o[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell208 (
+	.LO(wbs_dat_o[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 tie_0_cell209 (
+	.LO(wbs_dat_o[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_active_cg1_clkhdr (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_active_cg1_logic_1_1_net),
+	.GCLK(brqrv_top_brqrv_active_clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 brqrv_top_brqrv_active_cg1_tie_1_cell (
+	.HI(brqrv_top_brqrv_active_cg1_logic_1_1_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_active_cg2_clkhdr (
+	.CLK(clk),
+	.GATE(brqrv_top_brqrv_active_state),
+	.GCLK(brqrv_top_active_l2clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 brqrv_top_brqrv_dbg_dbg_abstractauto_reg_g56__2398 (
+	.A0(brqrv_top_brqrv_dbg_abstractauto_reg[1]),
+	.A1(brqrv_top_dmi_reg_wdata[1]),
+	.S(brqrv_top_brqrv_dbg_abstractauto_reg_wren),
+	.X(brqrv_top_brqrv_dbg_dbg_abstractauto_reg_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 brqrv_top_brqrv_dbg_dbg_abstractauto_reg_g57__5107 (
+	.A0(brqrv_top_brqrv_dbg_abstractauto_reg[0]),
+	.A1(brqrv_top_dmi_reg_wdata[0]),
+	.S(brqrv_top_brqrv_dbg_abstractauto_reg_wren),
+	.X(brqrv_top_brqrv_dbg_dbg_abstractauto_reg_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_abstractauto_reg_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_free_clk),
+	.D(brqrv_top_brqrv_dbg_dbg_abstractauto_reg_n_3),
+	.Q(brqrv_top_brqrv_dbg_abstractauto_reg[0]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_abstractauto_reg_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_free_clk),
+	.D(brqrv_top_brqrv_dbg_dbg_abstractauto_reg_n_2),
+	.Q(brqrv_top_brqrv_dbg_abstractauto_reg[1]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dbg_data0_reg_wren),
+	.GCLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[12]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[12]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[1]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[1]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[2]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[2]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[3]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[3]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[4]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[4]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[27]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[27]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[5]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[5]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[6]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[6]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[7]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[7]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[23]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[23]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[8]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[8]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[9]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[9]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[10]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[10]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[19]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[19]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[11]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[11]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[0]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[0]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[31]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[31]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[14]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[14]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[15]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[15]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[16]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[16]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[17]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[17]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[18]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[18]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[20]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[20]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[21]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[21]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[22]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[22]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[24]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[24]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[25]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[25]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[26]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[26]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[28]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[28]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[29]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[29]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[30]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[30]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data0_reg_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data0_din[13]),
+	.Q(brqrv_top_brqrv_dbg_cmd_wrdata[13]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dbg_data1_reg_wren),
+	.GCLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[12]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[12]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[1]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[1]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[2]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[2]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[3]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[3]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[4]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[4]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[27]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[27]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[5]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[5]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[6]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[6]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[7]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[7]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[23]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[23]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[8]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[8]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[9]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[9]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[10]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[10]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[19]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[19]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[11]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[11]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[0]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[0]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[31]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[31]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[14]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[14]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[15]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[15]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[16]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[16]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[17]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[17]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[18]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[18]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[20]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[20]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[21]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[21]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[22]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[22]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[24]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[24]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[25]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[25]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[26]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[26]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[28]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[28]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[29]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[29]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[30]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[30]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_data1_reg_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_data1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_data1_din[13]),
+	.Q(brqrv_top_brqrv_dbg_data1_reg[13]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_dbg_dbg_free_cgc_clkhdr (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dbg_dbg_free_clken),
+	.GCLK(brqrv_top_brqrv_dbg_dbg_free_clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dbg_sbaddress0_reg_wren),
+	.GCLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[12]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[12]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[1]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[1]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[2]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[2]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[3]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[3]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[4]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[4]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[27]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[27]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[5]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[5]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[6]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[6]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[7]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[7]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[23]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[23]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[8]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[8]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[9]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[9]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[10]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[10]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[19]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[19]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[11]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[11]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[0]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[0]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[31]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[31]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[14]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[14]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[15]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[15]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[16]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[16]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[17]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[17]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[18]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[18]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[20]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[20]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[21]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[21]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[22]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[22]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[24]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[24]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[25]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[25]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[26]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[26]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[28]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[28]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[29]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[29]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[30]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[30]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbaddress0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbaddress0_reg_din[13]),
+	.Q(brqrv_top_brqrv_dbg_sbaddress0_reg[13]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dbg_sbdata0_reg_wren),
+	.GCLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[10]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[10]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[30]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[30]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[28]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[28]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[24]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[24]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[16]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[16]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[0]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[0]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[15]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[15]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[23]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[23]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[14]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[14]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[13]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[13]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[27]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[27]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[22]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[22]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[12]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[12]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[11]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[11]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[21]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[21]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[31]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[31]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[1]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[1]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[29]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[29]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[26]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[26]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[20]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[20]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[8]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[8]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[7]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[7]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[19]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[19]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[6]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[6]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[5]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[5]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[25]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[25]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[18]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[18]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[4]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[4]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[3]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[3]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[17]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[17]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[2]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[2]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata0_reg_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata0_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata0_din[9]),
+	.Q(brqrv_top_brqrv_dbg_sbdata0_reg[9]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(n_33494),
+	.GCLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[10]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[10]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[30]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[30]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[28]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[28]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[24]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[24]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[16]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[16]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[0]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[0]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[15]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[15]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[23]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[23]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[14]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[14]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[13]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[13]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[27]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[27]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[22]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[22]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[12]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[12]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[11]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[11]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[21]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[21]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[31]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[31]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[1]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[1]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[29]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[29]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[26]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[26]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[20]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[20]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[8]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[8]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[7]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[7]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[19]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[19]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[6]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[6]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[5]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[5]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[25]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[25]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[18]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[18]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[4]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[4]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[3]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[3]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[17]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[17]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[2]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[2]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_sbdata1_reg_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_sbdata1_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_sbdata1_din[9]),
+	.Q(brqrv_top_brqrv_dbg_sbdata1_reg[9]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_state_reg_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_free_clk),
+	.D(brqrv_top_brqrv_dbg_dbg_state_reg_n_10),
+	.Q(brqrv_top_brqrv_dbg_dbg_state[0]),
+	.RESET_B(brqrv_top_brqrv_dbg_n_1043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_state_reg_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_free_clk),
+	.D(brqrv_top_brqrv_dbg_dbg_state_reg_n_13),
+	.Q(brqrv_top_brqrv_dbg_dbg_state[3]),
+	.RESET_B(brqrv_top_brqrv_dbg_n_1043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_state_reg_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_free_clk),
+	.D(brqrv_top_brqrv_dbg_dbg_state_reg_n_11),
+	.Q(brqrv_top_brqrv_dbg_dbg_state[1]),
+	.RESET_B(brqrv_top_brqrv_dbg_n_1043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dbg_state_reg_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_free_clk),
+	.D(brqrv_top_brqrv_dbg_dbg_state_reg_n_12),
+	.Q(brqrv_top_brqrv_dbg_dbg_state[2]),
+	.RESET_B(brqrv_top_brqrv_dbg_n_1043), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmabstractcs_busy_reg_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_free_clk),
+	.D(brqrv_top_brqrv_dbg_dmabstractcs_busy_reg_n_4),
+	.Q(brqrv_top_brqrv_dbg_abstractcs_reg[12]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmabstractcs_error_reg_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_free_clk),
+	.D(brqrv_top_brqrv_dbg_n_1032),
+	.Q(brqrv_top_brqrv_dbg_abstractcs_reg[8]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmabstractcs_error_reg_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_free_clk),
+	.D(brqrv_top_brqrv_dbg_n_1033),
+	.Q(brqrv_top_brqrv_dbg_abstractcs_reg[9]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmabstractcs_error_reg_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_free_clk),
+	.D(brqrv_top_brqrv_dbg_n_1034),
+	.Q(brqrv_top_brqrv_dbg_abstractcs_reg[10]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dbg_dmcommand_reg_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dbg_command_wren),
+	.GCLK(brqrv_top_brqrv_dbg_dmcommand_reg_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcommand_reg_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dbg_dmcommand_reg_l1clk),
+	.D(brqrv_top_dmi_reg_wdata[16]),
+	.Q(brqrv_top_brqrv_dbg_cmd_write),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcommand_reg_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dbg_dmcommand_reg_l1clk),
+	.D(brqrv_top_dmi_reg_wdata[29]),
+	.Q(brqrv_top_brqrv_dbg_command_reg[29]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcommand_reg_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dbg_dmcommand_reg_l1clk),
+	.D(brqrv_top_dmi_reg_wdata[21]),
+	.Q(brqrv_top_brqrv_dbg_cmd_size[1]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcommand_reg_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dbg_dmcommand_reg_l1clk),
+	.D(brqrv_top_dmi_reg_wdata[22]),
+	.Q(brqrv_top_brqrv_dbg_command_reg[22]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcommand_reg_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dbg_dmcommand_reg_l1clk),
+	.D(brqrv_top_dmi_reg_wdata[24]),
+	.Q(brqrv_top_brqrv_dbg_command_reg[24]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcommand_reg_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dbg_dmcommand_reg_l1clk),
+	.D(brqrv_top_dmi_reg_wdata[25]),
+	.Q(brqrv_top_brqrv_dbg_command_reg[25]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcommand_reg_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dbg_dmcommand_reg_l1clk),
+	.D(brqrv_top_dmi_reg_wdata[26]),
+	.Q(brqrv_top_brqrv_dbg_command_reg[26]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcommand_reg_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dbg_dmcommand_reg_l1clk),
+	.D(brqrv_top_dmi_reg_wdata[27]),
+	.Q(brqrv_top_brqrv_dbg_command_reg[27]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcommand_reg_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dbg_dmcommand_reg_l1clk),
+	.D(brqrv_top_dmi_reg_wdata[28]),
+	.Q(brqrv_top_brqrv_dbg_command_reg[28]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcommand_reg_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dbg_dmcommand_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_command_postexec_din),
+	.Q(brqrv_top_brqrv_dbg_command_reg[18]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcommand_reg_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dbg_dmcommand_reg_l1clk),
+	.D(brqrv_top_dmi_reg_wdata[19]),
+	.Q(brqrv_top_brqrv_dbg_command_reg[19]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcommand_reg_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dbg_dmcommand_reg_l1clk),
+	.D(brqrv_top_dmi_reg_wdata[20]),
+	.Q(brqrv_top_brqrv_dbg_cmd_size[0]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcommand_reg_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dbg_dmcommand_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_command_transfer_din),
+	.Q(brqrv_top_brqrv_dbg_command_reg[17]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcommand_reg_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dbg_dmcommand_reg_l1clk),
+	.D(brqrv_top_dmi_reg_wdata[30]),
+	.Q(brqrv_top_brqrv_dbg_command_reg[30]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcommand_reg_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dbg_dmcommand_reg_l1clk),
+	.D(brqrv_top_dmi_reg_wdata[31]),
+	.Q(brqrv_top_brqrv_dbg_command_reg[31]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dbg_dmcommand_regno_reg_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dbg_command_regno_wren),
+	.GCLK(brqrv_top_brqrv_dbg_dmcommand_regno_reg_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcommand_regno_reg_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dbg_dmcommand_regno_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_command_din[4]),
+	.Q(brqrv_top_brqrv_dbg_command_reg[4]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcommand_regno_reg_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dbg_dmcommand_regno_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_command_din[1]),
+	.Q(brqrv_top_brqrv_dbg_command_reg[1]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcommand_regno_reg_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dbg_dmcommand_regno_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_command_din[2]),
+	.Q(brqrv_top_brqrv_dbg_command_reg[2]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcommand_regno_reg_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dbg_dmcommand_regno_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_command_din[3]),
+	.Q(brqrv_top_brqrv_dbg_command_reg[3]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcommand_regno_reg_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dbg_dmcommand_regno_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_command_din[0]),
+	.Q(brqrv_top_brqrv_dbg_command_reg[0]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcommand_regno_reg_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dbg_dmcommand_regno_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_command_din[11]),
+	.Q(brqrv_top_brqrv_dbg_command_reg[11]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcommand_regno_reg_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dbg_dmcommand_regno_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_command_din[5]),
+	.Q(brqrv_top_brqrv_dbg_command_reg[5]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcommand_regno_reg_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dbg_dmcommand_regno_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_command_din[6]),
+	.Q(brqrv_top_brqrv_dbg_command_reg[6]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcommand_regno_reg_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dbg_dmcommand_regno_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_command_din[12]),
+	.Q(brqrv_top_brqrv_dbg_command_reg[12]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcommand_regno_reg_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dbg_dmcommand_regno_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_command_din[8]),
+	.Q(brqrv_top_brqrv_dbg_command_reg[8]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcommand_regno_reg_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dbg_dmcommand_regno_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_command_din[9]),
+	.Q(brqrv_top_brqrv_dbg_command_reg[9]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcommand_regno_reg_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dbg_dmcommand_regno_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_command_din[10]),
+	.Q(brqrv_top_brqrv_dbg_command_reg[10]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcommand_regno_reg_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dbg_dmcommand_regno_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_command_din[7]),
+	.Q(brqrv_top_brqrv_dbg_command_reg[7]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcommand_regno_reg_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dbg_dmcommand_regno_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_command_din[13]),
+	.Q(brqrv_top_brqrv_dbg_command_reg[13]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcommand_regno_reg_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dbg_dmcommand_regno_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_command_din[14]),
+	.Q(brqrv_top_brqrv_dbg_command_reg[14]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcommand_regno_reg_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dbg_dmcommand_regno_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_command_din[15]),
+	.Q(brqrv_top_brqrv_dbg_command_reg[15]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_2 brqrv_top_brqrv_dbg_dmcontrol_dmactive_ff_g30__6260 (
+	.A0(brqrv_top_brqrv_dbg_dmcontrol_reg[0]),
+	.A1(brqrv_top_dmi_reg_wdata[0]),
+	.S(brqrv_top_brqrv_dbg_dmcontrol_wren),
+	.X(brqrv_top_brqrv_dbg_dmcontrol_dmactive_ff_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcontrol_dmactive_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_free_clk),
+	.D(brqrv_top_brqrv_dbg_dmcontrol_dmactive_ff_n_2),
+	.Q(brqrv_top_brqrv_dbg_dmcontrol_reg[0]),
+	.RESET_B(n_35010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcontrol_wrenff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_free_clk),
+	.D(brqrv_top_brqrv_dbg_dmcontrol_wren),
+	.Q(brqrv_top_brqrv_dbg_dmcontrol_wren_Q),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_dbg_dmcontrolff_g81__4319 (
+	.A1(brqrv_top_brqrv_dbg_dmcontrol_reg[31]),
+	.A2(n_32456),
+	.B1(brqrv_top_brqrv_dbg_dmcontrol_wren),
+	.B2(brqrv_top_dmi_reg_wdata[31]),
+	.X(brqrv_top_brqrv_dbg_dmcontrolff_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_dbg_dmcontrolff_g82__8428 (
+	.A1(brqrv_top_brqrv_dbg_dmcontrol_reg[30]),
+	.A2(n_32456),
+	.B1(brqrv_top_brqrv_dbg_dmcontrol_wren),
+	.B2(brqrv_top_dmi_reg_wdata[30]),
+	.X(brqrv_top_brqrv_dbg_dmcontrolff_n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_dbg_dmcontrolff_g83__5526 (
+	.A1(brqrv_top_brqrv_dbg_dmcontrol_reg[1]),
+	.A2(n_32456),
+	.B1(brqrv_top_brqrv_dbg_dmcontrol_wren),
+	.B2(brqrv_top_dmi_reg_wdata[1]),
+	.X(brqrv_top_brqrv_dbg_dmcontrolff_n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcontrolff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_free_clk),
+	.D(brqrv_top_brqrv_dbg_dmcontrolff_n_6),
+	.Q(brqrv_top_brqrv_dbg_dmcontrol_reg[1]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcontrolff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_free_clk),
+	.D(brqrv_top_brqrv_dbg_dmcontrolff_n_5),
+	.Q(brqrv_top_brqrv_dbg_dmcontrol_reg[30]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmcontrolff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_free_clk),
+	.D(brqrv_top_brqrv_dbg_dmcontrolff_n_4),
+	.Q(brqrv_top_brqrv_dbg_dmcontrol_reg[31]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_dmi_reg_en),
+	.GCLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[10]),
+	.Q(brqrv_top_dmi_reg_rdata[10]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[30]),
+	.Q(brqrv_top_dmi_reg_rdata[30]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[28]),
+	.Q(brqrv_top_dmi_reg_rdata[28]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[24]),
+	.Q(brqrv_top_dmi_reg_rdata[24]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[16]),
+	.Q(brqrv_top_dmi_reg_rdata[16]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[0]),
+	.Q(brqrv_top_dmi_reg_rdata[0]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[15]),
+	.Q(brqrv_top_dmi_reg_rdata[15]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[23]),
+	.Q(brqrv_top_dmi_reg_rdata[23]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[14]),
+	.Q(brqrv_top_dmi_reg_rdata[14]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[13]),
+	.Q(brqrv_top_dmi_reg_rdata[13]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[27]),
+	.Q(brqrv_top_dmi_reg_rdata[27]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[22]),
+	.Q(brqrv_top_dmi_reg_rdata[22]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[12]),
+	.Q(brqrv_top_dmi_reg_rdata[12]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[11]),
+	.Q(brqrv_top_dmi_reg_rdata[11]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[21]),
+	.Q(brqrv_top_dmi_reg_rdata[21]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[31]),
+	.Q(brqrv_top_dmi_reg_rdata[31]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[1]),
+	.Q(brqrv_top_dmi_reg_rdata[1]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[29]),
+	.Q(brqrv_top_dmi_reg_rdata[29]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[26]),
+	.Q(brqrv_top_dmi_reg_rdata[26]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[20]),
+	.Q(brqrv_top_dmi_reg_rdata[20]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[8]),
+	.Q(brqrv_top_dmi_reg_rdata[8]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[7]),
+	.Q(brqrv_top_dmi_reg_rdata[7]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[19]),
+	.Q(brqrv_top_dmi_reg_rdata[19]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[6]),
+	.Q(brqrv_top_dmi_reg_rdata[6]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[5]),
+	.Q(brqrv_top_dmi_reg_rdata[5]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[25]),
+	.Q(brqrv_top_dmi_reg_rdata[25]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[18]),
+	.Q(brqrv_top_dmi_reg_rdata[18]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[4]),
+	.Q(brqrv_top_dmi_reg_rdata[4]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[3]),
+	.Q(brqrv_top_dmi_reg_rdata[3]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[17]),
+	.Q(brqrv_top_dmi_reg_rdata[17]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[2]),
+	.Q(brqrv_top_dmi_reg_rdata[2]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmi_rddata_reg_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dbg_dmi_rddata_reg_l1clk),
+	.D(brqrv_top_brqrv_dbg_dmi_reg_rdata_din[9]),
+	.Q(brqrv_top_dmi_reg_rdata[9]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmstatus_halted_reg_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_free_clk),
+	.D(brqrv_top_brqrv_dec_tlu_dbg_halted),
+	.Q(brqrv_top_brqrv_dbg_dmstatus_halted),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 brqrv_top_brqrv_dbg_dmstatus_haveresetn_reg_g5__6783 (
+	.A(brqrv_top_brqrv_dbg_dmstatus_haveresetn),
+	.B(brqrv_top_brqrv_dbg_dmstatus_haveresetn_wren),
+	.X(brqrv_top_brqrv_dbg_dmstatus_haveresetn_reg_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmstatus_haveresetn_reg_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_free_clk),
+	.D(brqrv_top_brqrv_dbg_dmstatus_haveresetn_reg_n_2),
+	.Q(brqrv_top_brqrv_dbg_dmstatus_haveresetn),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 brqrv_top_brqrv_dbg_dmstatus_resumeack_reg_g30__3680 (
+	.A0(brqrv_top_brqrv_dbg_dmstatus_resumeack),
+	.A1(brqrv_top_brqrv_dbg_dmstatus_resumeack_din),
+	.S(brqrv_top_brqrv_dbg_dmstatus_resumeack_wren),
+	.X(brqrv_top_brqrv_dbg_dmstatus_resumeack_reg_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_dmstatus_resumeack_reg_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_free_clk),
+	.D(brqrv_top_brqrv_dbg_dmstatus_resumeack_reg_n_2),
+	.Q(brqrv_top_brqrv_dbg_dmstatus_resumeack),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_execute_commandff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_free_clk),
+	.D(brqrv_top_brqrv_dbg_execute_command_ns),
+	.Q(brqrv_top_brqrv_dbg_execute_command),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_sb_abmem_cmd_doneff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_free_clk),
+	.D(brqrv_top_brqrv_dbg_sb_abmem_cmd_doneff_n_4),
+	.Q(brqrv_top_brqrv_dbg_sb_abmem_cmd_done),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_sb_abmem_data_doneff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dbg_dbg_free_clk),
+	.D(brqrv_top_brqrv_dbg_sb_abmem_data_doneff_n_4),
+	.Q(brqrv_top_brqrv_dbg_sb_abmem_data_done),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_dbg_sb_free_cgc_clkhdr (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dbg_sb_free_clken),
+	.GCLK(brqrv_top_brqrv_dbg_sb_free_clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_dbg_sb_state_reg_g107__1617 (
+	.A1(brqrv_top_brqrv_dbg_sb_state_reg_n_0),
+	.A2(brqrv_top_brqrv_dbg_sb_state[3]),
+	.B1(brqrv_top_brqrv_dbg_n_4598),
+	.B2(brqrv_top_brqrv_dbg_n_4567),
+	.X(brqrv_top_brqrv_dbg_sb_state_reg_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_dbg_sb_state_reg_g108__2802 (
+	.A1(brqrv_top_brqrv_dbg_sb_state_reg_n_0),
+	.A2(brqrv_top_brqrv_dbg_sb_state[0]),
+	.B1(brqrv_top_brqrv_dbg_n_4582),
+	.B2(brqrv_top_brqrv_dbg_n_4598),
+	.X(brqrv_top_brqrv_dbg_sb_state_reg_n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_dbg_sb_state_reg_g109__1705 (
+	.A1(brqrv_top_brqrv_dbg_sb_state_reg_n_0),
+	.A2(brqrv_top_brqrv_dbg_sb_state[2]),
+	.B1(brqrv_top_brqrv_dbg_n_4598),
+	.B2(brqrv_top_brqrv_dbg_n_4571),
+	.X(brqrv_top_brqrv_dbg_sb_state_reg_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_dbg_sb_state_reg_g110__5122 (
+	.A1(brqrv_top_brqrv_dbg_sb_state_reg_n_0),
+	.A2(brqrv_top_brqrv_dbg_sb_state[1]),
+	.B1(brqrv_top_brqrv_dbg_n_4598),
+	.B2(brqrv_top_brqrv_dbg_n_4575),
+	.X(brqrv_top_brqrv_dbg_sb_state_reg_n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_dbg_sb_state_reg_g111 (
+	.A(brqrv_top_brqrv_dbg_n_4598),
+	.Y(brqrv_top_brqrv_dbg_sb_state_reg_n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_sb_state_reg_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dbg_sb_free_clk),
+	.D(brqrv_top_brqrv_dbg_sb_state_reg_n_6),
+	.Q(brqrv_top_brqrv_dbg_sb_state[0]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_sb_state_reg_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dbg_sb_free_clk),
+	.D(brqrv_top_brqrv_dbg_sb_state_reg_n_3),
+	.Q(brqrv_top_brqrv_dbg_sb_state[3]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_sb_state_reg_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dbg_sb_free_clk),
+	.D(brqrv_top_brqrv_dbg_sb_state_reg_n_5),
+	.Q(brqrv_top_brqrv_dbg_sb_state[1]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_sb_state_reg_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dbg_sb_free_clk),
+	.D(brqrv_top_brqrv_dbg_sb_state_reg_n_4),
+	.Q(brqrv_top_brqrv_dbg_sb_state[2]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_sbcs_error_reg_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dbg_sb_free_clk),
+	.D(brqrv_top_brqrv_dbg_sbcs_error_reg_n_8),
+	.Q(brqrv_top_brqrv_dbg_sbcs_reg[12]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_sbcs_error_reg_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dbg_sb_free_clk),
+	.D(brqrv_top_brqrv_dbg_sbcs_error_reg_n_9),
+	.Q(brqrv_top_brqrv_dbg_sbcs_reg[13]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_sbcs_error_reg_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dbg_sb_free_clk),
+	.D(brqrv_top_brqrv_dbg_sbcs_error_reg_n_10),
+	.Q(brqrv_top_brqrv_dbg_sbcs_reg[14]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_dbg_sbcs_misc_reg_g130__8246 (
+	.A1(brqrv_top_brqrv_dbg_sbcs_misc_reg_n_0),
+	.A2(brqrv_top_brqrv_dbg_sbcs_reg_int[19]),
+	.B1(brqrv_top_brqrv_dbg_sbcs_wren),
+	.B2(brqrv_top_dmi_reg_wdata[19]),
+	.X(brqrv_top_brqrv_dbg_sbcs_misc_reg_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_dbg_sbcs_misc_reg_g131__7098 (
+	.A1(brqrv_top_brqrv_dbg_sbcs_misc_reg_n_0),
+	.A2(brqrv_top_brqrv_dbg_sbcs_reg_int[16]),
+	.B1(brqrv_top_brqrv_dbg_sbcs_wren),
+	.B2(brqrv_top_dmi_reg_wdata[16]),
+	.X(brqrv_top_brqrv_dbg_sbcs_misc_reg_n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_dbg_sbcs_misc_reg_g132__6131 (
+	.A1(brqrv_top_brqrv_dbg_sbcs_misc_reg_n_0),
+	.A2(brqrv_top_brqrv_dbg_sbcs_reg_int[15]),
+	.B1(brqrv_top_brqrv_dbg_sbcs_wren),
+	.B2(brqrv_top_dmi_reg_wdata[15]),
+	.X(brqrv_top_brqrv_dbg_sbcs_misc_reg_n_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_dbg_sbcs_misc_reg_g133__1881 (
+	.A1(brqrv_top_brqrv_dbg_sbcs_misc_reg_n_0),
+	.A2(brqrv_top_brqrv_dbg_sbcs_reg_int[18]),
+	.B1(brqrv_top_brqrv_dbg_sbcs_wren),
+	.B2(brqrv_top_brqrv_dbg_n_1024),
+	.X(brqrv_top_brqrv_dbg_sbcs_misc_reg_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_dbg_sbcs_misc_reg_g134__5115 (
+	.A1(brqrv_top_brqrv_dbg_sbcs_misc_reg_n_0),
+	.A2(brqrv_top_brqrv_dbg_sbcs_reg_int[17]),
+	.B1(brqrv_top_brqrv_dbg_sbcs_wren),
+	.B2(brqrv_top_dmi_reg_wdata[17]),
+	.X(brqrv_top_brqrv_dbg_sbcs_misc_reg_n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_dbg_sbcs_misc_reg_g135 (
+	.A(brqrv_top_brqrv_dbg_sbcs_wren),
+	.Y(brqrv_top_brqrv_dbg_sbcs_misc_reg_n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_sbcs_misc_reg_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dbg_sb_free_clk),
+	.D(brqrv_top_brqrv_dbg_sbcs_misc_reg_n_7),
+	.Q(brqrv_top_brqrv_dbg_sbcs_reg_int[15]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_sbcs_misc_reg_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dbg_sb_free_clk),
+	.D(brqrv_top_brqrv_dbg_sbcs_misc_reg_n_5),
+	.Q(brqrv_top_brqrv_dbg_sbcs_reg_int[17]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_sbcs_misc_reg_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dbg_sb_free_clk),
+	.D(brqrv_top_brqrv_dbg_sbcs_misc_reg_n_4),
+	.Q(brqrv_top_brqrv_dbg_sbcs_reg_int[18]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_sbcs_misc_reg_genblock.dffs_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dbg_sb_free_clk),
+	.D(brqrv_top_brqrv_dbg_sbcs_misc_reg_n_3),
+	.Q(brqrv_top_brqrv_dbg_sbcs_reg_int[19]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_sbcs_misc_reg_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dbg_sb_free_clk),
+	.D(brqrv_top_brqrv_dbg_sbcs_misc_reg_n_6),
+	.Q(brqrv_top_brqrv_dbg_sbcs_reg_int[16]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 brqrv_top_brqrv_dbg_sbcs_sbbusy_reg_g30__7482 (
+	.A0(brqrv_top_brqrv_dbg_sbcs_reg[21]),
+	.A1(brqrv_top_brqrv_dbg_n_4554),
+	.S(brqrv_top_brqrv_dbg_n_4595),
+	.X(brqrv_top_brqrv_dbg_sbcs_sbbusy_reg_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_sbcs_sbbusy_reg_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dbg_sb_free_clk),
+	.D(brqrv_top_brqrv_dbg_sbcs_sbbusy_reg_n_2),
+	.Q(brqrv_top_brqrv_dbg_sbcs_reg[21]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 brqrv_top_brqrv_dbg_sbcs_sbbusyerror_reg_g30__4733 (
+	.A0(brqrv_top_brqrv_dbg_sbcs_reg[22]),
+	.A1(brqrv_top_brqrv_dbg_sbcs_sbbusyerror_din),
+	.S(brqrv_top_brqrv_dbg_sbcs_sbbusyerror_wren),
+	.X(brqrv_top_brqrv_dbg_sbcs_sbbusyerror_reg_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_sbcs_sbbusyerror_reg_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dbg_sb_free_clk),
+	.D(brqrv_top_brqrv_dbg_sbcs_sbbusyerror_reg_n_2),
+	.Q(brqrv_top_brqrv_dbg_sbcs_reg[22]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 brqrv_top_brqrv_dbg_sbcs_sbreadonaddr_reg_g30__6161 (
+	.A0(brqrv_top_brqrv_dbg_sbcs_reg[20]),
+	.A1(brqrv_top_dmi_reg_wdata[20]),
+	.S(brqrv_top_brqrv_dbg_sbcs_wren),
+	.X(brqrv_top_brqrv_dbg_sbcs_sbreadonaddr_reg_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dbg_sbcs_sbreadonaddr_reg_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dbg_sb_free_clk),
+	.D(brqrv_top_brqrv_dbg_sbcs_sbreadonaddr_reg_n_2),
+	.Q(brqrv_top_brqrv_dbg_sbcs_reg[20]),
+	.RESET_B(brqrv_top_brqrv_dbg_dbg_dm_rst_l), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_arf_gpr_wr_en[1]),
+	.GCLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[63]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[63]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[62]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[62]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[55]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[55]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[41]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[41]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[40]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[40]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[54]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[54]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[39]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[39]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[37]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[37]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[61]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[61]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[53]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[53]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[32]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[32]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[44]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[44]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[33]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[33]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[60]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[60]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[34]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[34]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[35]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[35]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[36]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[36]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[56]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[56]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[38]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[38]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[42]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[42]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[43]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[43]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[49]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[49]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[45]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[45]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[46]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[46]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[47]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[47]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[48]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[48]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[50]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[50]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[51]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[51]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[52]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[52]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[57]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[57]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[58]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[58]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[1].gprff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[1].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[59]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[59]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_arf_gpr_wr_en[2]),
+	.GCLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[95]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[95]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[94]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[94]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[87]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[87]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[73]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[73]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[72]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[72]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[86]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[86]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[71]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[71]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[69]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[69]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[93]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[93]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[85]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[85]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[64]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[64]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[76]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[76]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[65]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[65]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[92]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[92]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[66]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[66]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[67]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[67]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[68]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[68]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[88]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[88]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[70]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[70]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[74]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[74]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[75]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[75]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[81]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[81]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[77]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[77]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[78]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[78]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[79]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[79]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[80]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[80]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[82]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[82]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[83]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[83]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[84]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[84]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[89]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[89]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[90]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[90]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[2].gprff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[2].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[91]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[91]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_arf_gpr_wr_en[3]),
+	.GCLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[127]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[127]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[126]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[126]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[119]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[119]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[105]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[105]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[104]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[104]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[118]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[118]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[103]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[103]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[101]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[101]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[125]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[125]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[117]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[117]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[96]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[96]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[108]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[108]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[97]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[97]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[124]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[124]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[98]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[98]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[99]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[99]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[100]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[100]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[120]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[120]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[102]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[102]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[106]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[106]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[107]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[107]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[113]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[113]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[109]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[109]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[110]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[110]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[111]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[111]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[112]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[112]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[114]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[114]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[115]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[115]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[116]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[116]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[121]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[121]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[122]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[122]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[3].gprff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[3].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[123]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[123]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_arf_gpr_wr_en[4]),
+	.GCLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[159]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[159]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[158]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[158]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[151]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[151]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[137]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[137]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[136]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[136]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[150]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[150]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[135]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[135]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[133]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[133]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[157]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[157]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[149]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[149]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[128]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[128]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[140]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[140]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[129]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[129]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[156]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[156]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[130]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[130]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[131]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[131]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[132]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[132]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[152]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[152]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[134]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[134]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[138]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[138]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[139]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[139]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[145]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[145]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[141]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[141]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[142]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[142]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[143]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[143]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[144]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[144]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[146]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[146]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[147]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[147]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[148]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[148]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[153]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[153]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[154]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[154]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[4].gprff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[4].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[155]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[155]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_arf_gpr_wr_en[5]),
+	.GCLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[191]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[191]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[190]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[190]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[183]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[183]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[169]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[169]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[168]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[168]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[182]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[182]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[167]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[167]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[165]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[165]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[189]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[189]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[181]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[181]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[160]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[160]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[172]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[172]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[161]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[161]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[188]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[188]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[162]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[162]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[163]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[163]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[164]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[164]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[184]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[184]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[166]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[166]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[170]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[170]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[171]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[171]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[177]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[177]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[173]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[173]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[174]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[174]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[175]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[175]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[176]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[176]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[178]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[178]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[179]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[179]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[180]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[180]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[185]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[185]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[186]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[186]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[5].gprff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[5].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[187]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[187]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_arf_gpr_wr_en[6]),
+	.GCLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[223]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[223]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[222]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[222]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[215]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[215]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[201]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[201]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[200]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[200]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[214]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[214]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[199]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[199]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[197]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[197]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[221]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[221]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[213]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[213]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[192]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[192]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[204]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[204]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[193]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[193]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[220]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[220]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[194]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[194]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[195]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[195]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[196]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[196]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[216]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[216]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[198]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[198]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[202]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[202]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[203]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[203]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[209]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[209]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[205]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[205]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[206]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[206]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[207]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[207]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[208]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[208]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[210]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[210]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[211]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[211]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[212]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[212]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[217]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[217]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[218]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[218]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[6].gprff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[6].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[219]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[219]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_arf_gpr_wr_en[7]),
+	.GCLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[255]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[255]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[254]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[254]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[247]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[247]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[233]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[233]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[232]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[232]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[246]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[246]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[231]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[231]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[229]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[229]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[253]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[253]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[245]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[245]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[224]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[224]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[236]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[236]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[225]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[225]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[252]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[252]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[226]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[226]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[227]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[227]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[228]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[228]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[248]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[248]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[230]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[230]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[234]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[234]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[235]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[235]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[241]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[241]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[237]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[237]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[238]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[238]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[239]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[239]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[240]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[240]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[242]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[242]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[243]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[243]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[244]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[244]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[249]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[249]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[250]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[250]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[7].gprff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[7].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[251]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[251]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_arf_gpr_wr_en[8]),
+	.GCLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[287]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[287]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[286]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[286]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[279]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[279]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[265]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[265]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[264]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[264]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[278]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[278]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[263]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[263]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[261]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[261]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[285]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[285]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[277]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[277]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[256]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[256]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[268]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[268]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[257]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[257]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[284]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[284]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[258]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[258]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[259]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[259]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[260]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[260]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[280]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[280]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[262]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[262]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[266]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[266]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[267]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[267]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[273]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[273]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[269]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[269]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[270]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[270]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[271]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[271]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[272]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[272]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[274]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[274]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[275]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[275]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[276]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[276]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[281]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[281]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[282]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[282]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[8].gprff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[8].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[283]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[283]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_arf_gpr_wr_en[9]),
+	.GCLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[319]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[319]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[318]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[318]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[311]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[311]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[297]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[297]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[296]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[296]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[310]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[310]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[295]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[295]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[293]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[293]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[317]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[317]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[309]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[309]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[288]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[288]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[300]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[300]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[289]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[289]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[316]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[316]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[290]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[290]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[291]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[291]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[292]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[292]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[312]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[312]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[294]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[294]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[298]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[298]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[299]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[299]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[305]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[305]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[301]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[301]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[302]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[302]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[303]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[303]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[304]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[304]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[306]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[306]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[307]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[307]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[308]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[308]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[313]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[313]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[314]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[314]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[9].gprff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[9].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[315]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[315]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_arf_gpr_wr_en[10]),
+	.GCLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[351]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[351]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[350]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[350]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[343]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[343]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[329]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[329]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[328]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[328]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[342]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[342]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[327]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[327]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[325]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[325]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[349]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[349]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[341]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[341]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[320]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[320]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[332]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[332]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[321]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[321]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[348]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[348]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[322]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[322]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[323]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[323]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[324]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[324]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[344]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[344]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[326]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[326]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[330]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[330]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[331]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[331]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[337]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[337]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[333]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[333]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[334]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[334]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[335]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[335]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[336]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[336]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[338]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[338]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[339]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[339]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[340]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[340]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[345]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[345]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[346]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[346]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[10].gprff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[10].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[347]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[347]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_arf_gpr_wr_en[11]),
+	.GCLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[383]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[383]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[382]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[382]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[375]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[375]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[361]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[361]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[360]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[360]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[374]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[374]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[359]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[359]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[357]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[357]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[381]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[381]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[373]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[373]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[352]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[352]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[364]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[364]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[353]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[353]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[380]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[380]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[354]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[354]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[355]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[355]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[356]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[356]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[376]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[376]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[358]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[358]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[362]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[362]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[363]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[363]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[369]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[369]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[365]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[365]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[366]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[366]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[367]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[367]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[368]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[368]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[370]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[370]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[371]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[371]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[372]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[372]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[377]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[377]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[378]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[378]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[11].gprff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[11].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[379]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[379]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_arf_gpr_wr_en[12]),
+	.GCLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[415]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[415]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[414]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[414]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[407]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[407]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[393]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[393]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[392]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[392]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[406]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[406]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[391]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[391]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[389]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[389]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[413]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[413]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[405]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[405]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[384]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[384]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[396]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[396]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[385]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[385]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[412]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[412]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[386]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[386]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[387]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[387]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[388]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[388]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[408]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[408]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[390]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[390]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[394]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[394]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[395]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[395]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[401]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[401]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[397]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[397]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[398]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[398]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[399]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[399]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[400]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[400]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[402]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[402]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[403]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[403]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[404]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[404]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[409]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[409]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[410]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[410]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[12].gprff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[12].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[411]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[411]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_arf_gpr_wr_en[13]),
+	.GCLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[447]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[447]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[446]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[446]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[439]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[439]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[425]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[425]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[424]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[424]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[438]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[438]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[423]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[423]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[421]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[421]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[445]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[445]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[437]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[437]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[416]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[416]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[428]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[428]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[417]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[417]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[444]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[444]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[418]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[418]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[419]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[419]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[420]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[420]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[440]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[440]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[422]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[422]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[426]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[426]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[427]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[427]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[433]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[433]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[429]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[429]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[430]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[430]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[431]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[431]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[432]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[432]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[434]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[434]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[435]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[435]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[436]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[436]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[441]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[441]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[442]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[442]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[13].gprff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[13].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[443]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[443]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_arf_gpr_wr_en[14]),
+	.GCLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[479]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[479]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[478]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[478]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[471]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[471]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[457]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[457]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[456]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[456]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[470]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[470]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[455]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[455]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[453]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[453]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[477]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[477]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[469]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[469]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[448]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[448]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[460]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[460]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[449]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[449]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[476]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[476]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[450]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[450]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[451]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[451]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[452]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[452]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[472]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[472]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[454]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[454]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[458]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[458]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[459]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[459]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[465]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[465]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[461]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[461]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[462]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[462]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[463]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[463]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[464]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[464]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[466]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[466]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[467]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[467]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[468]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[468]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[473]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[473]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[474]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[474]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[14].gprff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[14].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[475]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[475]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_arf_gpr_wr_en[15]),
+	.GCLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[511]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[511]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[510]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[510]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[503]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[503]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[489]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[489]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[488]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[488]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[502]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[502]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[487]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[487]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[485]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[485]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[509]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[509]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[501]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[501]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[480]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[480]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[492]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[492]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[481]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[481]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[508]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[508]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[482]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[482]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[483]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[483]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[484]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[484]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[504]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[504]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[486]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[486]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[490]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[490]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[491]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[491]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[497]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[497]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[493]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[493]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[494]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[494]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[495]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[495]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[496]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[496]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[498]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[498]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[499]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[499]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[500]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[500]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[505]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[505]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[506]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[506]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[15].gprff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[15].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[507]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[507]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_arf_gpr_wr_en[16]),
+	.GCLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[543]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[543]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[542]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[542]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[535]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[535]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[521]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[521]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[520]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[520]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[534]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[534]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[519]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[519]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[517]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[517]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[541]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[541]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[533]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[533]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[512]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[512]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[524]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[524]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[513]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[513]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[540]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[540]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[514]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[514]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[515]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[515]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[516]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[516]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[536]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[536]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[518]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[518]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[522]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[522]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[523]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[523]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[529]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[529]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[525]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[525]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[526]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[526]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[527]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[527]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[528]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[528]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[530]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[530]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[531]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[531]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[532]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[532]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[537]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[537]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[538]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[538]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[16].gprff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[16].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[539]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[539]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_arf_gpr_wr_en[17]),
+	.GCLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[575]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[575]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[574]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[574]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[567]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[567]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[553]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[553]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[552]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[552]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[566]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[566]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[551]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[551]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[549]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[549]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[573]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[573]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[565]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[565]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[544]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[544]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[556]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[556]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[545]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[545]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[572]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[572]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[546]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[546]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[547]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[547]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[548]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[548]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[568]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[568]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[550]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[550]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[554]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[554]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[555]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[555]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[561]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[561]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[557]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[557]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[558]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[558]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[559]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[559]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[560]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[560]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[562]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[562]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[563]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[563]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[564]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[564]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[569]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[569]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[570]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[570]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[17].gprff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[17].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[571]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[571]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_arf_gpr_wr_en[18]),
+	.GCLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[607]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[607]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[606]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[606]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[599]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[599]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[585]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[585]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[584]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[584]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[598]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[598]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[583]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[583]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[581]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[581]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[605]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[605]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[597]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[597]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[576]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[576]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[588]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[588]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[577]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[577]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[604]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[604]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[578]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[578]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[579]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[579]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[580]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[580]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[600]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[600]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[582]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[582]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[586]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[586]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[587]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[587]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[593]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[593]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[589]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[589]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[590]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[590]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[591]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[591]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[592]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[592]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[594]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[594]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[595]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[595]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[596]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[596]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[601]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[601]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[602]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[602]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[18].gprff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[18].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[603]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[603]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_arf_gpr_wr_en[19]),
+	.GCLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[639]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[639]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[638]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[638]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[631]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[631]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[617]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[617]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[616]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[616]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[630]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[630]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[615]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[615]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[613]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[613]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[637]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[637]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[629]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[629]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[608]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[608]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[620]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[620]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[609]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[609]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[636]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[636]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[610]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[610]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[611]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[611]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[612]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[612]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[632]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[632]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[614]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[614]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[618]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[618]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[619]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[619]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[625]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[625]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[621]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[621]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[622]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[622]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[623]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[623]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[624]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[624]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[626]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[626]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[627]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[627]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[628]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[628]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[633]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[633]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[634]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[634]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[19].gprff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[19].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[635]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[635]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_arf_gpr_wr_en[20]),
+	.GCLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[671]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[671]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[670]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[670]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[663]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[663]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[649]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[649]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[648]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[648]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[662]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[662]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[647]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[647]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[645]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[645]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[669]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[669]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[661]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[661]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[640]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[640]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[652]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[652]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[641]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[641]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[668]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[668]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[642]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[642]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[643]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[643]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[644]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[644]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[664]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[664]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[646]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[646]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[650]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[650]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[651]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[651]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[657]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[657]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[653]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[653]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[654]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[654]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[655]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[655]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[656]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[656]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[658]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[658]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[659]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[659]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[660]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[660]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[665]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[665]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[666]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[666]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[20].gprff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[20].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[667]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[667]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_arf_gpr_wr_en[21]),
+	.GCLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[703]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[703]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[702]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[702]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[695]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[695]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[681]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[681]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[680]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[680]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[694]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[694]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[679]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[679]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[677]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[677]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[701]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[701]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[693]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[693]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[672]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[672]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[684]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[684]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[673]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[673]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[700]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[700]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[674]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[674]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[675]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[675]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[676]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[676]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[696]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[696]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[678]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[678]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[682]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[682]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[683]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[683]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[689]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[689]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[685]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[685]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[686]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[686]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[687]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[687]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[688]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[688]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[690]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[690]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[691]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[691]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[692]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[692]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[697]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[697]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[698]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[698]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[21].gprff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[21].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[699]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[699]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_arf_gpr_wr_en[22]),
+	.GCLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[735]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[735]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[734]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[734]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[727]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[727]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[713]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[713]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[712]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[712]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[726]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[726]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[711]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[711]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[709]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[709]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[733]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[733]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[725]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[725]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[704]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[704]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[716]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[716]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[705]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[705]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[732]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[732]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[706]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[706]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[707]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[707]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[708]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[708]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[728]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[728]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[710]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[710]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[714]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[714]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[715]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[715]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[721]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[721]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[717]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[717]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[718]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[718]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[719]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[719]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[720]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[720]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[722]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[722]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[723]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[723]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[724]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[724]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[729]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[729]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[730]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[730]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[22].gprff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[22].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[731]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[731]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_arf_gpr_wr_en[23]),
+	.GCLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[767]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[767]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[766]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[766]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[759]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[759]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[745]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[745]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[744]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[744]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[758]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[758]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[743]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[743]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[741]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[741]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[765]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[765]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[757]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[757]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[736]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[736]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[748]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[748]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[737]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[737]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[764]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[764]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[738]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[738]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[739]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[739]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[740]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[740]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[760]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[760]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[742]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[742]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[746]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[746]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[747]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[747]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[753]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[753]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[749]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[749]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[750]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[750]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[751]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[751]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[752]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[752]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[754]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[754]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[755]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[755]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[756]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[756]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[761]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[761]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[762]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[762]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[23].gprff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[23].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[763]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[763]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_arf_gpr_wr_en[24]),
+	.GCLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[799]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[799]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[798]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[798]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[791]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[791]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[777]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[777]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[776]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[776]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[790]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[790]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[775]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[775]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[773]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[773]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[797]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[797]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[789]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[789]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[768]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[768]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[780]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[780]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[769]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[769]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[796]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[796]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[770]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[770]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[771]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[771]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[772]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[772]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[792]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[792]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[774]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[774]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[778]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[778]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[779]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[779]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[785]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[785]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[781]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[781]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[782]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[782]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[783]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[783]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[784]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[784]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[786]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[786]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[787]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[787]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[788]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[788]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[793]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[793]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[794]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[794]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[24].gprff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[24].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[795]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[795]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_arf_gpr_wr_en[25]),
+	.GCLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[831]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[831]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[830]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[830]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[823]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[823]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[809]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[809]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[808]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[808]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[822]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[822]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[807]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[807]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[805]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[805]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[829]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[829]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[821]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[821]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[800]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[800]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[812]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[812]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[801]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[801]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[828]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[828]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[802]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[802]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[803]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[803]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[804]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[804]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[824]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[824]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[806]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[806]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[810]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[810]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[811]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[811]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[817]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[817]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[813]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[813]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[814]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[814]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[815]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[815]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[816]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[816]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[818]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[818]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[819]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[819]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[820]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[820]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[825]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[825]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[826]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[826]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[25].gprff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[25].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[827]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[827]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_arf_gpr_wr_en[26]),
+	.GCLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[863]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[863]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[862]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[862]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[855]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[855]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[841]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[841]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[840]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[840]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[854]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[854]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[839]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[839]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[837]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[837]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[861]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[861]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[853]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[853]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[832]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[832]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[844]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[844]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[833]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[833]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[860]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[860]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[834]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[834]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[835]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[835]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[836]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[836]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[856]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[856]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[838]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[838]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[842]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[842]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[843]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[843]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[849]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[849]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[845]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[845]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[846]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[846]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[847]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[847]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[848]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[848]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[850]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[850]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[851]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[851]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[852]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[852]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[857]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[857]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[858]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[858]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[26].gprff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[26].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[859]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[859]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_arf_gpr_wr_en[27]),
+	.GCLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[895]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[895]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[894]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[894]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[887]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[887]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[873]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[873]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[872]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[872]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[886]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[886]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[871]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[871]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[869]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[869]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[893]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[893]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[885]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[885]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[864]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[864]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[876]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[876]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[865]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[865]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[892]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[892]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[866]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[866]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[867]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[867]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[868]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[868]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[888]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[888]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[870]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[870]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[874]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[874]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[875]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[875]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[881]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[881]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[877]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[877]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[878]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[878]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[879]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[879]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[880]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[880]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[882]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[882]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[883]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[883]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[884]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[884]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[889]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[889]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[890]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[890]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[27].gprff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[27].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[891]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[891]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_arf_gpr_wr_en[28]),
+	.GCLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[927]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[927]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[926]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[926]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[919]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[919]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[905]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[905]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[904]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[904]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[918]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[918]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[903]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[903]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[901]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[901]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[925]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[925]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[917]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[917]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[896]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[896]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[908]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[908]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[897]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[897]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[924]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[924]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[898]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[898]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[899]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[899]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[900]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[900]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[920]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[920]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[902]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[902]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[906]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[906]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[907]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[907]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[913]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[913]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[909]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[909]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[910]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[910]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[911]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[911]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[912]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[912]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[914]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[914]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[915]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[915]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[916]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[916]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[921]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[921]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[922]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[922]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[28].gprff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[28].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[923]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[923]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_arf_gpr_wr_en[29]),
+	.GCLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[959]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[959]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[958]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[958]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[951]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[951]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[937]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[937]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[936]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[936]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[950]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[950]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[935]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[935]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[933]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[933]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[957]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[957]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[949]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[949]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[928]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[928]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[940]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[940]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[929]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[929]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[956]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[956]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[930]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[930]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[931]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[931]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[932]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[932]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[952]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[952]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[934]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[934]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[938]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[938]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[939]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[939]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[945]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[945]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[941]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[941]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[942]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[942]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[943]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[943]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[944]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[944]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[946]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[946]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[947]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[947]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[948]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[948]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[953]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[953]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[954]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[954]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[29].gprff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[29].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[955]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[955]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_arf_gpr_wr_en[30]),
+	.GCLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[991]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[991]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[990]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[990]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[983]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[983]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[969]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[969]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[968]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[968]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[982]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[982]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[967]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[967]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[965]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[965]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[989]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[989]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[981]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[981]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[960]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[960]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[972]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[972]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[961]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[961]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[988]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[988]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[962]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[962]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[963]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[963]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[964]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[964]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[984]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[984]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[966]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[966]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[970]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[970]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[971]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[971]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[977]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[977]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[973]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[973]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[974]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[974]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[975]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[975]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[976]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[976]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[978]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[978]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[979]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[979]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[980]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[980]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[985]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[985]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[986]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[986]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[30].gprff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[30].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[987]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[987]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_arf_gpr_wr_en[31]),
+	.GCLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[1023]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[1023]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[1022]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[1022]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[1015]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[1015]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[1001]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[1001]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[1000]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[1000]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[1014]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[1014]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[999]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[999]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[997]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[997]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[1021]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[1021]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[1013]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[1013]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[992]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[992]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[1004]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[1004]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[993]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[993]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[1020]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[1020]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[994]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[994]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[995]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[995]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[996]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[996]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[1016]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[1016]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[998]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[998]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[1002]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[1002]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[1003]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[1003]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[1009]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[1009]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[1005]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[1005]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[1006]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[1006]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[1007]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[1007]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[1008]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[1008]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[1010]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[1010]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[1011]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[1011]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[1012]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[1012]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[1017]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[1017]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[1018]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[1018]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_arf_gpr[31].gprff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_arf_gpr[31].gprff_l1clk ),
+	.D(brqrv_top_brqrv_dec_arf_gpr_in[1019]),
+	.Q(brqrv_top_brqrv_dec_arf_gpr_out[1019]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6080__9315  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_84 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_102 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_106 ),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_trigger_data_match[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6081__9945  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_27 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_54 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_100 ),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_98 ),
+	.D1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_105 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_106 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6082__2883  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_87 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_80 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_104 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_105 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6083__2346  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_56 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_22 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_93 ),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_103 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_104 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6084__1666  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_69 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_95 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_82 ),
+	.D(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_101 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_103 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6085__7410  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_94 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_92 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_96 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_102 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6086__6417  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_75 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_68 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_99 ),
+	.D(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_86 ),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_101 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6087__5477  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_31 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_83 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_18 ),
+	.B2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_77 ),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_97 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_100 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6088__2398  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_89 ),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[28]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_19 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_99 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6089__5107  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_57 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_61 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_91 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_98 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6090__6260  (
+	.A1(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[25]),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[25]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_90 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_97 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6091__4319  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_9 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_63 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_14 ),
+	.B2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_60 ),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_88 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_96 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6092__8428  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_89 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_11 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_95 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6093__5526  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_36 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_81 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_15 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_94 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6094__6783  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_34 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_81 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_74 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_93 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6095__3680  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_0 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_81 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_12 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_92 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6096__1617  (
+	.A1(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[27]),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[27]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_85 ),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_5 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_91 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6097__2802  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_4 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_81 ),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[25]),
+	.B2(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[25]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_90 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6098__1705  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_71 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_20 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_79 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_88 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6099__5122  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_78 ),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[11]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_13 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_87 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6100__8246  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_44 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_81 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_89 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6101__7098  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_73 ),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[30]),
+	.A3(brqrv_top_brqrv_trigger_pkt_any[15]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_32 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_86 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6102__6131  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_36 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_81 ),
+	.C_N(brqrv_top_brqrv_trigger_pkt_any[26]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_85 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6103__1881  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_78 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_16 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_72 ),
+	.B2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_29 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_84 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6104__5115  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_66 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[3]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[8]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[2]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_83 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6105__7482  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_73 ),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[15]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_21 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_82 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6106__4733  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_67 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_8 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_62 ),
+	.B2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_30 ),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_76 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_80 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6107__6161  (
+	.A1(FE_DBTN3_brqrv_top_brqrv_trigger_pkt_any_3),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_70 ),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[6]),
+	.B2(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[6]),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_3 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_79 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6108__9315  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_62 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[20]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[22]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[21]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_81 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6109__9945  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_72 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[8]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_77 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6110__2883  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_40 ),
+	.B_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_72 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_78 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6111__2346  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_25 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_64 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_65 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_76 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6112__1666  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_59 ),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[17]),
+	.A3(brqrv_top_brqrv_trigger_pkt_any[16]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_33 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_75 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6113__7410  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_62 ),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[20]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_7 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_74 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6114__6417  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_6 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_63 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_71 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6115__5477  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_49 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_64 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_73 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6116__2398  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_41 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_63 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_72 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6117__5107  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_54 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[2]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[5]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[4]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_70 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6118__6260  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_59 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_42 ),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[16]),
+	.B2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_24 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_69 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6119__4319  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_59 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_37 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_17 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_68 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6120__8428  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_46 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_58 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_67 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6121__5526  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_54 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_43 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[9]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_66 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6122__6783  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_56 ),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[13]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_10 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_65 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6123__3680  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_35 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_55 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_28 ),
+	.B2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_52 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_61 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6124__1617  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_56 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[13]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[14]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_64 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6125__2802  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_55 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[3]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[4]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_63 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6126__1705  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_59 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_37 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[19]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_62 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6127__5122  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_55 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[3]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_60 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6128  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_58 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_59 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6129__8246  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_52 ),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[0]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_23 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_57 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6130__7098  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_56 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_39 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_58 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6131__6131  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_48 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_53 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_56 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6132__1881  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_38 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_53 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_55 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6133__5115  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_52 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[1]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[0]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_54 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6134  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_53 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_52 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6135__7482  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_51 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[36]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_53 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6136__4733  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_50 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[30]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[31]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_51 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6137__6161  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_48 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_49 ),
+	.C_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_39 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_50 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6138__9315  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_47 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[22]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[29]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[28]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_49 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6139__9945  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_45 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[3]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[12]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[11]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_48 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6140__2883  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_44 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_46 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_47 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6141__2346  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_37 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[20]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[21]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[19]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_46 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6142__1666  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_40 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_38 ),
+	.C_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_43 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_45 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6143__7410  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_36 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[26]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[27]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_44 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6144__6417  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_2 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_41 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_43 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6145__5477  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_24 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_26 ),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_42 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6146__2398  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[3]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[3]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_35 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6147__5107  (
+	.A1_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_0 ),
+	.A2_N(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[23]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_0 ),
+	.B2(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[23]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_34 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6148__6260  (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[18]),
+	.A2_N(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[18]),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[18]),
+	.B2(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[18]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_33 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6149__4319  (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[31]),
+	.A2_N(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[31]),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[31]),
+	.B2(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[31]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_32 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6150__8428  (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[10]),
+	.A2_N(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[10]),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[10]),
+	.B2(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[10]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_31 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6151__5526  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[20]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[20]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_30 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6152__6783  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[8]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[8]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_29 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6153__3680  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[0]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[0]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_28 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6154__1617  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[2]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[2]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_27 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6155__2802  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[16]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[16]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_26 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6156__1705  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[15]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[15]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_25 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6157__5122  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_6 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[7]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_41 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6158__8246  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[8]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[10]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[9]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_40 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6159__7098  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[15]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[14]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[13]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_39 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6160__6131  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[0]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[2]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[1]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_38 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6161__1881  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[18]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[17]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[16]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_37 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6162__5115  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[23]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[25]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[24]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_36 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6163__7482  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[1]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[1]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_23 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6164__4733  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[13]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[13]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_22 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6165__6161  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[30]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[30]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_21 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6166__9315  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[7]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[7]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_20 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6167__9945  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[29]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[29]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_19 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6168__2883  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[9]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[9]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_18 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6169__2346  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[19]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[19]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_17 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6170__1666  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[11]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[11]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_16 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6171__7410  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[26]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[26]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_15 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6172__6417  (
+	.A1_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_2 ),
+	.A2_N(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[4]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_2 ),
+	.B2(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[4]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_14 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6173__5477  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[12]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[12]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_13 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6174__2398  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[24]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[24]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_12 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6175__5107  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[28]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[28]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_11 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6176__6260  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[14]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[14]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6177__4319  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[5]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[5]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6178__8428  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[22]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[22]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6179__5526  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[21]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[21]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6180__6783  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[17]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[17]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_24 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6181__3680  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[27]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[27]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6182__1617  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[6]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[5]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6183__2802  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[24]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[23]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6184__1705  (
+	.A(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[6]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[6]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6185  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[4]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_g6187  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[23]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[0].trigger_i0_match_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6080__5122  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_84 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_102 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_106 ),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_trigger_data_match[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6081__8246  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_27 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_54 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_100 ),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_98 ),
+	.D1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_105 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_106 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6082__7098  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_87 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_80 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_104 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_105 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6083__6131  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_56 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_22 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_93 ),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_103 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_104 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6084__1881  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_69 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_95 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_82 ),
+	.D(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_101 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_103 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6085__5115  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_94 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_92 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_96 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_102 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6086__7482  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_75 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_68 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_99 ),
+	.D(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_86 ),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_101 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6087__4733  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_31 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_83 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_18 ),
+	.B2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_77 ),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_97 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_100 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6088__6161  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_89 ),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[66]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_19 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_99 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6089__9315  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_57 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_61 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_91 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_98 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6090__9945  (
+	.A1(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[57]),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[63]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_90 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_97 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6091__2883  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_9 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_63 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_14 ),
+	.B2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_60 ),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_88 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_96 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6092__2346  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_89 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_11 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_95 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6093__1666  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_36 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_81 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_15 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_94 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6094__7410  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_34 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_81 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_74 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_93 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6095__6417  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_0 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_81 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_12 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_92 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6096__5477  (
+	.A1(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[59]),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[65]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_85 ),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_5 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_91 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6097__2398  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_4 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_81 ),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[63]),
+	.B2(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[57]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_90 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6098__5107  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_71 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_20 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_79 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_88 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6099__6260  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_78 ),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[49]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_13 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_87 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6100__4319  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_44 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_81 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_89 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6101__8428  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_73 ),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[68]),
+	.A3(brqrv_top_brqrv_trigger_pkt_any[53]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_32 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_86 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6102__5526  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_36 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_81 ),
+	.C_N(brqrv_top_brqrv_trigger_pkt_any[64]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_85 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6103__6783  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_78 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_16 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_72 ),
+	.B2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_29 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_84 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6104__3680  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_66 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[41]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[46]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[40]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_83 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6105__1617  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_73 ),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[53]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_21 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_82 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6106__2802  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_67 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_8 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_62 ),
+	.B2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_30 ),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_76 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_80 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6107__1705  (
+	.A1(FE_DBTN4_brqrv_top_brqrv_trigger_pkt_any_41),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_70 ),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[44]),
+	.B2(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[38]),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_3 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_79 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6108__5122  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_62 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[58]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[60]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[59]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_81 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6109__8246  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_72 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[46]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_77 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6110__7098  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_40 ),
+	.B_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_72 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_78 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6111__6131  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_25 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_64 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_65 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_76 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6112__1881  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_59 ),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[55]),
+	.A3(brqrv_top_brqrv_trigger_pkt_any[54]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_33 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_75 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6113__5115  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_62 ),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[58]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_7 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_74 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6114__7482  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_6 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_63 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_71 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6115__4733  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_49 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_64 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_73 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6116__6161  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_41 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_63 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_72 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6117__9315  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_54 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[40]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[43]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[42]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_70 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6118__9945  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_59 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_42 ),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[54]),
+	.B2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_24 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_69 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6119__2883  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_59 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_37 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_17 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_68 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6120__2346  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_46 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_58 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_67 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6121__1666  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_54 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_43 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[47]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_66 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6122__7410  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_56 ),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[51]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_10 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_65 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6123__6417  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_35 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_55 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_28 ),
+	.B2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_52 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_61 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6124__5477  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_56 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[51]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[52]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_64 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6125__2398  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_55 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[41]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[42]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_63 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6126__5107  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_59 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_37 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[57]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_62 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6127__6260  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_55 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[41]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_60 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6128  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_58 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_59 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6129__4319  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_52 ),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[38]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_23 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_57 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6130__8428  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_56 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_39 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_58 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6131__5526  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_48 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_53 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_56 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6132__6783  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_38 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_53 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_55 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6133__3680  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_52 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[39]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[38]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_54 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6134  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_53 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_52 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6135__1617  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_51 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[74]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_53 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6136__2802  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_50 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[68]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[69]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_51 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6137__1705  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_48 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_49 ),
+	.C_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_39 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_50 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6138__5122  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_47 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[60]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[67]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[66]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_49 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6139__8246  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_45 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[41]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[50]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[49]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_48 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6140__7098  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_44 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_46 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_47 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6141__6131  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_37 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[58]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[59]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[57]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_46 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6142__1881  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_40 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_38 ),
+	.C_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_43 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_45 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6143__5115  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_36 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[64]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[65]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_44 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6144__7482  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_2 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_41 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_43 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6145__4733  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_24 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_26 ),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_42 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6146__6161  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[41]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[35]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_35 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6147__9315  (
+	.A1_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_0 ),
+	.A2_N(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[55]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_0 ),
+	.B2(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[55]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_34 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6148__9945  (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[56]),
+	.A2_N(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[50]),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[56]),
+	.B2(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[50]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_33 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6149__2883  (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[69]),
+	.A2_N(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[63]),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[69]),
+	.B2(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[63]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_32 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6150__2346  (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[48]),
+	.A2_N(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[42]),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[48]),
+	.B2(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[42]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_31 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6151__1666  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[58]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[52]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_30 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6152__7410  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[46]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[40]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_29 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6153__6417  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[38]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[32]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_28 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6154__5477  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[40]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[34]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_27 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6155__2398  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[54]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[48]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_26 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6156__5107  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[53]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[47]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_25 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6157__6260  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_6 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[45]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_41 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6158__4319  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[46]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[48]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[47]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_40 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6159__8428  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[53]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[52]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[51]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_39 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6160__5526  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[38]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[40]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[39]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_38 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6161__6783  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[56]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[55]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[54]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_37 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6162__3680  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[61]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[63]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[62]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_36 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6163__1617  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[39]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[33]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_23 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6164__2802  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[51]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[45]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_22 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6165__1705  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[68]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[62]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_21 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6166__5122  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[45]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[39]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_20 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6167__8246  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[67]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[61]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_19 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6168__7098  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[47]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[41]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_18 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6169__6131  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[57]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[51]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_17 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6170__1881  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[49]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[43]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_16 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6171__5115  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[64]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[58]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_15 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6172__7482  (
+	.A1_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_2 ),
+	.A2_N(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[36]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_2 ),
+	.B2(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[36]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_14 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6173__4733  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[50]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[44]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_13 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6174__6161  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[62]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[56]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_12 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6175__9315  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[66]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[60]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_11 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6176__9945  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[52]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[46]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6177__2883  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[43]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[37]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6178__2346  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[60]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[54]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6179__1666  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[59]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[53]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6180__7410  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[55]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[49]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_24 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6181__6417  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[65]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[59]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6182__5477  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[44]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[43]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6183__2398  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[62]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[61]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6184__5107  (
+	.A(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[38]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[44]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6185  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[42]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_g6187  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[61]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[1].trigger_i0_match_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6080__6260  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_84 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_102 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_106 ),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_trigger_data_match[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6081__4319  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_27 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_54 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_100 ),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_98 ),
+	.D1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_105 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_106 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6082__8428  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_87 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_80 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_104 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_105 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6083__5526  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_56 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_22 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_93 ),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_103 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_104 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6084__6783  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_69 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_95 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_82 ),
+	.D(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_101 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_103 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6085__3680  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_94 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_92 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_96 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_102 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6086__1617  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_75 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_68 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_99 ),
+	.D(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_86 ),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_101 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6087__2802  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_31 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_83 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_18 ),
+	.B2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_77 ),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_97 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_100 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6088__1705  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_89 ),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[104]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_19 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_99 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6089__5122  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_57 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_61 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_91 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_98 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6090__8246  (
+	.A1(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[89]),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[101]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_90 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_97 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6091__7098  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_9 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_63 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_14 ),
+	.B2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_60 ),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_88 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_96 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6092__6131  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_89 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_11 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_95 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6093__1881  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_36 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_81 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_15 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_94 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6094__5115  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_34 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_81 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_74 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_93 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6095__7482  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_0 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_81 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_12 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_92 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6096__4733  (
+	.A1(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[91]),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[103]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_85 ),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_5 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_91 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6097__6161  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_4 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_81 ),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[101]),
+	.B2(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[89]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_90 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6098__9315  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_71 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_20 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_79 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_88 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6099__9945  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_78 ),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[87]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_13 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_87 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6100__2883  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_44 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_81 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_89 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6101__2346  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_73 ),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[106]),
+	.A3(brqrv_top_brqrv_trigger_pkt_any[91]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_32 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_86 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6102__1666  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_36 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_81 ),
+	.C_N(brqrv_top_brqrv_trigger_pkt_any[102]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_85 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6103__7410  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_78 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_16 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_72 ),
+	.B2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_29 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_84 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6104__6417  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_66 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[79]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[84]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[78]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_83 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6105__5477  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_73 ),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[91]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_21 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_82 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6106__2398  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_67 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_8 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_62 ),
+	.B2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_30 ),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_76 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_80 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6107__5107  (
+	.A1(FE_DBTN5_brqrv_top_brqrv_trigger_pkt_any_79),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_70 ),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[82]),
+	.B2(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[70]),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_3 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_79 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6108__6260  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_62 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[96]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[98]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[97]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_81 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6109__4319  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_72 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[84]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_77 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6110__8428  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_40 ),
+	.B_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_72 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_78 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6111__5526  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_25 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_64 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_65 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_76 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6112__6783  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_59 ),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[93]),
+	.A3(brqrv_top_brqrv_trigger_pkt_any[92]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_33 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_75 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6113__3680  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_62 ),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[96]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_7 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_74 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6114__1617  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_6 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_63 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_71 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6115__2802  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_49 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_64 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_73 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6116__1705  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_41 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_63 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_72 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6117__5122  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_54 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[78]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[81]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[80]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_70 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6118__8246  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_59 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_42 ),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[92]),
+	.B2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_24 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_69 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6119__7098  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_59 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_37 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_17 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_68 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6120__6131  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_46 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_58 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_67 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6121__1881  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_54 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_43 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[85]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_66 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6122__5115  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_56 ),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[89]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_10 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_65 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6123__7482  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_35 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_55 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_28 ),
+	.B2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_52 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_61 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6124__4733  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_56 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[89]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[90]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_64 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6125__6161  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_55 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[79]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[80]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_63 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6126__9315  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_59 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_37 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[95]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_62 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6127__9945  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_55 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[79]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_60 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6128  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_58 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_59 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6129__2883  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_52 ),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[76]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_23 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_57 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6130__2346  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_56 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_39 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_58 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6131__1666  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_48 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_53 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_56 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6132__7410  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_38 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_53 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_55 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6133__6417  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_52 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[77]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[76]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_54 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6134  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_53 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_52 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6135__5477  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_51 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[112]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_53 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6136__2398  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_50 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[106]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[107]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_51 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6137__5107  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_48 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_49 ),
+	.C_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_39 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_50 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6138__6260  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_47 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[98]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[105]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[104]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_49 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6139__4319  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_45 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[79]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[88]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[87]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_48 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6140__8428  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_44 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_46 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_47 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6141__5526  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_37 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[96]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[97]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[95]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_46 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6142__6783  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_40 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_38 ),
+	.C_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_43 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_45 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6143__3680  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_36 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[102]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[103]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_44 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6144__1617  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_2 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_41 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_43 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6145__2802  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_24 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_26 ),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_42 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6146__1705  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[79]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[67]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_35 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6147__5122  (
+	.A1_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_0 ),
+	.A2_N(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[87]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_0 ),
+	.B2(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[87]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_34 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6148__8246  (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[94]),
+	.A2_N(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[82]),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[94]),
+	.B2(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[82]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_33 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6149__7098  (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[107]),
+	.A2_N(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[95]),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[107]),
+	.B2(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[95]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_32 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6150__6131  (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[86]),
+	.A2_N(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[74]),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[86]),
+	.B2(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[74]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_31 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6151__1881  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[96]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[84]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_30 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6152__5115  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[84]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[72]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_29 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6153__7482  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[76]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[64]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_28 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6154__4733  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[78]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[66]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_27 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6155__6161  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[92]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[80]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_26 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6156__9315  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[91]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[79]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_25 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6157__9945  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_6 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[83]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_41 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6158__2883  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[84]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[86]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[85]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_40 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6159__2346  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[91]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[90]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[89]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_39 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6160__1666  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[76]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[78]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[77]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_38 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6161__7410  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[94]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[93]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[92]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_37 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6162__6417  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[99]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[101]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[100]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_36 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6163__5477  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[77]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[65]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_23 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6164__2398  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[89]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[77]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_22 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6165__5107  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[106]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[94]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_21 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6166__6260  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[83]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[71]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_20 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6167__4319  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[105]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[93]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_19 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6168__8428  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[85]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[73]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_18 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6169__5526  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[95]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[83]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_17 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6170__6783  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[87]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[75]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_16 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6171__3680  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[102]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[90]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_15 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6172__1617  (
+	.A1_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_2 ),
+	.A2_N(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[68]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_2 ),
+	.B2(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[68]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_14 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6173__2802  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[88]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[76]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_13 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6174__1705  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[100]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[88]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_12 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6175__5122  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[104]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[92]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_11 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6176__8246  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[90]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[78]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6177__7098  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[81]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[69]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6178__6131  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[98]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[86]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6179__1881  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[97]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[85]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6180__5115  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[93]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[81]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_24 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6181__7482  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[103]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[91]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6182__4733  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[82]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[81]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6183__6161  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[100]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[99]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6184__9315  (
+	.A(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[70]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[82]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6185  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[80]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_g6187  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[99]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[2].trigger_i0_match_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6080__9945  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_84 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_102 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_106 ),
+	.Y(brqrv_top_brqrv_dec_dec_trigger_dec_i0_trigger_data_match[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6081__2883  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_27 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_54 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_100 ),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_98 ),
+	.D1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_105 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_106 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6082__2346  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_87 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_80 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_104 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_105 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6083__1666  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_56 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_22 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_93 ),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_103 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_104 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6084__7410  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_69 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_95 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_82 ),
+	.D(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_101 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_103 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6085__6417  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_94 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_92 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_96 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_102 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6086__5477  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_75 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_68 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_99 ),
+	.D(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_86 ),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_101 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6087__2398  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_31 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_83 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_18 ),
+	.B2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_77 ),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_97 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_100 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6088__5107  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_89 ),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[142]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_19 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_99 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6089__6260  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_57 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_61 ),
+	.C(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_91 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_98 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6090__4319  (
+	.A1(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[121]),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[139]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_90 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_97 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6091__8428  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_9 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_63 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_14 ),
+	.B2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_60 ),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_88 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_96 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6092__5526  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_89 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_11 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_95 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6093__6783  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_36 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_81 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_15 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_94 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6094__3680  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_34 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_81 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_74 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_93 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6095__1617  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_0 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_81 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_12 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_92 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6096__2802  (
+	.A1(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[123]),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[141]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_85 ),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_5 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_91 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6097__1705  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_4 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_81 ),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[139]),
+	.B2(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[121]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_90 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6098__5122  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_71 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_20 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_79 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_88 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6099__8246  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_78 ),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[125]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_13 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_87 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6100__7098  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_44 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_81 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_89 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6101__6131  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_73 ),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[144]),
+	.A3(brqrv_top_brqrv_trigger_pkt_any[129]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_32 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_86 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6102__1881  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_36 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_81 ),
+	.C_N(brqrv_top_brqrv_trigger_pkt_any[140]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_85 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6103__5115  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_78 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_16 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_72 ),
+	.B2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_29 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_84 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6104__7482  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_66 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[117]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[122]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[116]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_83 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6105__4733  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_73 ),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[129]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_21 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_82 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6106__6161  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_67 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_8 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_62 ),
+	.B2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_30 ),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_76 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_80 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6107__9315  (
+	.A1(FE_DBTN6_brqrv_top_brqrv_trigger_pkt_any_117),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_70 ),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[120]),
+	.B2(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[102]),
+	.C1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_3 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_79 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6108__9945  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_62 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[134]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[136]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[135]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_81 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6109__2883  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_72 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[122]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_77 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6110__2346  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_40 ),
+	.B_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_72 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_78 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6111__1666  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_25 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_64 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_65 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_76 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6112__7410  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_59 ),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[131]),
+	.A3(brqrv_top_brqrv_trigger_pkt_any[130]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_33 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_75 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6113__6417  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_62 ),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[134]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_7 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_74 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6114__5477  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_6 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_63 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_71 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6115__2398  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_49 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_64 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_73 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6116__5107  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_41 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_63 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_72 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6117__6260  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_54 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[116]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[119]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[118]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_70 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6118__4319  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_59 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_42 ),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[130]),
+	.B2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_24 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_69 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6119__8428  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_59 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_37 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_17 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_68 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6120__5526  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_46 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_58 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_67 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6121__6783  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_54 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_43 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[123]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_66 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6122__3680  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_56 ),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[127]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_10 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_65 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6123__1617  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_35 ),
+	.A2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_55 ),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_28 ),
+	.B2(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_52 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_61 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6124__2802  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_56 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[127]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[128]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_64 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6125__1705  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_55 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[117]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[118]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_63 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6126__5122  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_59 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_37 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[133]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_62 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6127__8246  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_55 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[117]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_60 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6128  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_58 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_59 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6129__7098  (
+	.A1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_52 ),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[114]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_23 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_57 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6130__6131  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_56 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_39 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_58 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6131__1881  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_48 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_53 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_56 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6132__5115  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_38 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_53 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_55 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6133__7482  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_52 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[115]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[114]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_54 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6134  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_53 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_52 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6135__4733  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_51 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[150]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_53 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6136__6161  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_50 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[144]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[145]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_51 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6137__9315  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_48 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_49 ),
+	.C_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_39 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_50 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6138__9945  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_47 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[136]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[143]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[142]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_49 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6139__2883  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_45 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[117]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[126]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[125]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_48 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6140__2346  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_44 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_46 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_47 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6141__1666  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_37 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[134]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[135]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[133]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_46 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6142__7410  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_40 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_38 ),
+	.C_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_43 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_45 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6143__6417  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_36 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[140]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[141]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_44 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6144__5477  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_2 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_41 ),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_43 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6145__2398  (
+	.A(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_24 ),
+	.B(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_26 ),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_42 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6146__5107  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[117]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[99]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_35 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6147__6260  (
+	.A1_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_0 ),
+	.A2_N(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[119]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_0 ),
+	.B2(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[119]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_34 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6148__4319  (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[132]),
+	.A2_N(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[114]),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[132]),
+	.B2(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[114]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_33 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6149__8428  (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[145]),
+	.A2_N(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[127]),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[145]),
+	.B2(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[127]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_32 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6150__5526  (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[124]),
+	.A2_N(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[106]),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[124]),
+	.B2(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[106]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_31 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6151__6783  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[134]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[116]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_30 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6152__3680  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[122]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[104]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_29 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6153__1617  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[114]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[96]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_28 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6154__2802  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[116]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[98]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_27 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6155__1705  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[130]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[112]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_26 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6156__5122  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[129]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[111]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_25 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6157__8246  (
+	.A_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_6 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[121]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_41 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6158__7098  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[122]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[124]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[123]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_40 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6159__6131  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[129]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[128]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[127]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_39 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6160__1881  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[114]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[116]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[115]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_38 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6161__5115  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[132]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[131]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[130]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_37 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6162__7482  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[137]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[139]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[138]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_36 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6163__4733  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[115]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[97]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_23 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6164__6161  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[127]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[109]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_22 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6165__9315  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[144]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[126]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_21 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6166__9945  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[121]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[103]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_20 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6167__2883  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[143]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[125]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_19 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6168__2346  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[123]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[105]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_18 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6169__1666  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[133]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[115]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_17 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6170__7410  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[125]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[107]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_16 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6171__6417  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[140]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[122]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_15 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6172__5477  (
+	.A1_N(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_2 ),
+	.A2_N(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[100]),
+	.B1(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_2 ),
+	.B2(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[100]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_14 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6173__2398  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[126]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[108]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_13 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6174__5107  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[138]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[120]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_12 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6175__6260  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[142]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[124]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_11 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6176__4319  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[128]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[110]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6177__8428  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[119]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[101]),
+	.X(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6178__5526  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[136]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[118]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6179__6783  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[135]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[117]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6180__3680  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[131]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[113]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_24 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6181__1617  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[141]),
+	.B(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[123]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6182__2802  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[120]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[119]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6183__1705  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[138]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[137]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6184__5122  (
+	.A(brqrv_top_brqrv_dec_dec_trigger_dec_i0_match_data[102]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[120]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6185  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[118]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_g6187  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[137]),
+	.Y(\brqrv_top_brqrv_dec_dec_trigger_genblk1[3].trigger_i0_match_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_decode_cam_array[0].cam_ff_clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_dec_decode_cam_array[0].cam_ff_en ),
+	.GCLK(\brqrv_top_brqrv_dec_decode_cam_array[0].cam_ff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[0].cam_ff_dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[0].cam_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_decode_cam_in[9]),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[0].cam_ff_dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[0].cam_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_decode_cam_in[8]),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[0].cam_ff_dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[0].cam_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_decode_cam_in[0]),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[0].cam_ff_dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[0].cam_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_decode_cam_in[7]),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[0].cam_ff_dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[0].cam_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_decode_cam_in[1]),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[0].cam_ff_dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[0].cam_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_decode_cam_in[4]),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[0].cam_ff_dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[0].cam_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_decode_cam_in[3]),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[0].cam_ff_dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[0].cam_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_decode_cam_in[2]),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[0].cam_ff_dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[0].cam_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_decode_cam_in[5]),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[0].cam_ff_dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[0].cam_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_decode_cam_in[6]),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_decode_cam_array[1].cam_ff_clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_dec_decode_cam_array[1].cam_ff_en ),
+	.GCLK(\brqrv_top_brqrv_dec_decode_cam_array[1].cam_ff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[1].cam_ff_dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[1].cam_ff_l1clk ),
+	.D(\brqrv_top_brqrv_dec_decode_cam_in[9]_915 ),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[1].cam_ff_dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[1].cam_ff_l1clk ),
+	.D(\brqrv_top_brqrv_dec_decode_cam_in[8]_914 ),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[1].cam_ff_dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[1].cam_ff_l1clk ),
+	.D(\brqrv_top_brqrv_dec_decode_cam_in[0]_906 ),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[1].cam_ff_dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[1].cam_ff_l1clk ),
+	.D(\brqrv_top_brqrv_dec_decode_cam_in[7]_913 ),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[1].cam_ff_dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[1].cam_ff_l1clk ),
+	.D(\brqrv_top_brqrv_dec_decode_cam_in[1]_907 ),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[1].cam_ff_dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[1].cam_ff_l1clk ),
+	.D(\brqrv_top_brqrv_dec_decode_cam_in[4]_910 ),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[1].cam_ff_dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[1].cam_ff_l1clk ),
+	.D(\brqrv_top_brqrv_dec_decode_cam_in[3]_909 ),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[1].cam_ff_dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[1].cam_ff_l1clk ),
+	.D(\brqrv_top_brqrv_dec_decode_cam_in[2]_908 ),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[1].cam_ff_dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[1].cam_ff_l1clk ),
+	.D(\brqrv_top_brqrv_dec_decode_cam_in[5]_911 ),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[1].cam_ff_dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[1].cam_ff_l1clk ),
+	.D(\brqrv_top_brqrv_dec_decode_cam_in[6]_912 ),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_decode_cam_array[2].cam_ff_clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_dec_decode_cam_array[2].cam_ff_en ),
+	.GCLK(\brqrv_top_brqrv_dec_decode_cam_array[2].cam_ff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[2].cam_ff_dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[2].cam_ff_l1clk ),
+	.D(\brqrv_top_brqrv_dec_decode_cam_in[9]_925 ),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[2].cam_ff_dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[2].cam_ff_l1clk ),
+	.D(\brqrv_top_brqrv_dec_decode_cam_in[8]_924 ),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[2].cam_ff_dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[2].cam_ff_l1clk ),
+	.D(\brqrv_top_brqrv_dec_decode_cam_in[0]_916 ),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[2].cam_ff_dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[2].cam_ff_l1clk ),
+	.D(\brqrv_top_brqrv_dec_decode_cam_in[7]_923 ),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[2].cam_ff_dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[2].cam_ff_l1clk ),
+	.D(\brqrv_top_brqrv_dec_decode_cam_in[1]_917 ),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[2].cam_ff_dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[2].cam_ff_l1clk ),
+	.D(\brqrv_top_brqrv_dec_decode_cam_in[4]_920 ),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[2].cam_ff_dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[2].cam_ff_l1clk ),
+	.D(\brqrv_top_brqrv_dec_decode_cam_in[3]_919 ),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[2].cam_ff_dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[2].cam_ff_l1clk ),
+	.D(\brqrv_top_brqrv_dec_decode_cam_in[2]_918 ),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[2].cam_ff_dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[2].cam_ff_l1clk ),
+	.D(\brqrv_top_brqrv_dec_decode_cam_in[5]_921 ),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[2].cam_ff_dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[2].cam_ff_l1clk ),
+	.D(\brqrv_top_brqrv_dec_decode_cam_in[6]_922 ),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_decode_cam_array[3].cam_ff_clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_dec_decode_cam_array[3].cam_ff_en ),
+	.GCLK(\brqrv_top_brqrv_dec_decode_cam_array[3].cam_ff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[3].cam_ff_dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[3].cam_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_decode_cam_in[32]),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[32]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[3].cam_ff_dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[3].cam_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_decode_cam_in[31]),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[3].cam_ff_dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[3].cam_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_decode_cam_in[30]),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[3].cam_ff_dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[3].cam_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_decode_cam_in[33]),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[33]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[3].cam_ff_dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[3].cam_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_decode_cam_in[36]),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[36]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[3].cam_ff_dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[3].cam_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_decode_cam_in[35]),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[35]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[3].cam_ff_dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[3].cam_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_decode_cam_in[34]),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[34]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[3].cam_ff_dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[3].cam_ff_l1clk ),
+	.D(\brqrv_top_brqrv_dec_decode_cam_in[8]_926 ),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[38]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_cam_array[3].cam_ff_dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_decode_cam_array[3].cam_ff_l1clk ),
+	.D(\brqrv_top_brqrv_dec_decode_cam_in[9]_927 ),
+	.Q(brqrv_top_brqrv_dec_decode_cam_raw[39]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_decode_n_962),
+	.GCLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[2]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[35]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_i0_instr_d[18]),
+	.Q(brqrv_top_brqrv_dec_decode_csrimm_x[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[33]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_i0_instr_d[16]),
+	.Q(brqrv_top_brqrv_dec_decode_csrimm_x[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[29]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[21]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[5]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[4]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[20]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[3]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[36]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_i0_instr_d[19]),
+	.Q(brqrv_top_brqrv_dec_decode_csrimm_x[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[28]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[19]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[1]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[0]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[18]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[32]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_i0_instr_d[15]),
+	.Q(brqrv_top_brqrv_dec_decode_csrimm_x[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[27]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[17]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[24]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[26]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[15]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[14]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[34]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_i0_instr_d[17]),
+	.Q(brqrv_top_brqrv_dec_decode_csrimm_x[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[31]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[25]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[13]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[12]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[16]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[11]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[10]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[30]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[23]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[9]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[8]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[22]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[7]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_decode_csr_rddata_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_csr_rddata_d[6]),
+	.Q(brqrv_top_brqrv_dec_decode_csr_rddata_x[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csrmiscff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_dec_decode_csr_ren_qual_d),
+	.Q(brqrv_top_brqrv_dec_decode_csr_read_x),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csrmiscff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_dec_decode_csr_write_d),
+	.Q(brqrv_top_brqrv_dec_decode_n_1558),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csrmiscff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_i0_ap[0]),
+	.Q(brqrv_top_brqrv_dec_decode_n_1234),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csrmiscff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_dec_decode_csr_set_d),
+	.Q(brqrv_top_brqrv_dec_decode_n_1461),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_csrmiscff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_dec_decode_csr_clr_d),
+	.Q(brqrv_top_brqrv_dec_decode_n_1428),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_decode_e1brpcff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_data_en[1]),
+	.GCLK(brqrv_top_brqrv_dec_decode_e1brpcff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1brpcff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1brpcff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_last_br_immed_d[12]),
+	.Q(brqrv_top_brqrv_dec_decode_last_br_immed_x[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1brpcff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1brpcff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_last_br_immed_d[11]),
+	.Q(brqrv_top_brqrv_dec_decode_last_br_immed_x[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1brpcff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1brpcff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_last_br_immed_d[9]),
+	.Q(brqrv_top_brqrv_dec_decode_last_br_immed_x[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1brpcff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1brpcff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_last_br_immed_d[5]),
+	.Q(brqrv_top_brqrv_dec_decode_last_br_immed_x[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1brpcff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1brpcff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_last_br_immed_d[4]),
+	.Q(brqrv_top_brqrv_dec_decode_last_br_immed_x[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1brpcff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1brpcff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_last_br_immed_d[8]),
+	.Q(brqrv_top_brqrv_dec_decode_last_br_immed_x[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1brpcff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1brpcff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_last_br_immed_d[3]),
+	.Q(brqrv_top_brqrv_dec_decode_last_br_immed_x[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1brpcff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1brpcff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_last_br_immed_d[2]),
+	.Q(brqrv_top_brqrv_dec_decode_last_br_immed_x[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1brpcff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1brpcff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_last_br_immed_d[10]),
+	.Q(brqrv_top_brqrv_dec_decode_last_br_immed_x[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1brpcff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1brpcff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_last_br_immed_d[7]),
+	.Q(brqrv_top_brqrv_dec_decode_last_br_immed_x[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1brpcff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1brpcff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_last_br_immed_d[1]),
+	.Q(brqrv_top_brqrv_dec_decode_last_br_immed_x[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1brpcff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1brpcff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_last_br_immed_d[6]),
+	.Q(brqrv_top_brqrv_dec_decode_last_br_immed_x[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_decode_e1ff_dff_extra_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_ctl_en[1]),
+	.GCLK(brqrv_top_brqrv_dec_decode_e1ff_dff_extra_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1ff_dff_extra_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1ff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_d_d[2]),
+	.Q(brqrv_top_brqrv_dec_decode_x_d[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1ff_dff_extra_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1ff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_i0_instr_d[8]),
+	.Q(brqrv_top_brqrv_dec_decode_x_d[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1ff_dff_extra_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1ff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_i0_instr_d[9]),
+	.Q(brqrv_top_brqrv_dec_decode_x_d[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1ff_dff_extra_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1ff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_i0_instr_d[10]),
+	.Q(brqrv_top_brqrv_dec_decode_x_d[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1ff_dff_extra_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1ff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_i0_instr_d[7]),
+	.Q(brqrv_top_brqrv_dec_decode_x_d[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1ff_dff_extra_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1ff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_i0_decode_d),
+	.Q(brqrv_top_brqrv_dec_decode_x_d[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1ff_dff_extra_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1ff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_i0_instr_d[11]),
+	.Q(brqrv_top_brqrv_dec_decode_x_d[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1ff_dff_extra_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1ff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_d_d[1]),
+	.Q(brqrv_top_brqrv_dec_decode_x_d[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1ff_dff_extra_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1ff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_d_c[1]),
+	.Q(brqrv_top_brqrv_dec_decode_x_d[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_decode_e1ff_dff_left_dff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_decode_e1ff_dff_left_final_en),
+	.GCLK(brqrv_top_brqrv_dec_decode_e1ff_dff_left_dff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1ff_dff_left_dff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_d_d[9]),
+	.Q(brqrv_top_brqrv_dec_decode_x_d[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1ff_dff_left_dff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_div_p[2]),
+	.Q(brqrv_top_brqrv_dec_decode_x_d[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1ff_dff_left_dff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_d_d[13]),
+	.Q(brqrv_top_brqrv_dec_decode_x_d[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1ff_dff_left_dff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_d_d[14]),
+	.Q(brqrv_top_brqrv_dec_decode_x_d[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1ff_dff_left_dff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_d_d[15]),
+	.Q(brqrv_top_brqrv_dec_decode_x_d[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1ff_dff_left_dff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_d_d[16]),
+	.Q(brqrv_top_brqrv_dec_decode_x_d[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1ff_dff_left_dff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_d_d[22]),
+	.Q(brqrv_top_brqrv_dec_decode_x_d[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1ff_dff_left_dff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_d_d[19]),
+	.Q(brqrv_top_brqrv_dec_decode_x_d[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1ff_dff_left_dff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_d_d[20]),
+	.Q(brqrv_top_brqrv_dec_decode_x_d[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1ff_dff_left_dff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_d_d[10]),
+	.Q(brqrv_top_brqrv_dec_decode_x_d[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1ff_dff_left_dff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_d_d[11]),
+	.Q(brqrv_top_brqrv_dec_decode_x_d[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1ff_dff_left_dff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_d_d[12]),
+	.Q(brqrv_top_brqrv_dec_decode_x_d[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_e1ff_dff_left_dff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_decode_e1ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_d_d[21]),
+	.Q(brqrv_top_brqrv_dec_decode_x_d[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41a_1 brqrv_top_brqrv_dec_decode_i0_pc_r_ff_g1120__8246 (
+	.A1(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_16),
+	.A2(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_11),
+	.A3(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_15),
+	.A4(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_23),
+	.B1(brqrv_top_brqrv_dec_data_en[0]),
+	.X(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_final_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 brqrv_top_brqrv_dec_decode_i0_pc_r_ff_g1121__7098 (
+	.A(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_20),
+	.B(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_21),
+	.C(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_19),
+	.D(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_22),
+	.Y(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 brqrv_top_brqrv_dec_decode_i0_pc_r_ff_g1122__6131 (
+	.A(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_12),
+	.B(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_9),
+	.C(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_8),
+	.D(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_13),
+	.Y(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 brqrv_top_brqrv_dec_decode_i0_pc_r_ff_g1123__1881 (
+	.A(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_0),
+	.B(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_14),
+	.C(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_18),
+	.D(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_10),
+	.Y(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 brqrv_top_brqrv_dec_decode_i0_pc_r_ff_g1124__5115 (
+	.A(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_4),
+	.B(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_1),
+	.C(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_17),
+	.D(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_6),
+	.Y(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 brqrv_top_brqrv_dec_decode_i0_pc_r_ff_g1125__7482 (
+	.A(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_5),
+	.B(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_3),
+	.C(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_2),
+	.D(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_7),
+	.Y(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_decode_i0_pc_r_ff_g1126__4733 (
+	.A(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[21]),
+	.B(brqrv_top_brqrv_exu_i0_pc_x[21]),
+	.X(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_decode_i0_pc_r_ff_g1127__6161 (
+	.A(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[16]),
+	.B(brqrv_top_brqrv_exu_i0_pc_x[16]),
+	.X(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_decode_i0_pc_r_ff_g1128__9315 (
+	.A(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[14]),
+	.B(brqrv_top_brqrv_exu_i0_pc_x[14]),
+	.X(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_decode_i0_pc_r_ff_g1129__9945 (
+	.A(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[13]),
+	.B(brqrv_top_brqrv_exu_i0_pc_x[13]),
+	.X(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_decode_i0_pc_r_ff_g1130__2883 (
+	.A(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[22]),
+	.B(brqrv_top_brqrv_exu_i0_pc_x[22]),
+	.X(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_decode_i0_pc_r_ff_g1131__2346 (
+	.A(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[31]),
+	.B(brqrv_top_brqrv_exu_i0_pc_x[31]),
+	.X(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_decode_i0_pc_r_ff_g1132__1666 (
+	.A(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[30]),
+	.B(brqrv_top_brqrv_exu_i0_pc_x[30]),
+	.X(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_decode_i0_pc_r_ff_g1133__7410 (
+	.A(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[15]),
+	.B(brqrv_top_brqrv_exu_i0_pc_x[15]),
+	.X(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_dec_decode_i0_pc_r_ff_g1134__6417 (
+	.A1_N(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[23]),
+	.A2_N(brqrv_top_brqrv_exu_i0_pc_x[23]),
+	.B1(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[23]),
+	.B2(brqrv_top_brqrv_exu_i0_pc_x[23]),
+	.Y(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_decode_i0_pc_r_ff_g1135__5477 (
+	.A(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[29]),
+	.B(brqrv_top_brqrv_exu_i0_pc_x[29]),
+	.X(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_decode_i0_pc_r_ff_g1136__2398 (
+	.A(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[28]),
+	.B(brqrv_top_brqrv_exu_i0_pc_x[28]),
+	.X(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_decode_i0_pc_r_ff_g1137__5107 (
+	.A(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[27]),
+	.B(brqrv_top_brqrv_exu_i0_pc_x[27]),
+	.X(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_decode_i0_pc_r_ff_g1138__6260 (
+	.A(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[18]),
+	.B(brqrv_top_brqrv_exu_i0_pc_x[18]),
+	.X(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_decode_i0_pc_r_ff_g1139__4319 (
+	.A(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[26]),
+	.B(brqrv_top_brqrv_exu_i0_pc_x[26]),
+	.X(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_decode_i0_pc_r_ff_g1140__8428 (
+	.A(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[17]),
+	.B(brqrv_top_brqrv_exu_i0_pc_x[17]),
+	.X(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_decode_i0_pc_r_ff_g1141__5526 (
+	.A(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[25]),
+	.B(brqrv_top_brqrv_exu_i0_pc_x[25]),
+	.X(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_decode_i0_pc_r_ff_g1142__6783 (
+	.A(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[24]),
+	.B(brqrv_top_brqrv_exu_i0_pc_x[24]),
+	.X(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_decode_i0_pc_r_ff_g1143__3680 (
+	.A(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[19]),
+	.B(brqrv_top_brqrv_exu_i0_pc_x[19]),
+	.X(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_decode_i0_pc_r_ff_g1144__1617 (
+	.A(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[20]),
+	.B(brqrv_top_brqrv_exu_i0_pc_x[20]),
+	.X(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_extra_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_data_en[0]),
+	.GCLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_extra_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_extra_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[12]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_extra_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[11]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_extra_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[9]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_extra_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[5]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_extra_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[4]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_extra_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[8]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_extra_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[3]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_extra_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[2]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_extra_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[10]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_extra_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[7]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_extra_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[1]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_extra_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[6]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_final_en),
+	.GCLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[13]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[30]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[28]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[24]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[16]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[15]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[23]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[14]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[31]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[27]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[22]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[21]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[29]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[26]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[20]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[19]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[25]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[18]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_pc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pc_x[17]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_pc_r[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_dec_decode_i0_r_c_ff_g80__2802 (
+	.A1(brqrv_top_brqrv_dec_decode_i0_r_c_ff_n_0),
+	.A2(brqrv_top_brqrv_dec_decode_i0_r_c[2]),
+	.B1(brqrv_top_brqrv_dec_ctl_en[0]),
+	.B2(brqrv_top_brqrv_dec_decode_i0_x_c[2]),
+	.X(brqrv_top_brqrv_dec_decode_i0_r_c_ff_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_dec_decode_i0_r_c_ff_g81__1705 (
+	.A1(brqrv_top_brqrv_dec_decode_i0_r_c_ff_n_0),
+	.A2(brqrv_top_brqrv_dec_decode_i0_r_c[1]),
+	.B1(brqrv_top_brqrv_dec_ctl_en[0]),
+	.B2(brqrv_top_brqrv_dec_decode_i0_x_c[1]),
+	.X(brqrv_top_brqrv_dec_decode_i0_r_c_ff_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_dec_decode_i0_r_c_ff_g82__5122 (
+	.A1(brqrv_top_brqrv_dec_decode_i0_r_c_ff_n_0),
+	.A2(brqrv_top_brqrv_dec_decode_i0_r_c[0]),
+	.B1(brqrv_top_brqrv_dec_ctl_en[0]),
+	.B2(brqrv_top_brqrv_dec_decode_i0_x_c[0]),
+	.X(brqrv_top_brqrv_dec_decode_i0_r_c_ff_n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_dec_decode_i0_r_c_ff_g83 (
+	.A(brqrv_top_brqrv_dec_ctl_en[0]),
+	.Y(brqrv_top_brqrv_dec_decode_i0_r_c_ff_n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_r_c_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_r_c_ff_n_5),
+	.Q(brqrv_top_brqrv_dec_decode_i0_r_c[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_r_c_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_r_c_ff_n_4),
+	.Q(brqrv_top_brqrv_dec_decode_i0_r_c[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_r_c_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_r_c_ff_n_3),
+	.Q(brqrv_top_brqrv_dec_decode_i0_r_c[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_decode_n_964),
+	.GCLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_result_x[10]),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_result_x[9]),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_result_x[2]),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_result_x[12]),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_result_x[23]),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_result_x[31]),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_result_x[8]),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_result_x[3]),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_result_x[4]),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_result_x[6]),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_result_x[7]),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_result_x[0]),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_result_x[1]),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_result_x[28]),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_result_x[29]),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_result_x[27]),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_result_x[30]),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_result_x[5]),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_result_x[11]),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_result_x[22]),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_result_x[13]),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_result_x[19]),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_result_x[15]),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_result_x[16]),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_result_x[17]),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_result_x[18]),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_result_x[14]),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_result_x[20]),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_result_x[21]),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_result_x[24]),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_result_x[25]),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_result_r_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0_result_r_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_result_x[26]),
+	.Q(brqrv_top_brqrv_dec_i0_result_r[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_dec_decode_i0_x_c_ff_g80__8246 (
+	.A1(n_26098),
+	.A2(brqrv_top_brqrv_dec_decode_i0_x_c[2]),
+	.B1(brqrv_top_brqrv_dec_ctl_en[1]),
+	.B2(brqrv_top_brqrv_mul_p[19]),
+	.X(brqrv_top_brqrv_dec_decode_i0_x_c_ff_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_dec_decode_i0_x_c_ff_g81__7098 (
+	.A1(n_26098),
+	.A2(brqrv_top_brqrv_dec_decode_i0_x_c[1]),
+	.B1(brqrv_top_brqrv_dec_decode_i0_d_c[1]),
+	.B2(brqrv_top_brqrv_dec_ctl_en[1]),
+	.X(brqrv_top_brqrv_dec_decode_i0_x_c_ff_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_brqrv_dec_decode_i0_x_c_ff_g82__6131 (
+	.A1(n_26098),
+	.A2(brqrv_top_brqrv_dec_decode_i0_x_c[0]),
+	.B1(brqrv_top_brqrv_dec_ctl_en[1]),
+	.B2(brqrv_top_brqrv_dec_i0_alu_decode_d),
+	.X(brqrv_top_brqrv_dec_decode_i0_x_c_ff_n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_x_c_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_x_c_ff_n_5),
+	.Q(brqrv_top_brqrv_dec_decode_i0_x_c[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_x_c_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_x_c_ff_n_4),
+	.Q(brqrv_top_brqrv_dec_decode_i0_x_c[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0_x_c_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_x_c_ff_n_3),
+	.Q(brqrv_top_brqrv_dec_decode_i0_x_c[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0cgff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_pipe_en[1]),
+	.Q(brqrv_top_brqrv_dec_decode_i0_pipe_en[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0cgff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_pipe_en[2]),
+	.Q(brqrv_top_brqrv_dec_decode_i0_pipe_en[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0cgff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_dec_i0_decode_d),
+	.Q(brqrv_top_brqrv_dec_decode_i0_pipe_en[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_decode_i0rdff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_div_p[2]),
+	.GCLK(brqrv_top_brqrv_dec_decode_i0rdff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0rdff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0rdff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_i0_instr_d[11]),
+	.Q(brqrv_top_brqrv_dec_div_waddr_wb[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0rdff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0rdff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_i0_instr_d[10]),
+	.Q(brqrv_top_brqrv_dec_div_waddr_wb[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0rdff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0rdff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_i0_instr_d[8]),
+	.Q(brqrv_top_brqrv_dec_div_waddr_wb[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0rdff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0rdff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_i0_instr_d[7]),
+	.Q(brqrv_top_brqrv_dec_div_waddr_wb[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_i0rdff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_decode_i0rdff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_i0_instr_d[9]),
+	.Q(brqrv_top_brqrv_dec_div_waddr_wb[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_decode_illegal_inst_en),
+	.GCLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[10]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[30]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[28]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[24]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[16]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[0]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[15]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[23]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[14]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[13]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[27]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[22]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[12]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[11]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[21]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[31]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[1]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[29]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[26]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[20]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[8]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[7]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[19]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[6]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[5]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[25]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[18]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[4]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[3]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[17]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[2]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_illegal_any_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_decode_illegal_any_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_inst_d[9]),
+	.Q(brqrv_top_brqrv_dec_dec_illegal_inst[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_lsu_idle_ff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_lsu_idle_any),
+	.Q(brqrv_top_brqrv_dec_decode_lsu_idle),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 brqrv_top_brqrv_dec_decode_misc1ff_g415__1881 (
+	.A1(brqrv_top_brqrv_dec_decode_misc1ff_n_0),
+	.A2(brqrv_top_brqrv_dec_decode_ps_stall_in),
+	.B1(brqrv_top_brqrv_dec_decode_misc1ff_n_3),
+	.C1(brqrv_top_brqrv_dec_decode_misc1ff_n_7),
+	.D1(brqrv_top_brqrv_dec_decode_misc1ff_n_9),
+	.Y(brqrv_top_brqrv_dec_decode_misc1ff_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 brqrv_top_brqrv_dec_decode_misc1ff_g416__5115 (
+	.A1(brqrv_top_brqrv_dec_decode_ps_stall_in),
+	.A2(brqrv_top_brqrv_dec_decode_misc1ff_n_0),
+	.B1(brqrv_top_brqrv_dec_decode_misc1ff_n_5),
+	.C1(brqrv_top_brqrv_dec_decode_misc1ff_n_8),
+	.Y(brqrv_top_brqrv_dec_decode_misc1ff_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 brqrv_top_brqrv_dec_decode_misc1ff_g417__7482 (
+	.A(brqrv_top_brqrv_dec_decode_misc1ff_n_1),
+	.B(brqrv_top_brqrv_dec_decode_misc1ff_n_2),
+	.C(brqrv_top_brqrv_dec_decode_misc1ff_n_6),
+	.D(brqrv_top_brqrv_dec_decode_misc1ff_n_4),
+	.Y(brqrv_top_brqrv_dec_decode_misc1ff_n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_decode_misc1ff_g418__4733 (
+	.A(brqrv_top_brqrv_dec_decode_illegal_lockout),
+	.B(brqrv_top_brqrv_dec_decode_illegal_lockout_in),
+	.Y(brqrv_top_brqrv_dec_decode_misc1ff_n_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_decode_misc1ff_g419__6161 (
+	.A(brqrv_top_brqrv_dec_extint_stall),
+	.B(brqrv_top_brqrv_dec_dec_tlu_flush_extint),
+	.Y(brqrv_top_brqrv_dec_decode_misc1ff_n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_decode_misc1ff_g420__9315 (
+	.A(brqrv_top_brqrv_dec_decode_tlu_wr_pause_r2),
+	.B(brqrv_top_brqrv_dec_decode_tlu_wr_pause_r1),
+	.X(brqrv_top_brqrv_dec_decode_misc1ff_n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_decode_misc1ff_g421__9945 (
+	.A(brqrv_top_brqrv_dec_decode_leak1_mode),
+	.B(brqrv_top_brqrv_dec_decode_leak1_i1_stall_in),
+	.Y(brqrv_top_brqrv_dec_decode_misc1ff_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_decode_misc1ff_g422__2883 (
+	.A(brqrv_top_brqrv_dec_decode_tlu_wr_pause_r1),
+	.B(brqrv_top_brqrv_dec_dec_tlu_wr_pause_r),
+	.Y(brqrv_top_brqrv_dec_decode_misc1ff_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_decode_misc1ff_g423__2346 (
+	.A(brqrv_top_brqrv_dec_dec_pause_state),
+	.B(brqrv_top_brqrv_dec_decode_pause_state_in),
+	.Y(brqrv_top_brqrv_dec_decode_misc1ff_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_decode_misc1ff_g424__1666 (
+	.A(brqrv_top_brqrv_dec_decode_leak1_i0_stall),
+	.B(brqrv_top_brqrv_dec_decode_leak1_i0_stall_in),
+	.Y(brqrv_top_brqrv_dec_decode_misc1ff_n_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_brqrv_dec_decode_misc1ff_g425 (
+	.A(brqrv_top_brqrv_dec_decode_postsync_stall),
+	.Y(brqrv_top_brqrv_dec_decode_misc1ff_n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_dec_decode_misc1ff_clkhdr_clkhdr (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dec_decode_misc1ff_en),
+	.GCLK(brqrv_top_brqrv_dec_decode_misc1ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_misc1ff_dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_decode_misc1ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_tlu_wr_pause_r1),
+	.Q(brqrv_top_brqrv_dec_decode_tlu_wr_pause_r2),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_misc1ff_dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_decode_misc1ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_tlu_wr_pause_r),
+	.Q(brqrv_top_brqrv_dec_decode_tlu_wr_pause_r1),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_misc1ff_dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_decode_misc1ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_ps_stall_in),
+	.Q(brqrv_top_brqrv_dec_decode_postsync_stall),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_misc1ff_dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_decode_misc1ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_illegal_lockout_in),
+	.Q(brqrv_top_brqrv_dec_decode_illegal_lockout),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_misc1ff_dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_decode_misc1ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_pause_state_in),
+	.Q(brqrv_top_brqrv_dec_dec_pause_state),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_misc1ff_dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_decode_misc1ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_tlu_flush_extint),
+	.Q(brqrv_top_brqrv_dec_extint_stall),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_misc1ff_dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_decode_misc1ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_leak1_i0_stall_in),
+	.Q(brqrv_top_brqrv_dec_decode_leak1_i0_stall),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_misc1ff_dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_decode_misc1ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_leak1_i1_stall_in),
+	.Q(brqrv_top_brqrv_dec_decode_leak1_mode),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 brqrv_top_brqrv_dec_decode_misc2ff_g417__7410 (
+	.A1(brqrv_top_brqrv_dec_decode_misc2ff_n_0),
+	.A2(brqrv_top_brqrv_lsu_pmu_misaligned_m),
+	.B1(brqrv_top_brqrv_dec_decode_misc2ff_n_7),
+	.C1(brqrv_top_brqrv_dec_decode_misc2ff_n_9),
+	.D1(brqrv_top_brqrv_dec_decode_misc2ff_n_4),
+	.Y(brqrv_top_brqrv_dec_decode_misc2ff_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 brqrv_top_brqrv_dec_decode_misc2ff_g418__6417 (
+	.A1(brqrv_top_brqrv_lsu_pmu_misaligned_m),
+	.A2(brqrv_top_brqrv_dec_decode_misc2ff_n_0),
+	.B1(brqrv_top_brqrv_dec_decode_misc2ff_n_8),
+	.C1(brqrv_top_brqrv_dec_decode_misc2ff_n_2),
+	.Y(brqrv_top_brqrv_dec_decode_misc2ff_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 brqrv_top_brqrv_dec_decode_misc2ff_g419__5477 (
+	.A(brqrv_top_brqrv_dec_decode_misc2ff_n_1),
+	.B(brqrv_top_brqrv_dec_decode_misc2ff_n_6),
+	.C(brqrv_top_brqrv_dec_decode_misc2ff_n_3),
+	.D(brqrv_top_brqrv_dec_decode_misc2ff_n_5),
+	.Y(brqrv_top_brqrv_dec_decode_misc2ff_n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_decode_misc2ff_g420__2398 (
+	.A(brqrv_top_brqrv_dec_decode_debug_valid_x),
+	.B(brqrv_top_brqrv_dec_dec_debug_valid_d),
+	.Y(brqrv_top_brqrv_dec_decode_misc2ff_n_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_decode_misc2ff_g421__5107 (
+	.A(brqrv_top_brqrv_dec_decode_lsu_trigger_match_r[2]),
+	.B(brqrv_top_brqrv_lsu_trigger_match_m[2]),
+	.Y(brqrv_top_brqrv_dec_decode_misc2ff_n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_decode_misc2ff_g422__6260 (
+	.A(brqrv_top_brqrv_dec_decode_lsu_trigger_match_r[1]),
+	.B(brqrv_top_brqrv_lsu_trigger_match_m[1]),
+	.Y(brqrv_top_brqrv_dec_decode_misc2ff_n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_decode_misc2ff_g423__4319 (
+	.A(brqrv_top_brqrv_dec_decode_flush_final_r),
+	.B(brqrv_top_brqrv_exu_flush_final),
+	.Y(brqrv_top_brqrv_dec_decode_misc2ff_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_decode_misc2ff_g424__8428 (
+	.A(brqrv_top_brqrv_dec_decode_lsu_trigger_match_r[0]),
+	.B(brqrv_top_brqrv_lsu_trigger_match_m[0]),
+	.Y(brqrv_top_brqrv_dec_decode_misc2ff_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_decode_misc2ff_g425__5526 (
+	.A(brqrv_top_brqrv_dec_dec_div_active),
+	.B(brqrv_top_brqrv_dec_decode_div_active_in),
+	.X(brqrv_top_brqrv_dec_decode_misc2ff_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_decode_misc2ff_g426__6783 (
+	.A(brqrv_top_brqrv_dec_decode_lsu_trigger_match_r[3]),
+	.B(brqrv_top_brqrv_lsu_trigger_match_m[3]),
+	.Y(brqrv_top_brqrv_dec_decode_misc2ff_n_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_dec_decode_misc2ff_g427 (
+	.A(brqrv_top_brqrv_dec_decode_n_762),
+	.Y(brqrv_top_brqrv_dec_decode_misc2ff_n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_dec_decode_misc2ff_clkhdr_clkhdr (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dec_decode_misc2ff_en),
+	.GCLK(brqrv_top_brqrv_dec_decode_misc2ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_misc2ff_dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_decode_misc2ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_pmu_misaligned_m),
+	.Q(brqrv_top_brqrv_dec_decode_n_762),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_misc2ff_dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_decode_misc2ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_debug_valid_d),
+	.Q(brqrv_top_brqrv_dec_decode_debug_valid_x),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_misc2ff_dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_decode_misc2ff_l1clk),
+	.D(brqrv_top_brqrv_exu_flush_final),
+	.Q(brqrv_top_brqrv_dec_decode_flush_final_r),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_misc2ff_dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_decode_misc2ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_div_active_in),
+	.Q(brqrv_top_brqrv_dec_dec_div_active),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_misc2ff_dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_decode_misc2ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_trigger_match_m[0]),
+	.Q(brqrv_top_brqrv_dec_decode_lsu_trigger_match_r[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_misc2ff_dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_decode_misc2ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_trigger_match_m[1]),
+	.Q(brqrv_top_brqrv_dec_decode_lsu_trigger_match_r[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_misc2ff_dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_decode_misc2ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_trigger_match_m[2]),
+	.Q(brqrv_top_brqrv_dec_decode_lsu_trigger_match_r[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_misc2ff_dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_decode_misc2ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_trigger_match_m[3]),
+	.Q(brqrv_top_brqrv_dec_decode_lsu_trigger_match_r[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41a_1 brqrv_top_brqrv_dec_decode_r_d_ff_g673__3680 (
+	.A1(brqrv_top_brqrv_dec_decode_r_d_ff_n_5),
+	.A2(brqrv_top_brqrv_dec_decode_r_d_ff_n_9),
+	.A3(brqrv_top_brqrv_dec_decode_r_d_ff_n_14),
+	.A4(brqrv_top_brqrv_dec_decode_r_d_ff_n_15),
+	.B1(brqrv_top_brqrv_dec_ctl_en[0]),
+	.X(brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_final_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 brqrv_top_brqrv_dec_decode_r_d_ff_g674__1617 (
+	.A(brqrv_top_brqrv_dec_decode_r_d_ff_n_13),
+	.B(brqrv_top_brqrv_dec_decode_r_d_ff_n_4),
+	.C(brqrv_top_brqrv_dec_decode_r_d_ff_n_1),
+	.D(brqrv_top_brqrv_dec_decode_r_d_ff_n_2),
+	.Y(brqrv_top_brqrv_dec_decode_r_d_ff_n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 brqrv_top_brqrv_dec_decode_r_d_ff_g675__2802 (
+	.A(brqrv_top_brqrv_dec_decode_r_d_ff_n_3),
+	.B(brqrv_top_brqrv_dec_decode_r_d_ff_n_6),
+	.C(brqrv_top_brqrv_dec_decode_r_d_ff_n_12),
+	.D(brqrv_top_brqrv_dec_decode_r_d_ff_n_8),
+	.Y(brqrv_top_brqrv_dec_decode_r_d_ff_n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 brqrv_top_brqrv_dec_decode_r_d_ff_g676__1705 (
+	.A(brqrv_top_brqrv_dec_decode_r_d_ff_n_10),
+	.B(brqrv_top_brqrv_dec_decode_r_d_ff_n_7),
+	.C(brqrv_top_brqrv_dec_decode_r_d_ff_n_0),
+	.D(brqrv_top_brqrv_dec_decode_r_d_ff_n_11),
+	.Y(brqrv_top_brqrv_dec_decode_r_d_ff_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_dec_decode_r_d_ff_g677__5122 (
+	.A1_N(brqrv_top_brqrv_dec_decode_r_d[18]),
+	.A2_N(brqrv_top_brqrv_dec_decode_x_d[22]),
+	.B1(brqrv_top_brqrv_dec_decode_r_d[18]),
+	.B2(brqrv_top_brqrv_dec_decode_x_d[22]),
+	.Y(brqrv_top_brqrv_dec_decode_r_d_ff_n_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_dec_decode_r_d_ff_g678__8246 (
+	.A1_N(brqrv_top_brqrv_dec_decode_r_d[13]),
+	.A2_N(brqrv_top_brqrv_dec_decode_x_d[13]),
+	.B1(brqrv_top_brqrv_dec_decode_r_d[13]),
+	.B2(brqrv_top_brqrv_dec_decode_x_d[13]),
+	.Y(brqrv_top_brqrv_dec_decode_r_d_ff_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_decode_r_d_ff_g679__7098 (
+	.A(brqrv_top_brqrv_dec_decode_r_d[15]),
+	.B(brqrv_top_brqrv_dec_decode_x_d[15]),
+	.X(brqrv_top_brqrv_dec_decode_r_d_ff_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_decode_r_d_ff_g680__6131 (
+	.A(brqrv_top_brqrv_dec_dec_csr_wraddr_r[7]),
+	.B(brqrv_top_brqrv_dec_decode_x_d[16]),
+	.X(brqrv_top_brqrv_dec_decode_r_d_ff_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_decode_r_d_ff_g681__1881 (
+	.A(brqrv_top_brqrv_dec_decode_r_d[21]),
+	.B(brqrv_top_brqrv_dec_decode_x_d[21]),
+	.Y(brqrv_top_brqrv_dec_decode_r_d_ff_n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_decode_r_d_ff_g682__5115 (
+	.A(brqrv_top_brqrv_dec_decode_r_d[14]),
+	.B(brqrv_top_brqrv_dec_decode_x_d[14]),
+	.X(brqrv_top_brqrv_dec_decode_r_d_ff_n_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_decode_r_d_ff_g683__7482 (
+	.A(brqrv_top_brqrv_dec_decode_r_d[23]),
+	.B(brqrv_top_brqrv_dec_decode_x_d[23]),
+	.Y(brqrv_top_brqrv_dec_decode_r_d_ff_n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_decode_r_d_ff_g684__4733 (
+	.A(brqrv_top_brqrv_dec_decode_r_d[19]),
+	.B(brqrv_top_brqrv_dec_decode_x_d[19]),
+	.X(brqrv_top_brqrv_dec_decode_r_d_ff_n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_decode_r_d_ff_g685__6161 (
+	.A(brqrv_top_brqrv_dec_decode_r_d[11]),
+	.B(brqrv_top_brqrv_dec_decode_x_d[11]),
+	.Y(brqrv_top_brqrv_dec_decode_r_d_ff_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_decode_r_d_ff_g686__9315 (
+	.A(brqrv_top_brqrv_dec_decode_r_d[20]),
+	.B(brqrv_top_brqrv_dec_decode_x_d[20]),
+	.Y(brqrv_top_brqrv_dec_decode_r_d_ff_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_decode_r_d_ff_g687__9945 (
+	.A(brqrv_top_brqrv_dec_decode_r_d[10]),
+	.B(brqrv_top_brqrv_dec_decode_x_d[10]),
+	.Y(brqrv_top_brqrv_dec_decode_r_d_ff_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_decode_r_d_ff_g688__2883 (
+	.A(brqrv_top_brqrv_dec_decode_r_d[9]),
+	.B(brqrv_top_brqrv_dec_decode_x_d[9]),
+	.Y(brqrv_top_brqrv_dec_decode_r_d_ff_n_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_decode_r_d_ff_g689__2346 (
+	.A(brqrv_top_brqrv_dec_decode_r_d[12]),
+	.B(brqrv_top_brqrv_dec_decode_x_d[12]),
+	.X(brqrv_top_brqrv_dec_decode_r_d_ff_n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_decode_r_d_ff_dff_extra_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_ctl_en[0]),
+	.GCLK(brqrv_top_brqrv_dec_decode_r_d_ff_dff_extra_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_r_d_ff_dff_extra_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_decode_r_d_ff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_d[8]),
+	.Q(brqrv_top_brqrv_dec_dec_i0_waddr_r[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_r_d_ff_dff_extra_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_decode_r_d_ff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_d[7]),
+	.Q(brqrv_top_brqrv_dec_dec_i0_waddr_r[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_r_d_ff_dff_extra_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_decode_r_d_ff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_d[5]),
+	.Q(brqrv_top_brqrv_dec_dec_i0_waddr_r[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_r_d_ff_dff_extra_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_decode_r_d_ff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_d[4]),
+	.Q(brqrv_top_brqrv_dec_dec_i0_waddr_r[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_r_d_ff_dff_extra_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_decode_r_d_ff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_d[6]),
+	.Q(brqrv_top_brqrv_dec_dec_i0_waddr_r[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_r_d_ff_dff_extra_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_decode_r_d_ff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_d_in[0]),
+	.Q(brqrv_top_brqrv_dec_decode_r_d[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_r_d_ff_dff_extra_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_decode_r_d_ff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_d[3]),
+	.Q(brqrv_top_brqrv_dec_decode_r_d[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_r_d_ff_dff_extra_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_decode_r_d_ff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_d_in[1]),
+	.Q(brqrv_top_brqrv_dec_decode_r_d[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_r_d_ff_dff_extra_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_decode_r_d_ff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_d[2]),
+	.Q(brqrv_top_brqrv_dec_decode_r_d[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_dff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_final_en),
+	.GCLK(brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_dff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_dff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_d[23]),
+	.Q(brqrv_top_brqrv_dec_decode_r_d[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_dff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_d[9]),
+	.Q(brqrv_top_brqrv_dec_decode_r_d[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_dff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_d[21]),
+	.Q(brqrv_top_brqrv_dec_decode_r_d[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_dff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_d[13]),
+	.Q(brqrv_top_brqrv_dec_decode_r_d[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_dff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_d[14]),
+	.Q(brqrv_top_brqrv_dec_decode_r_d[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_dff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_d[15]),
+	.Q(brqrv_top_brqrv_dec_decode_r_d[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_dff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_d[16]),
+	.Q(brqrv_top_brqrv_dec_dec_csr_wraddr_r[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_dff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_d[22]),
+	.Q(brqrv_top_brqrv_dec_decode_r_d[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_dff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_d[19]),
+	.Q(brqrv_top_brqrv_dec_decode_r_d[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_dff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_d[20]),
+	.Q(brqrv_top_brqrv_dec_decode_r_d[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_dff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_d[10]),
+	.Q(brqrv_top_brqrv_dec_decode_r_d[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_dff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_d[11]),
+	.Q(brqrv_top_brqrv_dec_decode_r_d[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_dff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_decode_r_d_ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_d[12]),
+	.Q(brqrv_top_brqrv_dec_decode_r_d[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_decode_trap_r_ff_dff_extra_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_ctl_en[1]),
+	.GCLK(brqrv_top_brqrv_dec_decode_trap_r_ff_dff_extra_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_trap_r_ff_dff_extra_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_decode_trap_r_ff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_t[7]),
+	.Q(brqrv_top_brqrv_dec_decode_r_t[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_trap_r_ff_dff_extra_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_decode_trap_r_ff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_t[0]),
+	.Q(brqrv_top_brqrv_dec_decode_r_t[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_trap_r_ff_dff_extra_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_decode_trap_r_ff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_t[2]),
+	.Q(brqrv_top_brqrv_dec_decode_r_t[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_trap_r_ff_dff_extra_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_decode_trap_r_ff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_t[3]),
+	.Q(brqrv_top_brqrv_dec_decode_r_t[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_trap_r_ff_dff_extra_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_decode_trap_r_ff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_t[5]),
+	.Q(brqrv_top_brqrv_dec_decode_r_t[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_trap_r_ff_dff_extra_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_decode_trap_r_ff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_t[1]),
+	.Q(brqrv_top_brqrv_dec_decode_r_t[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_decode_trap_r_ff_dff_left_dff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_decode_trap_r_ff_dff_left_final_en),
+	.GCLK(brqrv_top_brqrv_dec_decode_trap_r_ff_dff_left_dff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_trap_r_ff_dff_left_dff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_decode_trap_r_ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_t[15]),
+	.Q(brqrv_top_brqrv_dec_decode_r_t[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_trap_r_ff_dff_left_dff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_decode_trap_r_ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_t[14]),
+	.Q(brqrv_top_brqrv_dec_decode_r_t[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_trap_r_ff_dff_left_dff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_decode_trap_r_ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_t_in[8]),
+	.Q(brqrv_top_brqrv_dec_decode_r_t[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_trap_r_ff_dff_left_dff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_decode_trap_r_ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_t_in[9]),
+	.Q(brqrv_top_brqrv_dec_decode_r_t[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_trap_r_ff_dff_left_dff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_decode_trap_r_ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_t_in[10]),
+	.Q(brqrv_top_brqrv_dec_decode_r_t[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_trap_r_ff_dff_left_dff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_decode_trap_r_ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_t_in[11]),
+	.Q(brqrv_top_brqrv_dec_decode_r_t[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_trap_r_ff_dff_left_dff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_decode_trap_r_ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_t[12]),
+	.Q(brqrv_top_brqrv_dec_decode_r_t[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_trap_r_ff_dff_left_dff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_decode_trap_r_ff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_x_t[16]),
+	.Q(brqrv_top_brqrv_dec_decode_r_t[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_decode_trap_xff_dff_extra_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_ctl_en[1]),
+	.GCLK(brqrv_top_brqrv_dec_decode_trap_xff_dff_extra_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_trap_xff_dff_extra_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_decode_trap_xff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_d_t[0]),
+	.Q(brqrv_top_brqrv_dec_decode_x_t[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_trap_xff_dff_extra_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_decode_trap_xff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_d_t[1]),
+	.Q(brqrv_top_brqrv_dec_decode_x_t[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_trap_xff_dff_extra_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_decode_trap_xff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_d_t[2]),
+	.Q(brqrv_top_brqrv_dec_decode_x_t[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_trap_xff_dff_extra_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_decode_trap_xff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_d_t[3]),
+	.Q(brqrv_top_brqrv_dec_decode_x_t[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_trap_xff_dff_extra_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_decode_trap_xff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_d_t[5]),
+	.Q(brqrv_top_brqrv_dec_decode_x_t[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_trap_xff_dff_extra_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_decode_trap_xff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_i0_br_unpred),
+	.Q(brqrv_top_brqrv_dec_decode_x_t[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_decode_trap_xff_dff_left_dff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_decode_trap_xff_dff_left_final_en),
+	.GCLK(brqrv_top_brqrv_dec_decode_trap_xff_dff_left_dff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_trap_xff_dff_left_dff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_decode_trap_xff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_d_t[9]),
+	.Q(brqrv_top_brqrv_dec_decode_x_t[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_trap_xff_dff_left_dff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_decode_trap_xff_dff_left_dff_l1clk),
+	.D(n_39313),
+	.Q(brqrv_top_brqrv_dec_decode_x_t[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_trap_xff_dff_left_dff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_decode_trap_xff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_d_t[16]),
+	.Q(brqrv_top_brqrv_dec_decode_x_t[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_trap_xff_dff_left_dff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_decode_trap_xff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_d_t[8]),
+	.Q(brqrv_top_brqrv_dec_decode_x_t[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_trap_xff_dff_left_dff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_decode_trap_xff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_d_t[11]),
+	.Q(brqrv_top_brqrv_dec_decode_x_t[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_trap_xff_dff_left_dff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_decode_trap_xff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_icaf_type[1]),
+	.Q(brqrv_top_brqrv_dec_decode_x_t[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_trap_xff_dff_left_dff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_decode_trap_xff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_d_t[12]),
+	.Q(brqrv_top_brqrv_dec_decode_x_t[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_trap_xff_dff_left_dff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_decode_trap_xff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_d_t[10]),
+	.Q(brqrv_top_brqrv_dec_decode_x_t[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41a_1 brqrv_top_brqrv_dec_decode_wbff_dff_left_g674__1666 (
+	.A1(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_5),
+	.A2(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_9),
+	.A3(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_14),
+	.A4(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_15),
+	.B1(brqrv_top_brqrv_dec_decode_i0_wb_ctl_en),
+	.X(brqrv_top_brqrv_dec_decode_wbff_dff_left_final_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 brqrv_top_brqrv_dec_decode_wbff_dff_left_g675__7410 (
+	.A(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_13),
+	.B(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_4),
+	.C(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_1),
+	.D(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_2),
+	.Y(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 brqrv_top_brqrv_dec_decode_wbff_dff_left_g676__6417 (
+	.A(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_3),
+	.B(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_8),
+	.C(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_6),
+	.D(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_12),
+	.Y(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 brqrv_top_brqrv_dec_decode_wbff_dff_left_g677__5477 (
+	.A(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_10),
+	.B(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_7),
+	.C(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_0),
+	.D(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_11),
+	.Y(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_dec_decode_wbff_dff_left_g678__2398 (
+	.A1_N(brqrv_top_brqrv_dec_decode_wbd[21]),
+	.A2_N(brqrv_top_brqrv_dec_decode_r_d[21]),
+	.B1(brqrv_top_brqrv_dec_decode_wbd[21]),
+	.B2(brqrv_top_brqrv_dec_decode_r_d[21]),
+	.Y(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_dec_decode_wbff_dff_left_g679__5107 (
+	.A1_N(\brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[3]_83 ),
+	.A2_N(brqrv_top_brqrv_dec_decode_r_d[12]),
+	.B1(\brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[3]_83 ),
+	.B2(brqrv_top_brqrv_dec_decode_r_d[12]),
+	.Y(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_decode_wbff_dff_left_g680__6260 (
+	.A(\brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[6]_86 ),
+	.B(brqrv_top_brqrv_dec_decode_r_d[15]),
+	.X(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_decode_wbff_dff_left_g681__4319 (
+	.A(\brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[7]_87 ),
+	.B(brqrv_top_brqrv_dec_dec_csr_wraddr_r[7]),
+	.X(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_decode_wbff_dff_left_g682__8428 (
+	.A(\brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[8]_88 ),
+	.B(brqrv_top_brqrv_dec_decode_r_d[18]),
+	.Y(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_decode_wbff_dff_left_g683__5526 (
+	.A(\brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[5]_85 ),
+	.B(brqrv_top_brqrv_dec_decode_r_d[14]),
+	.X(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_decode_wbff_dff_left_g684__6783 (
+	.A(\brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[14]_94 ),
+	.B(brqrv_top_brqrv_dec_decode_r_d[23]),
+	.Y(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_decode_wbff_dff_left_g685__3680 (
+	.A(\brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[10]_90 ),
+	.B(brqrv_top_brqrv_dec_decode_r_d[19]),
+	.X(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_decode_wbff_dff_left_g686__1617 (
+	.A(\brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[2]_82 ),
+	.B(brqrv_top_brqrv_dec_decode_r_d[11]),
+	.Y(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_decode_wbff_dff_left_g687__2802 (
+	.A(\brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[11]_91 ),
+	.B(brqrv_top_brqrv_dec_decode_r_d[20]),
+	.Y(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_decode_wbff_dff_left_g688__1705 (
+	.A(\brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[1]_81 ),
+	.B(brqrv_top_brqrv_dec_decode_r_d[10]),
+	.Y(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_decode_wbff_dff_left_g689__5122 (
+	.A(\brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[0]_80 ),
+	.B(brqrv_top_brqrv_dec_decode_r_d[9]),
+	.Y(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_decode_wbff_dff_left_g690__8246 (
+	.A(\brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[4]_84 ),
+	.B(brqrv_top_brqrv_dec_decode_r_d[13]),
+	.X(brqrv_top_brqrv_dec_decode_wbff_dff_left_n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_decode_wbff_dff_left_final_en),
+	.GCLK(brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_r_d[23]),
+	.Q(\brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[14]_94 ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_r_d[18]),
+	.Q(\brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[8]_88 ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_r_d[20]),
+	.Q(\brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[11]_91 ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_r_d[15]),
+	.Q(\brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[6]_86 ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_r_d[14]),
+	.Q(\brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[5]_85 ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_r_d[19]),
+	.Q(\brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[10]_90 ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_r_d[9]),
+	.Q(\brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[0]_80 ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_r_d[12]),
+	.Q(\brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[3]_83 ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_r_d[21]),
+	.Q(brqrv_top_brqrv_dec_decode_wbd[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_r_d[11]),
+	.Q(\brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[2]_82 ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_r_d[10]),
+	.Q(\brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[1]_81 ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wraddr_r[7]),
+	.Q(\brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[7]_87 ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_r_d[13]),
+	.Q(\brqrv_top_brqrv_dec_decode_wbff_dff_left_dff_genblock.dff_dout[4]_84 ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_2 brqrv_top_brqrv_dec_decode_wbnbloaddelayff_g30__7098 (
+	.A0(brqrv_top_brqrv_dec_decode_nonblock_load_valid_m_delay),
+	.A1(brqrv_top_brqrv_lsu_nonblock_load_valid_m),
+	.S(brqrv_top_brqrv_dec_ctl_en[0]),
+	.X(brqrv_top_brqrv_dec_decode_wbnbloaddelayff_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_wbnbloaddelayff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_dec_decode_wbnbloaddelayff_n_2),
+	.Q(brqrv_top_brqrv_dec_decode_nonblock_load_valid_m_delay),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dec_decode_csr_data_wen),
+	.GCLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[12]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[1]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[2]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[3]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[4]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[27]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[5]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[6]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[7]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[23]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[8]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[9]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[10]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[19]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[11]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[0]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[31]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[14]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[15]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[16]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[17]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[18]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[20]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[21]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[22]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[24]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[25]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[26]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[28]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[29]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[30]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_decode_write_csr_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_decode_write_csr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_decode_write_csr_data_in[13]),
+	.Q(brqrv_top_brqrv_dec_decode_write_csr_data[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_dec_tlu_csrwr_r_cgc_clkhdr (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_n_3270),
+	.GCLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_dcsr_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dec_tlu_n_3388),
+	.GCLK(brqrv_top_brqrv_dec_tlu_dcsr_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dcsr_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dcsr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dcsr_ns[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_dcsr[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dcsr_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dcsr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dcsr_ns[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_dcsr[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dcsr_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dcsr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dcsr_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_dcsr[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dcsr_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dcsr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dcsr_ns[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_dcsr[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dcsr_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dcsr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dcsr_ns[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_dcsr[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dcsr_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dcsr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dcsr_ns[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_dcsr[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dcsr_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dcsr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dcsr_ns[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_dcsr[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dcsr_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dcsr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dcsr_ns[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_dcsr[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_n_3390),
+	.GCLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[16]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[23]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[22]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[21]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[20]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[19]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[18]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[17]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0_ns[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_n_3391),
+	.GCLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[16]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[23]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[22]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[21]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[20]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[19]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[18]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[17]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicad0h_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicad0h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dicad0h_ns[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad0h[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_dicawics_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_wr_dicawics_r),
+	.GCLK(brqrv_top_brqrv_dec_tlu_dicawics_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicawics_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicawics_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicawics[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicawics_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicawics_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[21]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicawics[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicawics_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicawics_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[16]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicawics[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicawics_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicawics_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicawics[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicawics_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicawics_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_ic_diag_pkt[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicawics_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicawics_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicawics[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicawics_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicawics_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicawics[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicawics_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicawics_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicawics[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicawics_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicawics_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicawics[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicawics_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicawics_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicawics[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicawics_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicawics_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[20]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicawics[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicawics_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicawics_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicawics[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicawics_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicawics_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicawics[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicawics_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicawics_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicawics[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicawics_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicawics_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicawics[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicawics_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicawics_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicawics[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dicawics_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dicawics_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicawics[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_n_3389),
+	.GCLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dpc_ns[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_dpc[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk),
+	.D(n_39295),
+	.Q(brqrv_top_brqrv_dec_tlu_dpc[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dpc_ns[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_dpc[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dpc_ns[19]),
+	.Q(brqrv_top_brqrv_dec_tlu_dpc[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dpc_ns[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_dpc[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk),
+	.D(n_39297),
+	.Q(brqrv_top_brqrv_dec_tlu_dpc[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk),
+	.D(n_39289),
+	.Q(brqrv_top_brqrv_dec_tlu_dpc[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dpc_ns[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_dpc[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dpc_ns[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_dpc[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dpc_ns[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_dpc[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk),
+	.D(n_39291),
+	.Q(brqrv_top_brqrv_dec_tlu_dpc[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk),
+	.D(n_39287),
+	.Q(brqrv_top_brqrv_dec_tlu_dpc[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dpc_ns[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_dpc[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk),
+	.D(n_39293),
+	.Q(brqrv_top_brqrv_dec_tlu_dpc[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dpc_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_dpc[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk),
+	.D(n_39285),
+	.Q(brqrv_top_brqrv_dec_tlu_dpc[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dpc_ns[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_dpc[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk),
+	.D(n_39283),
+	.Q(brqrv_top_brqrv_dec_tlu_dpc[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dpc_ns[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_dpc[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dpc_ns[20]),
+	.Q(brqrv_top_brqrv_dec_tlu_dpc[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dpc_ns[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_dpc[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dpc_ns[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_dpc[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dpc_ns[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_dpc[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dpc_ns[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_dpc[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dpc_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_dpc[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dpc_ns[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_dpc[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dpc_ns[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_dpc[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dpc_ns[23]),
+	.Q(brqrv_top_brqrv_dec_tlu_dpc[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dpc_ns[22]),
+	.Q(brqrv_top_brqrv_dec_tlu_dpc[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dpc_ns[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_dpc[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_dpc_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_dpc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dpc_ns[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_dpc[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_dec_tlu_e4e5_cgc_clkhdr (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_n_3271),
+	.GCLK(brqrv_top_brqrv_dec_tlu_e4e5_clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_dec_tlu_e4e5_int_cgc_clkhdr (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_n_3272),
+	.GCLK(brqrv_top_brqrv_dec_tlu_e4e5_int_clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_clkhdr_clkhdr (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_en),
+	.GCLK(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_exc_cause_r[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_n_22),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_exc_cause_r[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_n_24),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_i0_exception_valid_r),
+	.Q(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_n_26),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_i0_trigger_hit_raw_r),
+	.Q(brqrv_top_brqrv_dec_tlu_trigger_hit_r_d1),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_exc_cause_r[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_n_23),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_exc_cause_r[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_n_21),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pause_expired_r),
+	.Q(brqrv_top_brqrv_dec_tlu_pause_expired_wb),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_exc_cause_r[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_n_20),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_n_3314),
+	.Q(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_n_19),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_take_nmi),
+	.Q(brqrv_top_brqrv_dec_tlu_take_nmi_r_d1),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_exc_or_int_valid_r),
+	.Q(brqrv_top_brqrv_dec_tlu_exc_or_int_valid_r_d1),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_excinfo_wb_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_interrupt_valid_r),
+	.Q(brqrv_top_brqrv_dec_tlu_interrupt_valid_r_d1),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_exctype_wb_ff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_e4e5_clk),
+	.D(n_11466),
+	.Q(brqrv_top_brqrv_dec_tlu_ebreak_to_debug_mode_r_d1),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_brqrv_dec_tlu_exthaltff_g279__6131 (
+	.A1(brqrv_top_brqrv_n_578),
+	.A2(brqrv_top_brqrv_dec_tlu_exthaltff_n_0),
+	.B1(brqrv_top_brqrv_dec_tlu_exthaltff_n_7),
+	.Y(brqrv_top_brqrv_dec_tlu_exthaltff_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 brqrv_top_brqrv_dec_tlu_exthaltff_g280__1881 (
+	.A(brqrv_top_brqrv_dec_tlu_exthaltff_n_4),
+	.B(brqrv_top_brqrv_dec_tlu_exthaltff_n_5),
+	.C(brqrv_top_brqrv_dec_tlu_exthaltff_n_3),
+	.D(brqrv_top_brqrv_dec_tlu_exthaltff_n_6),
+	.Y(brqrv_top_brqrv_dec_tlu_exthaltff_n_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 brqrv_top_brqrv_dec_tlu_exthaltff_g281__5115 (
+	.A1(brqrv_top_brqrv_dec_tlu_exthaltff_n_0),
+	.A2(brqrv_top_brqrv_n_578),
+	.B1(brqrv_top_brqrv_dec_tlu_exthaltff_n_2),
+	.C1(brqrv_top_brqrv_dec_tlu_exthaltff_n_1),
+	.X(brqrv_top_brqrv_dec_tlu_exthaltff_n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_dec_tlu_exthaltff_g282__7482 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_pmu_fw_halt_req_f),
+	.A2_N(brqrv_top_brqrv_dec_tlu_pmu_fw_halt_req_ns),
+	.B1(brqrv_top_brqrv_dec_tlu_pmu_fw_halt_req_f),
+	.B2(brqrv_top_brqrv_dec_tlu_pmu_fw_halt_req_ns),
+	.Y(brqrv_top_brqrv_dec_tlu_exthaltff_n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_tlu_exthaltff_g283__4733 (
+	.A(brqrv_top_brqrv_dec_tlu_dec_tlu_pmu_fw_halted),
+	.B(brqrv_top_brqrv_dec_tlu_pmu_fw_tlu_halted),
+	.X(brqrv_top_brqrv_dec_tlu_exthaltff_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_tlu_exthaltff_g284__6161 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timer0_int_hold_f),
+	.B(brqrv_top_brqrv_dec_tlu_int_timer0_int_hold),
+	.X(brqrv_top_brqrv_dec_tlu_exthaltff_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_tlu_exthaltff_g285__9315 (
+	.A(brqrv_top_brqrv_dec_tlu_internal_pmu_fw_halt_mode_f),
+	.B(brqrv_top_brqrv_dec_tlu_internal_pmu_fw_halt_mode),
+	.X(brqrv_top_brqrv_dec_tlu_exthaltff_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_tlu_exthaltff_g286__9945 (
+	.A(brqrv_top_brqrv_dec_tlu_int_timer1_int_hold_f),
+	.B(brqrv_top_brqrv_dec_tlu_int_timer1_int_hold),
+	.X(brqrv_top_brqrv_dec_tlu_exthaltff_n_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_dec_tlu_exthaltff_g287 (
+	.A(brqrv_top_brqrv_dec_tlu_cpu_halt_status),
+	.Y(brqrv_top_brqrv_dec_tlu_exthaltff_n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_dec_tlu_exthaltff_clkhdr_clkhdr (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dec_tlu_exthaltff_en),
+	.GCLK(brqrv_top_brqrv_dec_tlu_exthaltff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_exthaltff_dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_exthaltff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timer1_int_hold),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timer1_int_hold_f),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_exthaltff_dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_exthaltff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timer0_int_hold),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timer0_int_hold_f),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_exthaltff_dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_exthaltff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pmu_fw_tlu_halted),
+	.Q(brqrv_top_brqrv_dec_tlu_dec_tlu_pmu_fw_halted),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_exthaltff_dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_exthaltff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pmu_fw_halt_req_ns),
+	.Q(brqrv_top_brqrv_dec_tlu_pmu_fw_halt_req_f),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_exthaltff_dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_exthaltff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_internal_pmu_fw_halt_mode),
+	.Q(brqrv_top_brqrv_dec_tlu_internal_pmu_fw_halt_mode_f),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_exthaltff_dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_exthaltff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_cpu_halt_status),
+	.Q(brqrv_top_brqrv_n_578),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_extra_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_flush_lower_r),
+	.GCLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_extra_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_extra_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_path_r[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_extra_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_path_r[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_extra_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_path_r[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_extra_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_path_r[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_extra_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_path_r[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_extra_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_path_r[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_extra_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_path_r[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_extra_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_path_r[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_extra_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_path_r[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_extra_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_path_r[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_extra_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_path_r[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_extra_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_path_r[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_final_en),
+	.GCLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_path_r[19]),
+	.Q(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_path_r[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_path_r[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_path_r[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_path_r[16]),
+	.Q(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_path_r[17]),
+	.Q(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_path_r[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_path_r[18]),
+	.Q(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_path_r[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_path_r[20]),
+	.Q(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_path_r[21]),
+	.Q(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_path_r[22]),
+	.Q(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_path_r[23]),
+	.Q(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_path_r[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_path_r[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_path_r[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_path_r[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_path_r[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_flush_lower_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_path_r[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_tlu_flush_path_r_d1[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_mfdht[0]),
+	.GCLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[23]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[19]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[16]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[17]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[18]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[20]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[21]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[22]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_forcehaltctr_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_ctr[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt_ctr_f[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 brqrv_top_brqrv_dec_tlu_freeff_g373__2883 (
+	.A(brqrv_top_brqrv_dec_tlu_freeff_n_9),
+	.B(brqrv_top_brqrv_dec_tlu_freeff_n_8),
+	.C(brqrv_top_brqrv_dec_tlu_freeff_n_3),
+	.D(brqrv_top_brqrv_dec_tlu_freeff_n_4),
+	.Y(brqrv_top_brqrv_dec_tlu_freeff_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 brqrv_top_brqrv_dec_tlu_freeff_g374__2346 (
+	.A(brqrv_top_brqrv_dec_tlu_freeff_n_7),
+	.B(brqrv_top_brqrv_dec_tlu_freeff_n_0),
+	.C(brqrv_top_brqrv_dec_tlu_freeff_n_2),
+	.D(brqrv_top_brqrv_dec_tlu_freeff_n_1),
+	.Y(brqrv_top_brqrv_dec_tlu_freeff_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_dec_tlu_freeff_g375__1666 (
+	.A(brqrv_top_brqrv_dec_tlu_freeff_n_5),
+	.B(brqrv_top_brqrv_dec_tlu_freeff_n_6),
+	.Y(brqrv_top_brqrv_dec_tlu_freeff_n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_tlu_freeff_g376__7410 (
+	.A(brqrv_top_brqrv_dec_tlu_lsu_pmu_store_external_r),
+	.B(brqrv_top_brqrv_lsu_pmu_store_external_m),
+	.X(brqrv_top_brqrv_dec_tlu_freeff_n_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_tlu_freeff_g377__6417 (
+	.A(brqrv_top_brqrv_dec_dec_tlu_i0_kill_writeb_wb),
+	.B(brqrv_top_brqrv_dec_tlu_i0_kill_writeb_r),
+	.X(brqrv_top_brqrv_dec_tlu_freeff_n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_dec_tlu_freeff_g378__5477 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_internal_dbg_halt_mode_f2),
+	.A2_N(brqrv_top_brqrv_dec_tlu_debug_mode),
+	.B1(brqrv_top_brqrv_dec_tlu_internal_dbg_halt_mode_f2),
+	.B2(brqrv_top_brqrv_dec_tlu_debug_mode),
+	.Y(brqrv_top_brqrv_dec_tlu_freeff_n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_tlu_freeff_g379__2398 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt),
+	.B(brqrv_top_brqrv_dec_tlu_force_halt_4648),
+	.Y(brqrv_top_brqrv_dec_tlu_freeff_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_tlu_freeff_g380__5107 (
+	.A(brqrv_top_brqrv_dec_tlu_e5_valid),
+	.B(brqrv_top_brqrv_dec_dec_tlu_i0_valid_r),
+	.Y(brqrv_top_brqrv_dec_tlu_freeff_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_tlu_freeff_g381__6260 (
+	.A(brqrv_top_brqrv_dec_tlu_debug_mode),
+	.B(brqrv_top_brqrv_dec_tlu_internal_dbg_halt_mode),
+	.X(brqrv_top_brqrv_dec_tlu_freeff_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_tlu_freeff_g382__4319 (
+	.A(brqrv_top_brqrv_dec_tlu_flush_lower_wb),
+	.B(brqrv_top_brqrv_dec_tlu_flush_lower_r),
+	.X(brqrv_top_brqrv_dec_tlu_freeff_n_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_tlu_freeff_g383__8428 (
+	.A(brqrv_top_brqrv_dec_tlu_lsu_pmu_load_external_r),
+	.B(brqrv_top_brqrv_lsu_pmu_load_external_m),
+	.X(brqrv_top_brqrv_dec_tlu_freeff_n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_dec_tlu_freeff_clkhdr_clkhdr (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dec_tlu_freeff_en),
+	.GCLK(brqrv_top_brqrv_dec_tlu_freeff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_freeff_dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_freeff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_debug_mode),
+	.Q(brqrv_top_brqrv_dec_tlu_internal_dbg_halt_mode_f2),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_freeff_dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_freeff_l1clk),
+	.D(brqrv_top_brqrv_lsu_pmu_store_external_m),
+	.Q(brqrv_top_brqrv_dec_tlu_lsu_pmu_store_external_r),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_freeff_dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_freeff_l1clk),
+	.D(brqrv_top_brqrv_lsu_pmu_load_external_m),
+	.Q(brqrv_top_brqrv_dec_tlu_lsu_pmu_load_external_r),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_freeff_dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_freeff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_tlu_i0_valid_r),
+	.Q(brqrv_top_brqrv_dec_tlu_e5_valid),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_freeff_dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_freeff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_i0_kill_writeb_r),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_i0_kill_writeb_wb),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_freeff_dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_freeff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_force_halt_4648),
+	.Q(brqrv_top_brqrv_dec_tlu_force_halt),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_freeff_dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_freeff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_lower_r),
+	.Q(brqrv_top_brqrv_dec_tlu_flush_lower_wb),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_freeff_dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_freeff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_internal_dbg_halt_mode),
+	.Q(brqrv_top_brqrv_dec_tlu_debug_mode),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_genblk4.dicad1_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_n_3392),
+	.GCLK(\brqrv_top_brqrv_dec_tlu_genblk4.dicad1_ff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk4.dicad1_ff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk4.dicad1_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_dicad1_ns[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad1_raw[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk4.dicad1_ff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk4.dicad1_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_dicad1_ns[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad1_raw[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk4.dicad1_ff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk4.dicad1_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_dicad1_ns[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad1_raw[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk4.dicad1_ff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk4.dicad1_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_dicad1_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad1_raw[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk4.dicad1_ff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk4.dicad1_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_dicad1_ns[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad1_raw[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk4.dicad1_ff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk4.dicad1_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_dicad1_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad1_raw[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk4.dicad1_ff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk4.dicad1_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_dicad1_ns[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_dicad1_raw[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_en ),
+	.GCLK(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_perfcnt_halted),
+	.Q(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_n_37 ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc_inc_r[2]),
+	.Q(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_n_40 ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc_inc_r[3]),
+	.Q(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_n_41 ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_take_ext_int_start_d2),
+	.Q(brqrv_top_brqrv_dec_tlu_take_ext_int_start_d3),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_icache_wr_valid),
+	.Q(brqrv_top_brqrv_dec_tlu_ic_diag_pkt[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_icache_rd_valid),
+	.Q(brqrv_top_brqrv_dec_tlu_ic_diag_pkt[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc_inc_r[1]),
+	.Q(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_n_39 ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_minstret_enable),
+	.Q(brqrv_top_brqrv_dec_tlu_minstret_enable_f),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_n_3397),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel_cout_f),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_minstretl_cout_ns),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl_cout_f),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_lsu_i0_exc_r),
+	.Q(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_n_62 ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc_inc_r[0]),
+	.Q(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_n_38 ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_take_ext_int_start_d1),
+	.Q(brqrv_top_brqrv_dec_tlu_take_ext_int_start_d2),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_meicidpl_ns[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_meicidpl[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_mstatus_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_mstatus[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_meicidpl_ns[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_meicidpl[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_ext_int_freeze),
+	.Q(brqrv_top_brqrv_dec_tlu_ext_int_freeze_d1),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_meicidpl_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_meicidpl[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_meicidpl_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_meicidpl[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_mstatus_ns[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_mstatus[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_mdseac_locked_ns),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac_locked_f),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_l1clk ),
+	.D(brqrv_top_brqrv_mexintpend),
+	.Q(brqrv_top_brqrv_dec_tlu_mip[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_dec_timer_t1_pulse),
+	.Q(brqrv_top_brqrv_dec_tlu_mip[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_dec_timer_t0_pulse),
+	.Q(brqrv_top_brqrv_dec_tlu_mip[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_ce_int),
+	.Q(brqrv_top_brqrv_dec_tlu_mip[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_dec_tlu_flush_extint),
+	.Q(brqrv_top_brqrv_dec_tlu_take_ext_int_start_d1),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dec_tlu_genblk7.mstatus_ff_l1clk ),
+	.D(brqrv_top_brqrv_dec_tlu_fw_halted_ns),
+	.Q(brqrv_top_brqrv_dec_tlu_fw_halted),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 brqrv_top_brqrv_dec_tlu_halt_ff_g1029__5526 (
+	.A1(brqrv_top_brqrv_dec_tlu_dec_tlu_flush_pause_r_d1),
+	.A2(n_30466),
+	.B1(brqrv_top_brqrv_dec_tlu_halt_ff_n_3),
+	.C1(brqrv_top_brqrv_dec_tlu_halt_ff_n_19),
+	.D1(brqrv_top_brqrv_dec_tlu_halt_ff_n_23),
+	.Y(brqrv_top_brqrv_dec_tlu_halt_ff_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 brqrv_top_brqrv_dec_tlu_halt_ff_g1030__6783 (
+	.A1(n_30466),
+	.A2(brqrv_top_brqrv_dec_tlu_dec_tlu_flush_pause_r_d1),
+	.B1(brqrv_top_brqrv_dec_tlu_halt_ff_n_21),
+	.C1(brqrv_top_brqrv_dec_tlu_halt_ff_n_22),
+	.Y(brqrv_top_brqrv_dec_tlu_halt_ff_n_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 brqrv_top_brqrv_dec_tlu_halt_ff_g1031__3680 (
+	.A(brqrv_top_brqrv_dec_tlu_halt_ff_n_13),
+	.B(brqrv_top_brqrv_dec_tlu_halt_ff_n_20),
+	.C(brqrv_top_brqrv_dec_tlu_halt_ff_n_16),
+	.D(brqrv_top_brqrv_dec_tlu_halt_ff_n_18),
+	.Y(brqrv_top_brqrv_dec_tlu_halt_ff_n_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 brqrv_top_brqrv_dec_tlu_halt_ff_g1032__1617 (
+	.A(brqrv_top_brqrv_dec_tlu_halt_ff_n_6),
+	.B(brqrv_top_brqrv_dec_tlu_halt_ff_n_2),
+	.C(brqrv_top_brqrv_dec_tlu_halt_ff_n_15),
+	.D(brqrv_top_brqrv_dec_tlu_halt_ff_n_7),
+	.Y(brqrv_top_brqrv_dec_tlu_halt_ff_n_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 brqrv_top_brqrv_dec_tlu_halt_ff_g1033__2802 (
+	.A(brqrv_top_brqrv_dec_tlu_halt_ff_n_14),
+	.B(brqrv_top_brqrv_dec_tlu_halt_ff_n_17),
+	.C(brqrv_top_brqrv_dec_tlu_halt_ff_n_1),
+	.D(brqrv_top_brqrv_dec_tlu_halt_ff_n_4),
+	.Y(brqrv_top_brqrv_dec_tlu_halt_ff_n_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 brqrv_top_brqrv_dec_tlu_halt_ff_g1034__1705 (
+	.A(brqrv_top_brqrv_dec_tlu_halt_ff_n_5),
+	.B(brqrv_top_brqrv_dec_tlu_halt_ff_n_11),
+	.C(brqrv_top_brqrv_dec_tlu_halt_ff_n_12),
+	.D(brqrv_top_brqrv_dec_tlu_halt_ff_n_9),
+	.Y(brqrv_top_brqrv_dec_tlu_halt_ff_n_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_dec_tlu_halt_ff_g1035__5122 (
+	.A(brqrv_top_brqrv_dec_tlu_halt_ff_n_10),
+	.B(brqrv_top_brqrv_dec_tlu_halt_ff_n_8),
+	.Y(brqrv_top_brqrv_dec_tlu_halt_ff_n_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_dec_tlu_halt_ff_g1036__8246 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_debug_resume_req_f_raw),
+	.A2_N(brqrv_top_brqrv_dec_tlu_debug_resume_req),
+	.B1(brqrv_top_brqrv_dec_tlu_debug_resume_req_f_raw),
+	.B2(brqrv_top_brqrv_dec_tlu_debug_resume_req),
+	.Y(brqrv_top_brqrv_dec_tlu_halt_ff_n_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_dec_tlu_halt_ff_g1037__7098 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_ifu_miss_state_idle_f),
+	.A2_N(brqrv_top_brqrv_ifu_miss_state_idle),
+	.B1(brqrv_top_brqrv_dec_tlu_ifu_miss_state_idle_f),
+	.B2(brqrv_top_brqrv_ifu_miss_state_idle),
+	.Y(brqrv_top_brqrv_dec_tlu_halt_ff_n_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_dec_tlu_halt_ff_g1038__6131 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_dec_pause_state_f),
+	.A2_N(brqrv_top_brqrv_dec_dec_pause_state),
+	.B1(brqrv_top_brqrv_dec_tlu_dec_pause_state_f),
+	.B2(brqrv_top_brqrv_dec_dec_pause_state),
+	.Y(brqrv_top_brqrv_dec_tlu_halt_ff_n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_dec_tlu_halt_ff_g1039__1881 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_resume_ack),
+	.A2_N(brqrv_top_brqrv_dec_tlu_resume_ack_ns),
+	.B1(brqrv_top_brqrv_dec_tlu_resume_ack),
+	.B2(brqrv_top_brqrv_dec_tlu_resume_ack_ns),
+	.Y(brqrv_top_brqrv_dec_tlu_halt_ff_n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_dec_tlu_halt_ff_g1040__5115 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_dec_tlu_flush_noredir_r_d1),
+	.A2_N(brqrv_top_brqrv_dec_tlu_flush_noredir_r),
+	.B1(brqrv_top_brqrv_dec_tlu_dec_tlu_flush_noredir_r_d1),
+	.B2(brqrv_top_brqrv_dec_tlu_flush_noredir_r),
+	.Y(brqrv_top_brqrv_dec_tlu_halt_ff_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_dec_tlu_halt_ff_g1041__7482 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_trigger_hit_dmode_r_d1),
+	.A2_N(brqrv_top_brqrv_dec_tlu_trigger_hit_dmode_r),
+	.B1(brqrv_top_brqrv_dec_tlu_trigger_hit_dmode_r_d1),
+	.B2(brqrv_top_brqrv_dec_tlu_trigger_hit_dmode_r),
+	.Y(brqrv_top_brqrv_dec_tlu_halt_ff_n_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_tlu_halt_ff_g1042__4733 (
+	.A(brqrv_top_brqrv_dec_tlu_debug_halt_req_d1),
+	.B(brqrv_top_brqrv_dec_tlu_debug_halt_req),
+	.X(brqrv_top_brqrv_dec_tlu_halt_ff_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_tlu_halt_ff_g1043__6161 (
+	.A(brqrv_top_brqrv_dec_tlu_halt_taken_f),
+	.B(brqrv_top_brqrv_dec_tlu_halt_taken),
+	.X(brqrv_top_brqrv_dec_tlu_halt_ff_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_tlu_halt_ff_g1044__9315 (
+	.A(brqrv_top_brqrv_dec_tlu_dec_tlu_wr_pause_r_d1),
+	.B(brqrv_top_brqrv_dec_dec_tlu_wr_pause_r),
+	.X(brqrv_top_brqrv_dec_tlu_halt_ff_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_tlu_halt_ff_g1045__9945 (
+	.A(brqrv_top_brqrv_dec_tlu_lsu_idle_any_f),
+	.B(brqrv_top_brqrv_lsu_idle_any),
+	.X(brqrv_top_brqrv_dec_tlu_halt_ff_n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_tlu_halt_ff_g1046__2883 (
+	.A(brqrv_top_brqrv_dec_tlu_request_debug_mode_done_f),
+	.B(brqrv_top_brqrv_dec_tlu_request_debug_mode_done),
+	.Y(brqrv_top_brqrv_dec_tlu_halt_ff_n_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_tlu_halt_ff_g1047__2346 (
+	.A(brqrv_top_brqrv_dec_tlu_request_debug_mode_r_d1),
+	.B(brqrv_top_brqrv_dec_tlu_request_debug_mode_r),
+	.Y(brqrv_top_brqrv_dec_tlu_halt_ff_n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_tlu_halt_ff_g1048__1666 (
+	.A(brqrv_top_brqrv_dec_tlu_dcsr_single_step_done_f),
+	.B(brqrv_top_brqrv_dec_tlu_dcsr_single_step_done),
+	.X(brqrv_top_brqrv_dec_tlu_halt_ff_n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_tlu_halt_ff_g1049__7410 (
+	.A(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.B(brqrv_top_brqrv_dec_tlu_debug_halt_req_ns),
+	.X(brqrv_top_brqrv_dec_tlu_halt_ff_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_tlu_halt_ff_g1050__6417 (
+	.A(brqrv_top_brqrv_dec_tlu_dbg_halt_req_held),
+	.B(brqrv_top_brqrv_dec_tlu_dbg_halt_req_held_ns),
+	.Y(brqrv_top_brqrv_dec_tlu_halt_ff_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_tlu_halt_ff_g1051__5477 (
+	.A(brqrv_top_brqrv_dec_tlu_dcsr_single_step_running_f),
+	.B(brqrv_top_brqrv_dec_tlu_dcsr_single_step_running),
+	.Y(brqrv_top_brqrv_dec_tlu_halt_ff_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_tlu_halt_ff_g1052__2398 (
+	.A(brqrv_top_brqrv_dec_tlu_dbg_halted),
+	.B(brqrv_top_brqrv_dec_tlu_dbg_tlu_halted),
+	.X(brqrv_top_brqrv_dec_tlu_halt_ff_n_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_dec_tlu_halt_ff_clkhdr_clkhdr (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dec_tlu_halt_ff_en),
+	.GCLK(brqrv_top_brqrv_dec_tlu_halt_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_halt_ff_dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_halt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_pause_state),
+	.Q(brqrv_top_brqrv_dec_tlu_dec_pause_state_f),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_halt_ff_dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_halt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_resume_ack_ns),
+	.Q(brqrv_top_brqrv_dec_tlu_resume_ack),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_halt_ff_dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_halt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_debug_halt_req),
+	.Q(brqrv_top_brqrv_dec_tlu_debug_halt_req_d1),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_halt_ff_dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_halt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_trigger_hit_dmode_r),
+	.Q(brqrv_top_brqrv_dec_tlu_trigger_hit_dmode_r_d1),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_halt_ff_dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_halt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_tlu_wr_pause_r),
+	.Q(brqrv_top_brqrv_dec_tlu_dec_tlu_wr_pause_r_d1),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_halt_ff_dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_halt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_tlu_flush_pause_r),
+	.Q(brqrv_top_brqrv_dec_tlu_dec_tlu_flush_pause_r_d1),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_halt_ff_dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_halt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dcsr_single_step_running),
+	.Q(brqrv_top_brqrv_dec_tlu_dcsr_single_step_running_f),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_halt_ff_dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_halt_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_idle_any),
+	.Q(brqrv_top_brqrv_dec_tlu_lsu_idle_any_f),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_halt_ff_dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_halt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_request_debug_mode_done),
+	.Q(brqrv_top_brqrv_dec_tlu_request_debug_mode_done_f),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_halt_ff_dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_halt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_request_debug_mode_r),
+	.Q(brqrv_top_brqrv_dec_tlu_request_debug_mode_r_d1),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_halt_ff_dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_halt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dbg_halt_req_held_ns),
+	.Q(brqrv_top_brqrv_dec_tlu_dbg_halt_req_held),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_halt_ff_dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_halt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_flush_noredir_r),
+	.Q(brqrv_top_brqrv_dec_tlu_dec_tlu_flush_noredir_r_d1),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_halt_ff_dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_halt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_debug_resume_req),
+	.Q(brqrv_top_brqrv_dec_tlu_debug_resume_req_f_raw),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_halt_ff_dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_halt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_debug_halt_req_ns),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_debug_stall),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_halt_ff_dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_halt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dbg_tlu_halted),
+	.Q(brqrv_top_brqrv_dec_tlu_dbg_halted),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_halt_ff_dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_halt_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_miss_state_idle),
+	.Q(brqrv_top_brqrv_dec_tlu_ifu_miss_state_idle_f),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_halt_ff_dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_halt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_halt_taken),
+	.Q(brqrv_top_brqrv_dec_tlu_halt_taken_f),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_halt_ff_dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_halt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dcsr_single_step_done),
+	.Q(brqrv_top_brqrv_dec_tlu_dcsr_single_step_done_f),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_int_timers_wr_mitb0_r),
+	.GCLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_n_310),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(n_1704),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(n_1703),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(n_1702),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(n_1701),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_n_6062),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(n_1295),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(n_1705),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(n_1304),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(n_1319),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(n_1303),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(n_1302),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_n_308),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(n_2025),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_n_309),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_n_298),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_n_329),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(n_1821),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_n_313),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_n_314),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_n_315),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_n_316),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(n_1819),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_n_319),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(n_1308),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(n_1818),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(n_1823),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(n_1820),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_n_326),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_n_327),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_n_328),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_ff_l1clk),
+	.D(n_1822),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb0_b[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_int_timers_wr_mitb1_r),
+	.GCLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_n_310),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(n_1704),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(n_1703),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(n_1702),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(n_1701),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_n_6062),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(n_1295),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(n_1705),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(n_1304),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(n_1319),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(n_1303),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(n_1302),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_n_308),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(n_2025),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_n_309),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_n_298),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_n_329),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(n_1821),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_n_313),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_n_314),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_n_315),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_n_316),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(n_1819),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_n_319),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(n_1308),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(n_1818),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(n_1823),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(n_1820),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_n_326),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_n_327),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_n_328),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_ff_l1clk),
+	.D(n_1822),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitb1_b[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffa_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dec_tlu_int_timers_n_287),
+	.GCLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffa_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffa_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffa_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[1]_280 ),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffa_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffa_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[7]_286 ),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffa_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffa_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[0]_279 ),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffa_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffa_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[2]_281 ),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffa_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffa_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[5]_284 ),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffa_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffa_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[4]_283 ),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffa_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffa_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[3]_282 ),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffa_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffa_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[6]_285 ),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dec_tlu_int_timers_n_275),
+	.GCLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0_ns[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt0[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffa_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dec_tlu_int_timers_n_297),
+	.GCLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffa_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffa_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffa_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[1]_290 ),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffa_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffa_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[7]_296 ),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffa_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffa_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[0]_289 ),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffa_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffa_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[2]_291 ),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffa_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffa_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[5]_294 ),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffa_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffa_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[4]_293 ),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffa_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffa_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[3]_292 ),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffa_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffa_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[6]_295 ),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dec_tlu_int_timers_n_288),
+	.GCLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ffb_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1_ns[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitcnt1[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitctl0_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitctl0_0_b_ns),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitctl0_0_b),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitctl0_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitctl0_ff_n_9),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitctl0[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitctl0_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitctl0_ff_n_10),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitctl0[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitctl1_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitctl1_0_b_ns),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitctl1_0_b),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitctl1_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitctl1_ff_n_13),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitctl1[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitctl1_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitctl1_ff_n_11),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitctl1[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_int_timers_mitctl1_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_mitctl1_ff_n_12),
+	.Q(brqrv_top_brqrv_dec_tlu_int_timers_mitctl1[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_n_3338),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[23]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[19]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[16]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[17]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[18]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[20]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[21]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[22]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcause_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcause_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcause_ns[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcause[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mcgc_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_wr_mcgc_r),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mcgc_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcgc_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcgc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcgc_ns[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcgc_int[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcgc_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcgc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcgc_ns[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_misc_clk_override),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcgc_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcgc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcgc_ns[0]),
+	.Q(brqrv_top_icm_clk_override),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcgc_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcgc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcgc_ns[7]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_dec_clk_override),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcgc_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcgc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcgc_ns[1]),
+	.Q(brqrv_top_dccm_clk_override),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcgc_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcgc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcgc_ns[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_lsu_clk_override),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcgc_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcgc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcgc_ns[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcgc_int[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcgc_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcgc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcgc_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_pic_clk_override),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcgc_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcgc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcgc_ns[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcgc_int[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcgc_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcgc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcgc_ns[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcgc_int[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcountinhibit_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcountinhibit_ff_n_14),
+	.Q(brqrv_top_brqrv_dec_tlu_mcountinhibit[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcountinhibit_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcountinhibit_ff_n_15),
+	.Q(brqrv_top_brqrv_dec_tlu_mcountinhibit[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcountinhibit_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcountinhibit_ff_n_16),
+	.Q(brqrv_top_brqrv_dec_tlu_mcountinhibit[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcountinhibit_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcountinhibit_ff_n_17),
+	.Q(brqrv_top_brqrv_dec_tlu_mcountinhibit[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcountinhibit_ff_genblock.dffs_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcountinhibit_ff_n_18),
+	.Q(brqrv_top_brqrv_dec_tlu_mcountinhibit[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcountinhibit_ff_genblock.dffs_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcountinhibit_ff_n_19),
+	.Q(brqrv_top_brqrv_dec_tlu_mcountinhibit[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dec_tlu_n_3325),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[23]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[19]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[16]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[17]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[18]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[20]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[21]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[22]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcycleh_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcycleh_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcycleh_ns[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcycleh[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_aff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dec_tlu_n_3324),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mcyclel_aff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_aff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_aff_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_mcyclel_ns[1]_3317 ),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_aff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_aff_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_mcyclel_ns[7]_3323 ),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_aff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_aff_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_mcyclel_ns[0]_3316 ),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_aff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_aff_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_mcyclel_ns[2]_3318 ),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_aff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_aff_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_mcyclel_ns[5]_3321 ),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_aff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_aff_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_mcyclel_ns[4]_3320 ),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_aff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_aff_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_mcyclel_ns[3]_3319 ),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_aff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_aff_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_mcyclel_ns[6]_3322 ),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_bff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dec_tlu_n_3315),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mcyclel_bff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_bff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcyclel_ns[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_bff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcyclel_ns[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_bff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcyclel_ns[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_bff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcyclel_ns[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_bff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcyclel_ns[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_bff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcyclel_ns[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_bff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcyclel_ns[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_bff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcyclel_ns[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_bff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcyclel_ns[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_bff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcyclel_ns[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_bff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcyclel_ns[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_bff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcyclel_ns[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_bff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcyclel_ns[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_bff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcyclel_ns[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_bff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcyclel_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_bff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcyclel_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_bff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcyclel_ns[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_bff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcyclel_ns[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_bff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcyclel_ns[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_bff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcyclel_ns[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_bff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcyclel_ns[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_bff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcyclel_ns[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_bff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcyclel_ns[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mcyclel_bff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mcyclel_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mcyclel_ns[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_mcyclel[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dec_tlu_wr_mdccmect_r),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[23]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[19]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[16]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[17]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[18]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[20]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[21]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[22]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdccmect_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mdccmect_ns[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdccmect[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(n_8213),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[16]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[23]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[22]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[21]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[20]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[19]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[18]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[17]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mdseac_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mdseac_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_imprecise_error_addr_any[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_mdseac[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meicurpl_ff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_meicurpl_ns[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_meicurpl[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meicurpl_ff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_meicurpl_ns[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_meicurpl[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meicurpl_ff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_meicurpl_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_meicurpl[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meicurpl_ff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_meicurpl_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_meicurpl[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_meihap_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_wr_meicpct_r),
+	.GCLK(brqrv_top_brqrv_dec_tlu_meihap_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meihap_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_meihap_ff_l1clk),
+	.D(brqrv_top_brqrv_pic_claimid[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_meihap[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meihap_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_meihap_ff_l1clk),
+	.D(brqrv_top_brqrv_pic_claimid[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_meihap[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meihap_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_meihap_ff_l1clk),
+	.D(brqrv_top_brqrv_pic_claimid[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_meihap[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meihap_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_meihap_ff_l1clk),
+	.D(brqrv_top_brqrv_pic_claimid[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_meihap[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meihap_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_meihap_ff_l1clk),
+	.D(brqrv_top_brqrv_pic_claimid[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_meihap[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meipt_ff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_meipt_ns[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_meipt[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meipt_ff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_meipt_ns[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_meipt[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meipt_ff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_meipt_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_meipt[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meipt_ff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_meipt_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_meipt[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_meivt_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_wr_meivt_r),
+	.GCLK(brqrv_top_brqrv_dec_tlu_meivt_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meivt_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_meivt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_meihap[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meivt_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_meivt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_meihap[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meivt_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_meivt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_meihap[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meivt_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_meivt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[17]),
+	.Q(brqrv_top_brqrv_dec_tlu_meihap[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meivt_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_meivt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[16]),
+	.Q(brqrv_top_brqrv_dec_tlu_meihap[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meivt_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_meivt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_meihap[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meivt_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_meivt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[18]),
+	.Q(brqrv_top_brqrv_dec_tlu_meihap[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meivt_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_meivt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_meihap[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meivt_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_meivt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_meihap[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meivt_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_meivt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[21]),
+	.Q(brqrv_top_brqrv_dec_tlu_meihap[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meivt_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_meivt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_meihap[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meivt_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_meivt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_meihap[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meivt_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_meivt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_meihap[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meivt_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_meivt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[19]),
+	.Q(brqrv_top_brqrv_dec_tlu_meihap[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meivt_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_meivt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_meihap[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meivt_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_meivt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_meihap[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meivt_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_meivt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[20]),
+	.Q(brqrv_top_brqrv_dec_tlu_meihap[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meivt_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_meivt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_meihap[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meivt_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_meivt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[23]),
+	.Q(brqrv_top_brqrv_dec_tlu_meihap[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meivt_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_meivt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[22]),
+	.Q(brqrv_top_brqrv_dec_tlu_meihap[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meivt_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_meivt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_meihap[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_meivt_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_meivt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_meihap[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_n_3337),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mepc_ns[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_mepc[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mepc_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_mepc[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mepc_ns[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_mepc[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mepc_ns[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_mepc[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mepc_ns[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_mepc[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mepc_ns[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_mepc[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mepc_ns[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_mepc[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mepc_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_mepc[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mepc_ns[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_mepc[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mepc_ns[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_mepc[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mepc_ns[22]),
+	.Q(brqrv_top_brqrv_dec_tlu_mepc[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mepc_ns[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_mepc[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mepc_ns[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_mepc[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mepc_ns[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_mepc[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mepc_ns[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_mepc[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mepc_ns[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_mepc[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mepc_ns[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_mepc[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mepc_ns[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_mepc[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mepc_ns[16]),
+	.Q(brqrv_top_brqrv_dec_tlu_mepc[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mepc_ns[17]),
+	.Q(brqrv_top_brqrv_dec_tlu_mepc[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mepc_ns[18]),
+	.Q(brqrv_top_brqrv_dec_tlu_mepc[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mepc_ns[19]),
+	.Q(brqrv_top_brqrv_dec_tlu_mepc[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mepc_ns[20]),
+	.Q(brqrv_top_brqrv_dec_tlu_mepc[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mepc_ns[21]),
+	.Q(brqrv_top_brqrv_dec_tlu_mepc[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mepc_ns[23]),
+	.Q(brqrv_top_brqrv_dec_tlu_mepc[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mepc_ns[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_mepc[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mepc_ns[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_mepc[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mepc_ns[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_mepc[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mepc_ns[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_mepc[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mepc_ns[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_mepc[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mepc_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mepc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mepc_ns[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_mepc[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mfdc_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_wr_mfdc_r),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mfdc_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mfdc_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mfdc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_mfdc_int[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mfdc_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mfdc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_external_ldfwd_disable),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mfdc_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mfdc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[0]),
+	.Q(brqrv_top_brqrv_dec_dec_tlu_pipelining_disable),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mfdc_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mfdc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_mfdc_int[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mfdc_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mfdc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_mfdc_int[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mfdc_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mfdc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_mfdc_int[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mfdc_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mfdc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_mfdc_int[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mfdc_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mfdc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_mfdc_int[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mfdc_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mfdc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_mfdc_int[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mfdc_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mfdc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_mfdc_int[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mfdc_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mfdc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_wb_coalescing_disable),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mfdc_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mfdc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_bpred_disable),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mfdc_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mfdc_ff_l1clk),
+	.D(n_1705),
+	.Q(brqrv_top_brqrv_dec_tlu_mfdc_int[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mfdc_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mfdc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_n_314),
+	.Q(brqrv_top_brqrv_dec_tlu_mfdc_int[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mfdc_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mfdc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_n_315),
+	.Q(brqrv_top_brqrv_dec_tlu_mfdc_int[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mfdc_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mfdc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_int_timers_n_316),
+	.Q(brqrv_top_brqrv_dec_tlu_mfdc_int[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mfdhs_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_free_clk),
+	.D(brqrv_top_brqrv_dec_tlu_mfdhs_ff_n_6),
+	.Q(brqrv_top_brqrv_dec_tlu_mfdhs[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mfdhs_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_free_clk),
+	.D(brqrv_top_brqrv_dec_tlu_mfdhs_ff_n_7),
+	.Q(brqrv_top_brqrv_dec_tlu_mfdhs[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mfdht_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_mfdht_ff_n_14),
+	.Q(brqrv_top_brqrv_dec_tlu_mfdht[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mfdht_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_mfdht_ff_n_15),
+	.Q(brqrv_top_brqrv_dec_tlu_mfdht[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mfdht_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_mfdht_ff_n_16),
+	.Q(brqrv_top_brqrv_dec_tlu_mfdht[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mfdht_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_mfdht_ff_n_17),
+	.Q(brqrv_top_brqrv_dec_tlu_mfdht[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mfdht_ff_genblock.dffs_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_mfdht_ff_n_18),
+	.Q(brqrv_top_brqrv_dec_tlu_mfdht[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mfdht_ff_genblock.dffs_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_mfdht_ff_n_19),
+	.Q(brqrv_top_brqrv_dec_tlu_mfdht[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dec_tlu_mhpmc3_wr_en),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[23]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[19]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[16]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[17]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[18]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[20]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[21]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[22]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3_ns[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dec_tlu_mhpmc3h_wr_en),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[23]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[19]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[16]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[17]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[18]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[20]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[21]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[22]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc3h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc3h_ns[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc3h[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dec_tlu_mhpmc4_wr_en),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[23]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[19]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[16]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[17]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[18]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[20]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[21]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[22]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4_ns[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dec_tlu_mhpmc4h_wr_en),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[23]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[19]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[16]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[17]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[18]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[20]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[21]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[22]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc4h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc4h_ns[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc4h[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dec_tlu_mhpmc5_wr_en),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[23]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[19]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[16]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[17]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[18]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[20]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[21]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[22]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5_ns[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dec_tlu_mhpmc5h_wr_en),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[23]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[19]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[16]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[17]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[18]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[20]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[21]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[22]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc5h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc5h_ns[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc5h[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dec_tlu_mhpmc6_wr_en),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[23]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[19]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[16]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[17]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[18]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[20]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[21]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[22]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6_ns[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dec_tlu_mhpmc6h_wr_en),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[23]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[19]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[16]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[17]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[18]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[20]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[21]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[22]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpmc6h_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mhpmc6h_ns[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpmc6h[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mhpme3_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_wr_mhpme3_r),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mhpme3_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpme3_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpme3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_event_r[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpme3[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpme3_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpme3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_event_r[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpme3[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpme3_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpme3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_event_r[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpme3[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpme3_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpme3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_event_r[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpme3[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpme3_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpme3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_event_r[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpme3[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpme3_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpme3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_event_r[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpme3[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpme3_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpme3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_event_r[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpme3[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mhpme4_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_wr_mhpme4_r),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mhpme4_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpme4_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpme4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_event_r[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpme4[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpme4_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpme4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_event_r[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpme4[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpme4_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpme4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_event_r[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpme4[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpme4_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpme4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_event_r[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpme4[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpme4_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpme4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_event_r[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpme4[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpme4_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpme4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_event_r[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpme4[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpme4_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpme4_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_event_r[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpme4[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mhpme5_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_wr_mhpme5_r),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mhpme5_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpme5_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpme5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_event_r[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpme5[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpme5_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpme5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_event_r[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpme5[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpme5_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpme5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_event_r[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpme5[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpme5_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpme5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_event_r[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpme5[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpme5_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpme5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_event_r[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpme5[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpme5_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpme5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_event_r[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpme5[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpme5_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpme5_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_event_r[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpme5[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mhpme6_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_wr_mhpme6_r),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mhpme6_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpme6_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpme6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_event_r[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpme6[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpme6_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpme6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_event_r[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpme6[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpme6_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpme6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_event_r[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpme6[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpme6_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpme6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_event_r[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpme6[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpme6_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpme6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_event_r[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpme6[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpme6_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpme6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_event_r[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpme6[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mhpme6_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mhpme6_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_event_r[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_mhpme6[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dec_tlu_wr_miccmect_r),
+	.GCLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[23]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[19]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[16]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[17]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[18]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[20]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[21]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[22]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_miccmect_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_miccmect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_miccmect_ns[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_miccmect[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_wr_micect_r),
+	.GCLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[23]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[19]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[16]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[17]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[18]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[20]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[21]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[22]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_micect_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_micect_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_micect_ns[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_micect[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mie_ff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_mie_ns[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_mie[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mie_ff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_mie_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_mie[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mie_ff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_mie_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_mie[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mie_ff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_mie_ns[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_mie[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mie_ff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_mie_ns[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_mie[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mie_ff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_mie_ns[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_mie[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_n_3335),
+	.GCLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[23]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[19]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[16]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[17]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[18]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[20]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[21]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[22]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstreth_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstreth_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstreth_ns[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstreth[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_minstretl_aff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_minstret_enable),
+	.GCLK(brqrv_top_brqrv_dec_tlu_minstretl_aff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_aff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_aff_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_minstretl_ns[1]_3328 ),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_aff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_aff_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_minstretl_ns[7]_3334 ),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_aff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_aff_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_minstretl_ns[0]_3327 ),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_aff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_aff_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_minstretl_ns[2]_3329 ),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_aff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_aff_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_minstretl_ns[5]_3332 ),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_aff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_aff_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_minstretl_ns[4]_3331 ),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_aff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_aff_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_minstretl_ns[3]_3330 ),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_aff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_aff_l1clk),
+	.D(\brqrv_top_brqrv_dec_tlu_minstretl_ns[6]_3333 ),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_minstretl_bff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_n_3326),
+	.GCLK(brqrv_top_brqrv_dec_tlu_minstretl_bff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_bff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstretl_ns[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_bff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstretl_ns[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_bff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstretl_ns[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_bff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstretl_ns[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_bff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstretl_ns[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_bff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstretl_ns[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_bff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstretl_ns[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_bff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstretl_ns[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_bff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstretl_ns[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_bff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstretl_ns[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_bff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstretl_ns[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_bff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstretl_ns[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_bff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstretl_ns[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_bff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstretl_ns[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_bff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstretl_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_bff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstretl_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_bff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstretl_ns[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_bff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstretl_ns[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_bff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstretl_ns[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_bff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstretl_ns[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_bff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstretl_ns[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_bff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstretl_ns[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_bff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstretl_ns[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_minstretl_bff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_minstretl_bff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_minstretl_ns[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_minstretl[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mpmc_ff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_mpmc_b_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_mpmc_b[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 brqrv_top_brqrv_dec_tlu_mpvhalt_ff_g515__5107 (
+	.A(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_11),
+	.B(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_9),
+	.C(brqrv_top_brqrv_dec_tlu_reset_detected),
+	.D(brqrv_top_brqrv_dec_tlu_reset_detect),
+	.Y(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 brqrv_top_brqrv_dec_tlu_mpvhalt_ff_g516__6260 (
+	.A(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_7),
+	.B(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_4),
+	.C(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_0),
+	.D(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_10),
+	.Y(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 brqrv_top_brqrv_dec_tlu_mpvhalt_ff_g517__4319 (
+	.A(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_1),
+	.B(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_8),
+	.C(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_5),
+	.D(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_3),
+	.Y(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_dec_tlu_mpvhalt_ff_g518__8428 (
+	.A(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_6),
+	.B(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_2),
+	.Y(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_tlu_mpvhalt_ff_g519__5526 (
+	.A(brqrv_top_brqrv_dec_tlu_nmi_lsu_load_type_f),
+	.B(brqrv_top_brqrv_dec_tlu_nmi_lsu_load_type),
+	.Y(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_tlu_mpvhalt_ff_g520__6783 (
+	.A(brqrv_top_brqrv_dec_tlu_dbg_run_state_f),
+	.B(brqrv_top_brqrv_dec_tlu_dbg_run_state_ns),
+	.X(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_dec_tlu_mpvhalt_ff_g521__3680 (
+	.A1_N(brqrv_top_brqrv_dec_tlu_dbg_halt_state_f),
+	.A2_N(brqrv_top_brqrv_dec_tlu_dbg_halt_state_ns),
+	.B1(brqrv_top_brqrv_dec_tlu_dbg_halt_state_f),
+	.B2(brqrv_top_brqrv_dec_tlu_dbg_halt_state_ns),
+	.Y(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_tlu_mpvhalt_ff_g522__1617 (
+	.A(brqrv_top_brqrv_dec_tlu_mpc_debug_run_req_sync_f),
+	.B(brqrv_top_brqrv_dec_tlu_mpc_debug_run_req_sync),
+	.Y(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_tlu_mpvhalt_ff_g523__2802 (
+	.A(brqrv_top_brqrv_dec_tlu_nmi_int_detected_f),
+	.B(brqrv_top_brqrv_dec_tlu_nmi_int_detected),
+	.X(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_tlu_mpvhalt_ff_g524__1705 (
+	.A(brqrv_top_brqrv_dec_tlu_mpc_run_state_f),
+	.B(brqrv_top_brqrv_dec_tlu_mpc_run_state_ns),
+	.Y(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_tlu_mpvhalt_ff_g525__5122 (
+	.A(brqrv_top_brqrv_dec_tlu_mpc_debug_run_ack_f),
+	.B(n_39249),
+	.X(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_dec_tlu_mpvhalt_ff_g526__8246 (
+	.A(brqrv_top_brqrv_dec_tlu_debug_brkpt_status_f),
+	.B(brqrv_top_brqrv_dec_tlu_debug_brkpt_status_ns),
+	.Y(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_dec_tlu_mpvhalt_ff_g527__7098 (
+	.A(brqrv_top_brqrv_dec_tlu_nmi_lsu_store_type_f),
+	.B(brqrv_top_brqrv_dec_tlu_nmi_lsu_store_type),
+	.X(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_dec_tlu_mpvhalt_ff_clkhdr_clkhdr (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_en),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mpvhalt_ff_dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_reset_detect),
+	.Q(brqrv_top_brqrv_dec_tlu_reset_detected),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mpvhalt_ff_dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_dff_logic_1_1_net),
+	.Q(brqrv_top_brqrv_dec_tlu_reset_detect),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mpvhalt_ff_dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mpc_debug_run_req_sync),
+	.Q(brqrv_top_brqrv_dec_tlu_mpc_debug_run_req_sync_f),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mpvhalt_ff_dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dbg_halt_state_ns),
+	.Q(brqrv_top_brqrv_dec_tlu_dbg_halt_state_f),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mpvhalt_ff_dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_nmi_int_detected),
+	.Q(brqrv_top_brqrv_dec_tlu_nmi_int_detected_f),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mpvhalt_ff_dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_dbg_run_state_ns),
+	.Q(brqrv_top_brqrv_dec_tlu_dbg_run_state_f),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mpvhalt_ff_dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_l1clk),
+	.D(n_39249),
+	.Q(brqrv_top_brqrv_dec_tlu_mpc_debug_run_ack_f),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mpvhalt_ff_dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_nmi_lsu_store_type),
+	.Q(brqrv_top_brqrv_dec_tlu_nmi_lsu_store_type_f),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mpvhalt_ff_dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mpc_run_state_ns),
+	.Q(brqrv_top_brqrv_dec_tlu_mpc_run_state_f),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mpvhalt_ff_dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_debug_brkpt_status_ns),
+	.Q(brqrv_top_brqrv_dec_tlu_debug_brkpt_status_f),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mpvhalt_ff_dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_nmi_lsu_load_type),
+	.Q(brqrv_top_brqrv_dec_tlu_nmi_lsu_load_type_f),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 brqrv_top_brqrv_dec_tlu_mpvhalt_ff_dff_tie_1_cell (
+	.HI(brqrv_top_brqrv_dec_tlu_mpvhalt_ff_dff_logic_1_1_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_wr_mrac_r),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mrac_in[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mrac_in[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mrac_in[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mrac_in[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mrac_in[16]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mrac_in[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[23]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mrac_in[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mrac_in[22]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mrac_in[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[21]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mrac_in[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mrac_in[20]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mrac_in[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[19]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mrac_in[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mrac_in[18]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mrac_in[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[17]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mrac_in[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mrac_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mrac_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_mrac_ff_0[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscause_ff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_e4e5_int_clk),
+	.D(brqrv_top_brqrv_dec_tlu_mscause_ns[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscause[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscause_ff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_e4e5_int_clk),
+	.D(brqrv_top_brqrv_dec_tlu_mscause_ns[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscause[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscause_ff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_e4e5_int_clk),
+	.D(brqrv_top_brqrv_dec_tlu_mscause_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscause[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscause_ff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_e4e5_int_clk),
+	.D(brqrv_top_brqrv_dec_tlu_mscause_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscause[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_wr_mscratch_r),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[23]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[19]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[16]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[17]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[18]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[20]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[21]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[22]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mscratch_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mscratch_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_mscratch[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t0_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_n_3393),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t0_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ns[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtdata1_t0[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t0_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ns[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtdata1_t0[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t0_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ns[0]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[34]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t0_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ns[7]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[37]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t0_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ns[1]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[35]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t0_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ns[4]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[36]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t0_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ns[3]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[32]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t0_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtdata1_t0[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t0_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ns[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtdata1_t0[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t0_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t0_ns[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtdata1_t0[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t1_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_n_3394),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t1_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ns[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtdata1_t1[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t1_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ns[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtdata1_t1[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t1_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ns[0]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[72]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t1_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ns[7]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[75]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t1_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ns[1]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[73]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t1_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ns[4]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[74]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t1_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ns[3]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[70]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t1_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtdata1_t1[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t1_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ns[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtdata1_t1[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t1_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t1_ns[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtdata1_t1[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t2_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_n_3395),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t2_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ns[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtdata1_t2[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t2_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ns[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtdata1_t2[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t2_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ns[0]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[110]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t2_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ns[7]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[113]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t2_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ns[1]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[111]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t2_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ns[4]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[112]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t2_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ns[3]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[108]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t2_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtdata1_t2[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t2_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ns[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtdata1_t2[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t2_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t2_ns[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtdata1_t2[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t3_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_n_3396),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t3_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ns[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtdata1_t3[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t3_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ns[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtdata1_t3[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t3_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ns[0]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[148]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t3_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ns[7]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[151]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t3_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ns[1]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[149]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t3_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ns[4]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[150]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t3_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ns[3]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[146]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t3_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtdata1_t3[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t3_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ns[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtdata1_t3[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata1_t3_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtdata1_t3_ns[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtdata1_t3[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_wr_mtdata2_t0_r),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[5]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[1]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[30]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[2]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[3]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[4]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[26]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[0]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[6]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[7]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[22]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[8]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[9]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[10]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[11]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[31]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[13]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[14]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[15]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[16]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[17]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[19]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[20]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[21]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[23]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[24]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[25]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[27]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[28]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[29]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[12]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t0_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[18]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_wr_mtdata2_t1_r),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[5]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[43]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[1]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[39]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[30]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[68]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[2]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[40]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[3]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[41]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[4]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[42]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[26]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[64]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[0]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[38]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[6]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[44]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[7]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[45]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[22]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[60]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[8]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[46]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[9]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[47]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[10]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[48]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[11]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[49]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[31]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[69]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[13]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[51]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[14]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[52]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[15]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[53]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[16]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[54]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[17]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[55]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[19]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[57]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[20]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[58]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[21]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[59]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[23]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[61]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[24]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[62]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[25]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[63]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[27]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[65]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[28]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[66]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[29]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[67]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[12]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[50]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[18]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[56]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_wr_mtdata2_t2_r),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[5]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[81]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[1]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[77]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[30]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[106]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[2]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[78]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[3]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[79]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[4]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[80]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[26]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[102]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[0]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[76]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[6]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[82]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[7]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[83]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[22]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[98]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[8]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[84]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[9]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[85]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[10]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[86]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[11]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[87]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[31]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[107]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[13]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[89]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[14]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[90]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[15]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[91]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[16]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[92]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[17]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[93]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[19]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[95]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[20]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[96]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[21]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[97]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[23]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[99]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[24]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[100]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[25]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[101]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[27]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[103]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[28]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[104]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[29]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[105]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[12]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[88]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t2_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[18]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[94]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_wr_mtdata2_t3_r),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[5]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[119]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[1]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[115]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[30]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[144]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[2]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[116]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[3]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[117]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[4]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[118]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[26]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[140]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[0]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[114]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[6]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[120]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[7]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[121]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[22]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[136]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[8]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[122]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[9]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[123]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[10]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[124]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[11]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[125]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[31]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[145]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[13]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[127]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[14]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[128]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[15]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[129]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[16]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[130]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[17]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[131]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[19]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[133]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[20]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[134]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[21]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[135]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[23]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[137]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[24]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[138]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[25]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[139]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[27]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[141]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[28]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[142]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[29]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[143]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[12]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[126]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtdata2_t3_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[18]),
+	.Q(brqrv_top_brqrv_trigger_pkt_any[132]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtsel_ff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtsel_ns[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtsel[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtsel_ff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_csr_wr_clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtsel_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtsel[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_n_3339),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[23]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[19]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[16]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[17]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[18]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[20]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[21]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[22]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtval_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtval_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_mtval_ns[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtval[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_wr_mtvec_r),
+	.GCLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtvec[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtvec[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtvec[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtvec[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtvec[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtvec[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtvec[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtvec[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtvec[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtvec[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtvec[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtvec[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtvec[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtvec[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtvec[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[22]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtvec[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[16]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtvec[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[17]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtvec[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[18]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtvec[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[19]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtvec[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[20]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtvec[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[21]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtvec[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtvec[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[23]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtvec[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtvec[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtvec[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtvec[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtvec[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtvec[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtvec[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_mtvec_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_mtvec_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_csr_wrdata_r[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_mtvec[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_extra_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_n_3336),
+	.GCLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_extra_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_extra_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_npc_r[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_npc_r_d1[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_extra_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_npc_r[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_npc_r_d1[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_extra_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_npc_r[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_npc_r_d1[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_extra_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_npc_r[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_npc_r_d1[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_extra_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_npc_r[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_npc_r_d1[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_extra_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_npc_r[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_npc_r_d1[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_extra_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_npc_r[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_npc_r_d1[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_extra_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_npc_r[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_npc_r_d1[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_extra_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_npc_r[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_npc_r_d1[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_extra_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_npc_r[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_npc_r_d1[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_extra_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_npc_r[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_npc_r_d1[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_extra_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_npc_r[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_npc_r_d1[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_final_en),
+	.GCLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_npc_r[19]),
+	.Q(brqrv_top_brqrv_dec_tlu_npc_r_d1[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_npc_r[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_npc_r_d1[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_npc_r[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_npc_r_d1[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_npc_r[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_npc_r_d1[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_npc_r[16]),
+	.Q(brqrv_top_brqrv_dec_tlu_npc_r_d1[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_npc_r[17]),
+	.Q(brqrv_top_brqrv_dec_tlu_npc_r_d1[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_npc_r[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_npc_r_d1[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_npc_r[18]),
+	.Q(brqrv_top_brqrv_dec_tlu_npc_r_d1[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_npc_r[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_npc_r_d1[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_npc_r[20]),
+	.Q(brqrv_top_brqrv_dec_tlu_npc_r_d1[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_npc_r[21]),
+	.Q(brqrv_top_brqrv_dec_tlu_npc_r_d1[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_npc_r[22]),
+	.Q(brqrv_top_brqrv_dec_tlu_npc_r_d1[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_npc_r[23]),
+	.Q(brqrv_top_brqrv_dec_tlu_npc_r_d1[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_npc_r[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_npc_r_d1[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_npc_r[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_npc_r_d1[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_npc_r[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_npc_r_d1[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_npc_r[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_npc_r_d1[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_npc_r[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_npc_r_d1[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_npwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_npc_r[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_npc_r_d1[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_extra_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(n_33495),
+	.GCLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_extra_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_extra_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[1]),
+	.Q(brqrv_top_brqrv_dec_tlu_pc_r_d1[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_extra_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[12]),
+	.Q(brqrv_top_brqrv_dec_tlu_pc_r_d1[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_extra_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[5]),
+	.Q(brqrv_top_brqrv_dec_tlu_pc_r_d1[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_extra_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_pc_r_d1[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_extra_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[7]),
+	.Q(brqrv_top_brqrv_dec_tlu_pc_r_d1[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_extra_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[8]),
+	.Q(brqrv_top_brqrv_dec_tlu_pc_r_d1[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_extra_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[9]),
+	.Q(brqrv_top_brqrv_dec_tlu_pc_r_d1[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_extra_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[10]),
+	.Q(brqrv_top_brqrv_dec_tlu_pc_r_d1[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_extra_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[11]),
+	.Q(brqrv_top_brqrv_dec_tlu_pc_r_d1[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_extra_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[2]),
+	.Q(brqrv_top_brqrv_dec_tlu_pc_r_d1[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_extra_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[3]),
+	.Q(brqrv_top_brqrv_dec_tlu_pc_r_d1[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_extra_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[4]),
+	.Q(brqrv_top_brqrv_dec_tlu_pc_r_d1[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_final_en),
+	.GCLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[19]),
+	.Q(brqrv_top_brqrv_dec_tlu_pc_r_d1[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[14]),
+	.Q(brqrv_top_brqrv_dec_tlu_pc_r_d1[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[30]),
+	.Q(brqrv_top_brqrv_dec_tlu_pc_r_d1[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[15]),
+	.Q(brqrv_top_brqrv_dec_tlu_pc_r_d1[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[16]),
+	.Q(brqrv_top_brqrv_dec_tlu_pc_r_d1[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[17]),
+	.Q(brqrv_top_brqrv_dec_tlu_pc_r_d1[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[26]),
+	.Q(brqrv_top_brqrv_dec_tlu_pc_r_d1[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[18]),
+	.Q(brqrv_top_brqrv_dec_tlu_pc_r_d1[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[13]),
+	.Q(brqrv_top_brqrv_dec_tlu_pc_r_d1[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[20]),
+	.Q(brqrv_top_brqrv_dec_tlu_pc_r_d1[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[21]),
+	.Q(brqrv_top_brqrv_dec_tlu_pc_r_d1[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[22]),
+	.Q(brqrv_top_brqrv_dec_tlu_pc_r_d1[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[23]),
+	.Q(brqrv_top_brqrv_dec_tlu_pc_r_d1[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[24]),
+	.Q(brqrv_top_brqrv_dec_tlu_pc_r_d1[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[25]),
+	.Q(brqrv_top_brqrv_dec_tlu_pc_r_d1[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[27]),
+	.Q(brqrv_top_brqrv_dec_tlu_pc_r_d1[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[28]),
+	.Q(brqrv_top_brqrv_dec_tlu_pc_r_d1[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[29]),
+	.Q(brqrv_top_brqrv_dec_tlu_pc_r_d1[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_dec_tlu_pwbc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_pc_r[31]),
+	.Q(brqrv_top_brqrv_dec_tlu_pc_r_d1[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_syncro_ff_sync_ff1_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_free_clk),
+	.D(brqrv_top_brqrv_dec_tlu_syncro_ff_sync_ff1_logic_1_1_net),
+	.Q(brqrv_top_brqrv_dec_tlu_syncro_ff_din_ff1[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 brqrv_top_brqrv_dec_tlu_syncro_ff_sync_ff1_tie_1_cell (
+	.HI(brqrv_top_brqrv_dec_tlu_syncro_ff_sync_ff1_logic_1_1_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dec_tlu_syncro_ff_sync_ff2_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_free_clk),
+	.D(brqrv_top_brqrv_dec_tlu_syncro_ff_din_ff1[0]),
+	.Q(brqrv_top_brqrv_dec_tlu_mpc_debug_run_req_sync),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[0]),
+	.GCLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[23]),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_693),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[1]),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_583),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[2]),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_588),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[27]),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_713),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[3]),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_593),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[4]),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_598),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[5]),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_603),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_578),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[6]),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_608),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[7]),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_613),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[8]),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_618),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[9]),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_623),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[10]),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_628),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[11]),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_633),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[12]),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_638),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[20]),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_678),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[14]),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_648),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[15]),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_653),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[16]),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_658),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[17]),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_663),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[18]),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_668),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[19]),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_673),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[13]),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_643),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[21]),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_683),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[22]),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_688),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[24]),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_698),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[25]),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_703),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[26]),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_708),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[30]),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_718),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[31]),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_723),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_n_19 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_782),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_genblock.dffs_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_n_25 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_812),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_n_18 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_777),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_n_20 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_787),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_genblock.dffs_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_n_23 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_802),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_genblock.dffs_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_n_22 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_797),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_n_21 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_792),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_genblock.dffs_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_byteen_dff_n_24 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_807),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dma_ctrl_fifo_data_en[0]),
+	.GCLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1418),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1089),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1408),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1039),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1409),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1044),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[55]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1455),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1274),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1410),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1049),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1411),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1054),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1412),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1059),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[51]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1451),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1254),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1413),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1064),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1414),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1069),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1415),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1074),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[47]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1447),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1234),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1416),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1079),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1417),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1084),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1400),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_999),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1419),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1094),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1420),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1099),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1421),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1104),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1422),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1109),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[39]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1439),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1194),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1423),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1114),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1424),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1119),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1425),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1124),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[35]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1435),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1174),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1426),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1129),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1427),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1134),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1428),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1139),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1429),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1144),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1430),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1149),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[48]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1448),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1239),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[32]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1432),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1159),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[33]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1433),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1164),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[34]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1434),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1169),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[36]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1436),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1179),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[37]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1437),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1184),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[38]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1438),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1189),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[40]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1440),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1199),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[41]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1441),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1204),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[42]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1442),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1209),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[43]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1443),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1214),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[44]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1444),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1219),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[45]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1445),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1224),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[46]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1446),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1229),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1431),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1154),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[49]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1449),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1244),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[50]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1450),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1249),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[52]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1452),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1259),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[53]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1453),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1264),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[54]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1454),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1269),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[57]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1457),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1284),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[59]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1459),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1294),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1407),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1034),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1401),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1004),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1402),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1009),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1403),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1014),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1404),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1019),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1405),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1024),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1406),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1029),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[56]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1456),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1279),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[58]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1458),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1289),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[60]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1460),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1299),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[61]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1461),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1304),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[62]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1462),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1309),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_genblock.dff_dout_reg[63]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_n_1463),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_1314),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_dbg_dff_g30__6131  (
+	.A0(brqrv_top_brqrv_dma_ctrl_fifo_dbg[0]),
+	.A1(brqrv_top_brqrv_dbg_cmd_valid),
+	.S(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[0]),
+	.X(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_dbg_dff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_dbg_dff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_dbg_dff_n_2 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_dbg[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_done_bus_dff_g35__1881  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_reset[0]),
+	.B(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_done_bus_dff_n_0 ),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_done_bus_dff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_done_bus_dff_g36__5115  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_done_bus[0]),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_done_bus_en[0]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_done_bus_dff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_done_bus_dff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_done_bus_dff_din_new [0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_done_bus[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_done_dff_g35__7482  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_reset[0]),
+	.B(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_done_dff_n_0 ),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_done_dff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_done_dff_g36__4733  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_done[0]),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_done_en[0]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_done_dff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_done_dff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_done_dff_din_new [0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_done[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_error_dff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_error_dff_din_new [0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_823),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_error_dff_genblk1.dffsc_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_error_dff_din_new [1]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_error[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_rpend_dff_g35__6161  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_reset[0]),
+	.B(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_rpend_dff_n_0 ),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_rpend_dff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_rpend_dff_g36__9315  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_rpend[0]),
+	.B(n_39190),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_rpend_dff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_rpend_dff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_rpend_dff_din_new [0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_rpend[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_sz_dff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_sz_dff_n_8 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_742),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_sz_dff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_sz_dff_n_9 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_747),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_sz_dff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_sz_dff_n_10 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_n_752),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_valid_dff_g35__9945  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_reset[0]),
+	.B(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_valid_dff_n_0 ),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_valid_dff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_valid_dff_g36__2883  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_valid[0]),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[0]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_valid_dff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_valid_dff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_valid_dff_din_new [0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_valid[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_write_dff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[0].fifo_write_dff_n_4 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_write[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[1]),
+	.GCLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[23]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[55]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[1]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[33]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[2]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[34]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[27]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[59]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[3]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[35]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[4]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[36]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[5]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[37]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[32]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[6]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[38]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[7]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[39]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[8]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[40]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[9]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[41]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[10]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[42]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[11]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[43]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[12]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[44]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[20]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[52]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[14]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[46]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[15]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[47]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[16]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[48]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[17]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[49]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[18]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[50]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[19]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[51]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[13]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[45]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[21]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[53]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[22]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[54]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[24]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[56]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[25]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[57]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[26]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[58]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[30]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[62]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[31]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[63]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_n_19 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_genblock.dffs_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_n_25 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_n_18 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_n_20 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_genblock.dffs_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_n_23 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_genblock.dffs_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_n_22 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_n_21 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_genblock.dffs_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_byteen_dff_n_24 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dma_ctrl_fifo_data_en[1]),
+	.GCLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[13]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[77]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[1]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[65]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[2]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[66]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[3]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[67]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[4]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[68]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[59]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[59]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[123]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[5]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[69]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[6]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[70]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[7]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[71]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[55]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[55]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[119]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[8]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[72]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[9]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[73]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[10]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[74]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[51]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[51]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[115]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[11]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[75]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[12]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[76]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[64]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[14]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[78]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[15]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[79]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[16]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[80]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[17]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[81]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[43]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[43]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[107]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[18]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[82]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[19]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[83]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[20]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[84]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[39]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[39]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[103]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[21]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[85]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[22]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[86]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[23]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[87]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[35]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[35]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[99]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[24]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[88]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[25]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[89]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[45]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[45]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[109]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[27]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[91]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[28]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[92]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[29]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[93]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[30]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[94]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[31]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[95]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[32]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[32]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[96]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[33]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[33]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[97]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[34]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[34]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[98]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[36]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[36]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[100]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[37]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[37]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[101]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[38]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[38]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[102]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[40]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[40]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[104]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[41]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[41]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[105]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[42]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[42]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[106]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[44]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[44]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[108]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[26]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[90]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[46]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[46]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[110]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[47]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[47]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[111]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[48]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[48]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[112]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[49]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[49]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[113]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[50]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[50]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[114]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[52]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[52]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[116]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[53]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[53]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[117]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[54]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[54]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[118]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[56]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[56]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[120]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[57]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[57]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[121]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[58]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[58]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[122]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[60]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[60]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[124]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[61]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[61]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[125]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[62]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[62]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[126]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_genblock.dff_dout_reg[63]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_data_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_data_in[63]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[127]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_dbg_dff_g30__2346  (
+	.A0(brqrv_top_brqrv_dma_ctrl_fifo_dbg[1]),
+	.A1(brqrv_top_brqrv_dbg_cmd_valid),
+	.S(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[1]),
+	.X(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_dbg_dff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_dbg_dff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_dbg_dff_n_2 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_dbg[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_done_bus_dff_g35__1666  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_reset[1]),
+	.B(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_done_bus_dff_n_0 ),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_done_bus_dff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_done_bus_dff_g36__7410  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_done_bus[1]),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_done_bus_en[1]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_done_bus_dff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_done_bus_dff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_done_bus_dff_din_new [0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_done_bus[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_done_dff_g35__6417  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_reset[1]),
+	.B(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_done_dff_n_0 ),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_done_dff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_done_dff_g36__5477  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_done[1]),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_done_en[1]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_done_dff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_done_dff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_done_dff_din_new [0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_done[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_error_dff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_error_dff_din_new [0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_error[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_error_dff_genblk1.dffsc_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_error_dff_din_new [1]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_error[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_rpend_dff_g35__2398  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_reset[1]),
+	.B(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_rpend_dff_n_0 ),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_rpend_dff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_rpend_dff_g36__5107  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_rpend[1]),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_pend_en[1]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_rpend_dff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_rpend_dff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_rpend_dff_din_new [0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_rpend[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_sz_dff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_sz_dff_n_8 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_sz[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_sz_dff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_sz_dff_n_9 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_sz[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_sz_dff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_sz_dff_n_10 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_sz[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_valid_dff_g35__6260  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_reset[1]),
+	.B(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_valid_dff_n_0 ),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_valid_dff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_valid_dff_g36__4319  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_valid[1]),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[1]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_valid_dff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_valid_dff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_valid_dff_din_new [0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_valid[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_write_dff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[1].fifo_write_dff_n_4 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_write[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[2]),
+	.GCLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[12]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[76]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[2]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[66]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[3]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[67]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[4]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[68]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[25]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[89]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[5]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[69]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[6]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[70]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[7]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[71]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[8]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[72]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[9]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[73]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[10]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[74]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[11]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[75]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[17]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[81]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[64]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[21]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[85]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[14]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[78]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[15]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[79]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[16]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[80]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[18]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[82]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[19]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[83]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[20]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[84]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[13]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[77]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[22]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[86]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[23]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[87]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[24]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[88]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[26]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[90]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[30]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[94]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[31]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[95]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[1]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[65]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[27]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[91]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_n_19 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_genblock.dffs_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_n_25 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_n_18 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_n_20 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_genblock.dffs_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_n_23 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_genblock.dffs_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_n_22 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_n_21 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_genblock.dffs_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_byteen_dff_n_24 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dma_ctrl_fifo_data_en[2]),
+	.GCLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[1]_1469 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[129]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[2]_1470 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[130]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[3]_1471 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[131]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[61]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[61]_1529 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[189]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[4]_1472 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[132]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[5]_1473 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[133]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[6]_1474 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[134]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[57]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[57]_1525 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[185]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[7]_1475 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[135]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[8]_1476 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[136]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[9]_1477 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[137]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[10]_1478 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[138]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[11]_1479 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[139]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[12]_1480 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[140]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[13]_1481 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[141]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[49]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[49]_1517 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[177]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[14]_1482 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[142]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[15]_1483 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[143]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[16]_1484 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[144]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[45]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[45]_1513 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[173]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[17]_1485 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[145]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[18]_1486 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[146]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[19]_1487 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[147]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[41]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[41]_1509 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[169]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[20]_1488 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[148]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[21]_1489 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[149]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[22]_1490 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[150]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[23]_1491 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[151]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[24]_1492 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[152]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[25]_1493 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[153]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[26]_1494 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[154]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[27]_1495 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[155]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[28]_1496 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[156]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[29]_1497 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[157]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[30]_1498 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[158]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[31]_1499 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[159]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[32]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[32]_1500 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[160]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[34]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[34]_1502 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[162]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[35]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[35]_1503 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[163]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[36]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[36]_1504 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[164]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[37]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[37]_1505 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[165]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[38]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[38]_1506 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[166]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[39]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[39]_1507 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[167]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[40]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[40]_1508 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[168]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[42]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[42]_1510 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[170]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[43]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[43]_1511 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[171]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[44]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[44]_1512 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[172]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[46]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[46]_1514 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[174]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[47]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[47]_1515 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[175]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[48]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[48]_1516 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[176]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[50]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[50]_1518 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[178]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[51]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[51]_1519 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[179]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[52]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[52]_1520 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[180]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[53]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[53]_1521 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[181]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[54]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[54]_1522 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[182]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[55]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[55]_1523 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[183]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[56]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[56]_1524 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[184]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[58]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[58]_1526 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[186]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[59]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[59]_1527 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[187]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[60]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[60]_1528 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[188]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[62]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[62]_1530 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[190]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[63]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[63]_1531 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[191]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[0]_1468 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[128]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_genblock.dff_dout_reg[33]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[33]_1501 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[161]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_dbg_dff_g30__8428  (
+	.A0(brqrv_top_brqrv_dma_ctrl_fifo_dbg[2]),
+	.A1(brqrv_top_brqrv_dbg_cmd_valid),
+	.S(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[2]),
+	.X(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_dbg_dff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_dbg_dff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_dbg_dff_n_2 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_dbg[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_done_bus_dff_g35__5526  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_reset[2]),
+	.B(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_done_bus_dff_n_0 ),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_done_bus_dff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_done_bus_dff_g36__6783  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_done_bus[2]),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_done_bus_en[2]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_done_bus_dff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_done_bus_dff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_done_bus_dff_din_new [0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_done_bus[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_done_dff_g35__3680  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_reset[2]),
+	.B(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_done_dff_n_0 ),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_done_dff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_done_dff_g36__1617  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_done[2]),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_done_en[2]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_done_dff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_done_dff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_done_dff_din_new [0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_done[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_error_dff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_error_dff_din_new [0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_error[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_error_dff_genblk1.dffsc_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_error_dff_din_new [1]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_error[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_rpend_dff_g35__2802  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_reset[2]),
+	.B(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_rpend_dff_n_0 ),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_rpend_dff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_rpend_dff_g36__1705  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_rpend[2]),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_pend_en[2]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_rpend_dff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_rpend_dff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_rpend_dff_din_new [0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_rpend[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_sz_dff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_sz_dff_n_8 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_sz[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_sz_dff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_sz_dff_n_9 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_sz[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_sz_dff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_sz_dff_n_10 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_sz[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_valid_dff_g35__5122  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_reset[2]),
+	.B(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_valid_dff_n_0 ),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_valid_dff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_valid_dff_g36__8246  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_valid[2]),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[2]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_valid_dff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_valid_dff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_valid_dff_din_new [0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_valid[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_write_dff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[2].fifo_write_dff_n_4 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_write[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[3]),
+	.GCLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[23]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[119]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[1]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[97]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[2]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[98]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[27]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[123]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[3]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[99]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[4]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[100]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[5]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[101]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[96]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[6]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[102]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[7]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[103]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[8]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[104]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[9]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[105]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[10]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[106]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[11]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[107]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[12]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[108]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[20]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[116]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[14]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[110]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[15]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[111]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[16]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[112]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[17]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[113]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[18]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[114]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[19]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[115]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[13]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[109]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[21]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[117]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[22]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[118]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[24]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[120]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[25]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[121]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[26]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[122]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[30]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[126]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[31]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[127]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_n_19 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_genblock.dffs_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_n_25 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_n_18 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_n_20 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_genblock.dffs_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_n_23 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_genblock.dffs_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_n_22 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_n_21 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_genblock.dffs_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_byteen_dff_n_24 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dma_ctrl_fifo_data_en[3]),
+	.GCLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[13]_1547 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[205]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[1]_1535 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[193]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[2]_1536 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[194]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[3]_1537 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[195]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[4]_1538 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[196]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[59]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[59]_1593 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[251]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[5]_1539 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[197]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[6]_1540 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[198]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[7]_1541 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[199]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[55]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[55]_1589 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[247]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[8]_1542 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[200]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[9]_1543 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[201]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[10]_1544 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[202]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[51]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[51]_1585 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[243]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[11]_1545 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[203]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[12]_1546 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[204]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[0]_1534 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[192]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[14]_1548 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[206]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[15]_1549 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[207]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[16]_1550 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[208]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[17]_1551 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[209]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[43]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[43]_1577 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[235]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[18]_1552 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[210]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[19]_1553 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[211]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[20]_1554 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[212]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[39]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[39]_1573 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[231]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[21]_1555 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[213]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[22]_1556 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[214]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[23]_1557 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[215]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[35]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[35]_1569 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[227]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[24]_1558 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[216]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[25]_1559 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[217]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[45]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[45]_1579 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[237]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[27]_1561 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[219]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[28]_1562 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[220]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[29]_1563 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[221]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[30]_1564 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[222]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[31]_1565 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[223]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[32]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[32]_1566 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[224]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[33]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[33]_1567 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[225]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[34]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[34]_1568 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[226]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[36]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[36]_1570 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[228]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[37]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[37]_1571 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[229]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[38]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[38]_1572 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[230]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[40]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[40]_1574 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[232]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[41]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[41]_1575 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[233]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[42]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[42]_1576 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[234]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[44]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[44]_1578 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[236]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[26]_1560 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[218]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[46]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[46]_1580 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[238]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[47]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[47]_1581 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[239]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[48]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[48]_1582 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[240]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[49]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[49]_1583 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[241]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[50]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[50]_1584 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[242]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[52]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[52]_1586 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[244]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[53]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[53]_1587 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[245]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[54]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[54]_1588 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[246]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[56]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[56]_1590 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[248]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[57]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[57]_1591 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[249]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[58]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[58]_1592 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[250]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[60]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[60]_1594 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[252]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[61]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[61]_1595 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[253]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[62]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[62]_1596 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[254]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_genblock.dff_dout_reg[63]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[63]_1597 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[255]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_dbg_dff_g30__7098  (
+	.A0(brqrv_top_brqrv_dma_ctrl_fifo_dbg[3]),
+	.A1(brqrv_top_brqrv_dbg_cmd_valid),
+	.S(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[3]),
+	.X(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_dbg_dff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_dbg_dff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_dbg_dff_n_2 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_dbg[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_done_bus_dff_g35__6131  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_reset[3]),
+	.B(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_done_bus_dff_n_0 ),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_done_bus_dff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_done_bus_dff_g36__1881  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_done_bus[3]),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_done_bus_en[3]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_done_bus_dff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_done_bus_dff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_done_bus_dff_din_new [0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_done_bus[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_done_dff_g35__5115  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_reset[3]),
+	.B(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_done_dff_n_0 ),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_done_dff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_done_dff_g36__7482  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_done[3]),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_done_en[3]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_done_dff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_done_dff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_done_dff_din_new [0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_done[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_error_dff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_error_dff_din_new [0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_error[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_error_dff_genblk1.dffsc_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_error_dff_din_new [1]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_error[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_rpend_dff_g35__4733  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_reset[3]),
+	.B(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_rpend_dff_n_0 ),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_rpend_dff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_rpend_dff_g36__6161  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_rpend[3]),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_pend_en[3]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_rpend_dff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_rpend_dff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_rpend_dff_din_new [0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_rpend[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_sz_dff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_sz_dff_n_8 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_sz[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_sz_dff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_sz_dff_n_9 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_sz[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_sz_dff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_sz_dff_n_10 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_sz[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_valid_dff_g35__9315  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_reset[3]),
+	.B(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_valid_dff_n_0 ),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_valid_dff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_valid_dff_g36__9945  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_valid[3]),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[3]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_valid_dff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_valid_dff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_valid_dff_din_new [0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_valid[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_write_dff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[3].fifo_write_dff_n_4 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_write[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[4]),
+	.GCLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[23]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[151]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[1]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[129]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[2]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[130]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[27]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[155]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[3]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[131]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[4]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[132]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[5]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[133]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[128]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[6]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[134]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[7]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[135]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[8]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[136]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[9]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[137]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[10]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[138]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[11]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[139]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[12]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[140]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[20]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[148]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[14]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[142]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[15]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[143]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[16]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[144]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[17]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[145]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[18]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[146]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[19]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[147]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[13]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[141]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[21]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[149]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[22]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[150]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[24]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[152]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[25]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[153]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[26]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[154]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[30]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[158]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_addr_dff_l1clk ),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_addr_in[31]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_addr[159]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_n_19 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[33]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_genblock.dffs_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_n_25 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[39]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_n_18 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[32]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_n_20 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[34]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_genblock.dffs_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_n_23 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[37]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_genblock.dffs_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_n_22 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[36]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_n_21 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[35]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_genblock.dffs_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_byteen_dff_n_24 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_byteen[38]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dma_ctrl_fifo_data_en[4]),
+	.GCLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[44]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[44]_1644 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[300]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[35]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[35]_1635 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[291]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[62]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[62]_1662 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[318]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[36]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[36]_1636 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[292]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[37]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[37]_1637 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[293]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[38]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[38]_1638 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[294]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[58]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[58]_1658 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[314]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[39]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[39]_1639 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[295]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[40]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[40]_1640 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[296]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[41]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[41]_1641 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[297]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[42]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[42]_1642 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[298]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[43]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[43]_1643 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[299]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[0]_1600 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[256]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[45]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[45]_1645 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[301]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[46]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[46]_1646 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[302]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[47]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[47]_1647 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[303]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[48]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[48]_1648 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[304]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[49]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[49]_1649 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[305]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[50]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[50]_1650 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[306]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[51]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[51]_1651 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[307]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[52]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[52]_1652 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[308]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[53]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[53]_1653 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[309]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[54]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[54]_1654 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[310]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[55]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[55]_1655 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[311]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[56]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[56]_1656 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[312]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[13]_1613 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[269]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[59]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[59]_1659 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[315]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[60]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[60]_1660 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[316]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[61]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[61]_1661 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[317]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[63]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[63]_1663 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[319]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[34]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[34]_1634 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[290]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[1]_1601 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[257]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[2]_1602 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[258]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[3]_1603 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[259]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[17]_1617 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[273]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[4]_1604 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[260]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[5]_1605 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[261]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[6]_1606 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[262]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[57]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[57]_1657 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[313]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[7]_1607 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[263]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[8]_1608 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[264]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[9]_1609 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[265]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[10]_1610 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[266]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[11]_1611 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[267]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[12]_1612 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[268]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[14]_1614 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[270]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[15]_1615 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[271]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[16]_1616 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[272]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[19]_1619 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[275]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[32]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[32]_1632 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[288]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[33]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[33]_1633 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[289]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[18]_1618 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[274]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[21]_1621 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[277]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[22]_1622 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[278]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[23]_1623 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[279]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[28]_1628 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[284]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[24]_1624 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[280]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[20]_1620 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[276]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[26]_1626 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[282]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[27]_1627 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[283]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[29]_1629 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[285]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[30]_1630 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[286]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[31]_1631 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[287]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_data_dff_l1clk ),
+	.D(\brqrv_top_brqrv_dma_ctrl_fifo_data_in[25]_1625 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_data[281]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_dbg_dff_g30__2883  (
+	.A0(brqrv_top_brqrv_dma_ctrl_fifo_dbg[4]),
+	.A1(brqrv_top_brqrv_dbg_cmd_valid),
+	.S(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[4]),
+	.X(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_dbg_dff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_dbg_dff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_dbg_dff_n_2 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_dbg[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_done_bus_dff_g35__2346  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_reset[4]),
+	.B(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_done_bus_dff_n_0 ),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_done_bus_dff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_done_bus_dff_g36__1666  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_done_bus[4]),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_done_bus_en[4]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_done_bus_dff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_done_bus_dff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_done_bus_dff_din_new [0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_done_bus[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_done_dff_g35__7410  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_reset[4]),
+	.B(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_done_dff_n_0 ),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_done_dff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_done_dff_g36__6417  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_done[4]),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_done_en[4]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_done_dff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_done_dff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_done_dff_din_new [0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_done[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_error_dff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_error_dff_din_new [0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_error[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_error_dff_genblk1.dffsc_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_error_dff_din_new [1]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_error[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_rpend_dff_g35__5477  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_reset[4]),
+	.B(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_rpend_dff_n_0 ),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_rpend_dff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_rpend_dff_g36__2398  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_rpend[4]),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_pend_en[4]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_rpend_dff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_rpend_dff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_rpend_dff_din_new [0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_rpend[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_sz_dff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_sz_dff_n_8 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_sz[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_sz_dff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_sz_dff_n_9 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_sz[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_sz_dff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_sz_dff_n_10 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_sz[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_valid_dff_g35__5107  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_reset[4]),
+	.B(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_valid_dff_n_0 ),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_valid_dff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_valid_dff_g36__6260  (
+	.A(brqrv_top_brqrv_dma_ctrl_fifo_valid[4]),
+	.B(brqrv_top_brqrv_dma_ctrl_fifo_cmd_en[4]),
+	.Y(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_valid_dff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_valid_dff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_valid_dff_din_new [0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_valid[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_write_dff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_GenFifo[4].fifo_write_dff_n_4 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_write[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \brqrv_top_brqrv_dma_ctrl_Gen_dccm_enable.addr_dccm_rangecheck_g392__4319  (
+	.A(n_33564),
+	.B(n_33563),
+	.C(\brqrv_top_brqrv_dma_ctrl_Gen_dccm_enable.addr_dccm_rangecheck_n_1 ),
+	.D(\brqrv_top_brqrv_dma_ctrl_Gen_dccm_enable.addr_dccm_rangecheck_n_4 ),
+	.Y(brqrv_top_brqrv_dma_ctrl_dma_mem_addr_in_dccm), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 \brqrv_top_brqrv_dma_ctrl_Gen_dccm_enable.addr_dccm_rangecheck_g393__8428  (
+	.A_N(n_33569),
+	.B(\brqrv_top_brqrv_dma_ctrl_Gen_dccm_enable.addr_dccm_rangecheck_n_3 ),
+	.C(n_33570),
+	.D(n_33571),
+	.Y(\brqrv_top_brqrv_dma_ctrl_Gen_dccm_enable.addr_dccm_rangecheck_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \brqrv_top_brqrv_dma_ctrl_Gen_dccm_enable.addr_dccm_rangecheck_g394__5526  (
+	.A(n_33555),
+	.B(n_33556),
+	.C(\brqrv_top_brqrv_dma_ctrl_Gen_dccm_enable.addr_dccm_rangecheck_n_0 ),
+	.D(\brqrv_top_brqrv_dma_ctrl_Gen_dccm_enable.addr_dccm_rangecheck_n_2 ),
+	.Y(\brqrv_top_brqrv_dma_ctrl_Gen_dccm_enable.addr_dccm_rangecheck_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 \brqrv_top_brqrv_dma_ctrl_Gen_dccm_enable.addr_dccm_rangecheck_g395__6783  (
+	.A(n_33554),
+	.B(n_33561),
+	.C(n_33562),
+	.X(\brqrv_top_brqrv_dma_ctrl_Gen_dccm_enable.addr_dccm_rangecheck_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 \brqrv_top_brqrv_dma_ctrl_Gen_dccm_enable.addr_dccm_rangecheck_g396__3680  (
+	.A(n_33568),
+	.B(n_33566),
+	.C(n_33567),
+	.D(n_33565),
+	.X(\brqrv_top_brqrv_dma_ctrl_Gen_dccm_enable.addr_dccm_rangecheck_n_1 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4b_1 \brqrv_top_brqrv_dma_ctrl_Gen_dccm_enable.addr_dccm_rangecheck_g397__1617  (
+	.A(n_33558),
+	.B(n_33559),
+	.C(n_33557),
+	.D_N(n_33560),
+	.X(\brqrv_top_brqrv_dma_ctrl_Gen_dccm_enable.addr_dccm_rangecheck_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_dma_ctrl_Gen_iccm_enable.addr_iccm_rangecheck_g341__2802  (
+	.A(\brqrv_top_brqrv_dma_ctrl_Gen_iccm_enable.addr_iccm_rangecheck_n_1 ),
+	.B(\brqrv_top_brqrv_dma_ctrl_Gen_iccm_enable.addr_iccm_rangecheck_n_3 ),
+	.C(\brqrv_top_brqrv_dma_ctrl_Gen_iccm_enable.addr_iccm_rangecheck_n_6 ),
+	.Y(brqrv_top_brqrv_dma_ctrl_dma_mem_addr_in_iccm), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 \brqrv_top_brqrv_dma_ctrl_Gen_iccm_enable.addr_iccm_rangecheck_g342__1705  (
+	.A_N(n_33570),
+	.B(\brqrv_top_brqrv_dma_ctrl_Gen_iccm_enable.addr_iccm_rangecheck_n_5 ),
+	.C(n_33571),
+	.D(n_33569),
+	.Y(\brqrv_top_brqrv_dma_ctrl_Gen_iccm_enable.addr_iccm_rangecheck_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_dma_ctrl_Gen_iccm_enable.addr_iccm_rangecheck_g343__5122  (
+	.A(\brqrv_top_brqrv_dma_ctrl_Gen_iccm_enable.addr_iccm_rangecheck_n_0 ),
+	.B(\brqrv_top_brqrv_dma_ctrl_Gen_iccm_enable.addr_iccm_rangecheck_n_4 ),
+	.C(\brqrv_top_brqrv_dma_ctrl_Gen_iccm_enable.addr_iccm_rangecheck_n_2 ),
+	.Y(\brqrv_top_brqrv_dma_ctrl_Gen_iccm_enable.addr_iccm_rangecheck_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_dma_ctrl_Gen_iccm_enable.addr_iccm_rangecheck_g344__8246  (
+	.A(n_33560),
+	.B(n_33559),
+	.C(n_33558),
+	.Y(\brqrv_top_brqrv_dma_ctrl_Gen_iccm_enable.addr_iccm_rangecheck_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_dma_ctrl_Gen_iccm_enable.addr_iccm_rangecheck_g345__7098  (
+	.A(n_33565),
+	.B(n_33567),
+	.C(n_33568),
+	.D(n_33566),
+	.Y(\brqrv_top_brqrv_dma_ctrl_Gen_iccm_enable.addr_iccm_rangecheck_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_dma_ctrl_Gen_iccm_enable.addr_iccm_rangecheck_g346__6131  (
+	.A(n_33562),
+	.B(n_33561),
+	.C(n_33554),
+	.D(n_33555),
+	.Y(\brqrv_top_brqrv_dma_ctrl_Gen_iccm_enable.addr_iccm_rangecheck_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dma_ctrl_Gen_iccm_enable.addr_iccm_rangecheck_g347__1881  (
+	.A(n_33563),
+	.B(n_33564),
+	.Y(\brqrv_top_brqrv_dma_ctrl_Gen_iccm_enable.addr_iccm_rangecheck_n_1 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_dma_ctrl_Gen_iccm_enable.addr_iccm_rangecheck_g348__5115  (
+	.A(n_33556),
+	.B(n_33557),
+	.Y(\brqrv_top_brqrv_dma_ctrl_Gen_iccm_enable.addr_iccm_rangecheck_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_RdPtr_dff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(brqrv_top_brqrv_dma_ctrl_RdPtr_dff_n_8),
+	.Q(brqrv_top_brqrv_dma_mem_tag[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_RdPtr_dff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(brqrv_top_brqrv_dma_ctrl_RdPtr_dff_n_9),
+	.Q(brqrv_top_brqrv_dma_mem_tag[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_RdPtr_dff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(brqrv_top_brqrv_dma_ctrl_RdPtr_dff_n_10),
+	.Q(brqrv_top_brqrv_dma_mem_tag[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_RspPtr_dff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(brqrv_top_brqrv_dma_ctrl_RspPtr_dff_n_8),
+	.Q(brqrv_top_brqrv_dma_ctrl_RspPtr[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_RspPtr_dff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(brqrv_top_brqrv_dma_ctrl_RspPtr_dff_n_9),
+	.Q(brqrv_top_brqrv_dma_ctrl_RspPtr[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_RspPtr_dff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(brqrv_top_brqrv_dma_ctrl_RspPtr_dff_n_10),
+	.Q(brqrv_top_brqrv_dma_ctrl_RspPtr[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_WrPtr_dff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(brqrv_top_brqrv_dma_ctrl_WrPtr_dff_n_8),
+	.Q(brqrv_top_brqrv_dma_ctrl_WrPtr[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_WrPtr_dff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(brqrv_top_brqrv_dma_ctrl_WrPtr_dff_n_9),
+	.Q(brqrv_top_brqrv_dma_ctrl_WrPtr[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_WrPtr_dff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(brqrv_top_brqrv_dma_ctrl_WrPtr_dff_n_10),
+	.Q(brqrv_top_brqrv_dma_ctrl_WrPtr[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 brqrv_top_brqrv_dma_ctrl_addr_pic_rangecheck_g319__7482 (
+	.A(n_33566),
+	.B(n_33563),
+	.C(brqrv_top_brqrv_dma_ctrl_addr_pic_rangecheck_n_2),
+	.D(brqrv_top_brqrv_dma_ctrl_addr_pic_rangecheck_n_4),
+	.Y(brqrv_top_brqrv_dma_ctrl_dma_mem_addr_in_pic), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 brqrv_top_brqrv_dma_ctrl_addr_pic_rangecheck_g320__4733 (
+	.A(brqrv_top_brqrv_dma_ctrl_addr_pic_rangecheck_n_3),
+	.B(brqrv_top_brqrv_dma_ctrl_addr_pic_rangecheck_n_0),
+	.C(n_33560),
+	.D(n_33561),
+	.Y(brqrv_top_brqrv_dma_ctrl_addr_pic_rangecheck_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 brqrv_top_brqrv_dma_ctrl_addr_pic_rangecheck_g321__6161 (
+	.A(n_33558),
+	.B(n_33559),
+	.C(n_33557),
+	.D(brqrv_top_brqrv_dma_ctrl_addr_pic_rangecheck_n_1),
+	.Y(brqrv_top_brqrv_dma_ctrl_addr_pic_rangecheck_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 brqrv_top_brqrv_dma_ctrl_addr_pic_rangecheck_g322__9315 (
+	.A(n_33569),
+	.B(n_33562),
+	.C(n_33565),
+	.D(n_33564),
+	.X(brqrv_top_brqrv_dma_ctrl_addr_pic_rangecheck_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_dma_ctrl_addr_pic_rangecheck_g323__9945 (
+	.A(n_33570),
+	.B(n_33571),
+	.Y(brqrv_top_brqrv_dma_ctrl_addr_pic_rangecheck_n_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_dma_ctrl_addr_pic_rangecheck_g324__2883 (
+	.A(n_33568),
+	.B(n_33567),
+	.Y(brqrv_top_brqrv_dma_ctrl_addr_pic_rangecheck_n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_dbg_dma_bubble_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_bus_clk),
+	.D(brqrv_top_brqrv_dbg_dma_bubble),
+	.Q(brqrv_top_brqrv_dma_ctrl_dbg_dma_bubble_bus),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_dma_ctrl_dma_buffer_c1cgc_clkhdr (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clken),
+	.GCLK(brqrv_top_brqrv_dma_ctrl_dma_buffer_c1_clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_dma_ctrl_dma_bus_cgc_clkhdr (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dma_ctrl_dma_bus_cgc_logic_1_1_net),
+	.GCLK(brqrv_top_brqrv_dma_ctrl_dma_bus_clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 brqrv_top_brqrv_dma_ctrl_dma_bus_cgc_tie_1_cell (
+	.HI(brqrv_top_brqrv_dma_ctrl_dma_bus_cgc_logic_1_1_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_dma_dbg_cmd_doneff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_free_clk),
+	.D(brqrv_top_brqrv_dma_dbg_cmd_done),
+	.Q(brqrv_top_brqrv_dma_ctrl_dma_dbg_cmd_done_q),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_dma_ctrl_dma_free_cgc_clkhdr (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_dma_ctrl_dma_free_clken),
+	.GCLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_fifo_full_bus_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_bus_clk),
+	.D(brqrv_top_brqrv_dma_ctrl_fifo_full_spec),
+	.Q(brqrv_top_brqrv_dma_ctrl_fifo_full_spec_bus),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_dma_ctrl_mstr_prtyff_genblock.dffs_g21__2346  (
+	.A(brqrv_top_brqrv_dma_ctrl_axi_mstr_priority),
+	.B(brqrv_top_brqrv_dma_ctrl_bus_cmd_sent),
+	.X(\brqrv_top_brqrv_dma_ctrl_mstr_prtyff_genblock.dffs_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_mstr_prtyff_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_bus_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_mstr_prtyff_genblock.dffs_n_2 ),
+	.Q(brqrv_top_brqrv_dma_ctrl_axi_mstr_priority),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_nack_count_dff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(brqrv_top_brqrv_dma_ctrl_nack_count_dff_n_8),
+	.Q(brqrv_top_brqrv_dma_ctrl_dma_nack_count[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_nack_count_dff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(brqrv_top_brqrv_dma_ctrl_nack_count_dff_n_9),
+	.Q(brqrv_top_brqrv_dma_ctrl_dma_nack_count[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_nack_count_dff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_free_clk),
+	.D(brqrv_top_brqrv_dma_ctrl_nack_count_dff_n_10),
+	.Q(brqrv_top_brqrv_dma_ctrl_dma_nack_count[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_dma_ctrl_rdbuf_vldff_genblk1.dffsc_g16__1666  (
+	.A(brqrv_top_brqrv_dma_ctrl_rdbuf_cmd_sent),
+	.B_N(brqrv_top_brqrv_dma_ctrl_rdbuf_vld),
+	.Y(\brqrv_top_brqrv_dma_ctrl_rdbuf_vldff_genblk1.dffsc_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_rdbuf_vldff_genblk1.dffsc_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_bus_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_rdbuf_vldff_genblk1.dffsc_din_new [0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_rdbuf_vld),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_dma_ctrl_wrbuf_data_vldff_genblk1.dffsc_g16__7410  (
+	.A(brqrv_top_brqrv_dma_ctrl_wrbuf_cmd_sent),
+	.B_N(brqrv_top_brqrv_dma_ctrl_wrbuf_data_vld),
+	.Y(\brqrv_top_brqrv_dma_ctrl_wrbuf_data_vldff_genblk1.dffsc_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_wrbuf_data_vldff_genblk1.dffsc_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_bus_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_wrbuf_data_vldff_genblk1.dffsc_din_new [0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_wrbuf_data_vld),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_dma_ctrl_wrbuf_vldff_genblk1.dffsc_g16__6417  (
+	.A(brqrv_top_brqrv_dma_ctrl_wrbuf_cmd_sent),
+	.B_N(brqrv_top_brqrv_dma_ctrl_wrbuf_vld),
+	.Y(\brqrv_top_brqrv_dma_ctrl_wrbuf_vldff_genblk1.dffsc_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_dma_ctrl_wrbuf_vldff_genblk1.dffsc_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_dma_ctrl_dma_bus_clk),
+	.D(\brqrv_top_brqrv_dma_ctrl_wrbuf_vldff_genblk1.dffsc_din_new [0]),
+	.Q(brqrv_top_brqrv_dma_ctrl_wrbuf_vld),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_extra_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_data_en[1]),
+	.GCLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_extra_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_extra_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[12]),
+	.Q(brqrv_top_brqrv_exu_i0_pc_x[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_extra_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[11]),
+	.Q(brqrv_top_brqrv_exu_i0_pc_x[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_extra_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[9]),
+	.Q(brqrv_top_brqrv_exu_i0_pc_x[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_extra_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[5]),
+	.Q(brqrv_top_brqrv_exu_i0_pc_x[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_extra_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[4]),
+	.Q(brqrv_top_brqrv_exu_i0_pc_x[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_extra_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[8]),
+	.Q(brqrv_top_brqrv_exu_i0_pc_x[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_extra_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[3]),
+	.Q(brqrv_top_brqrv_exu_i0_pc_x[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_extra_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[2]),
+	.Q(brqrv_top_brqrv_exu_i0_pc_x[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_extra_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[10]),
+	.Q(brqrv_top_brqrv_exu_i0_pc_x[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_extra_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[7]),
+	.Q(brqrv_top_brqrv_exu_i0_pc_x[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_extra_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[1]),
+	.Q(brqrv_top_brqrv_exu_i0_pc_x[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_extra_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[6]),
+	.Q(brqrv_top_brqrv_exu_i0_pc_x[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_final_en),
+	.GCLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[19]),
+	.Q(brqrv_top_brqrv_exu_i0_pc_x[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[14]),
+	.Q(brqrv_top_brqrv_exu_i0_pc_x[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[30]),
+	.Q(brqrv_top_brqrv_exu_i0_pc_x[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[15]),
+	.Q(brqrv_top_brqrv_exu_i0_pc_x[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[16]),
+	.Q(brqrv_top_brqrv_exu_i0_pc_x[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[17]),
+	.Q(brqrv_top_brqrv_exu_i0_pc_x[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[26]),
+	.Q(brqrv_top_brqrv_exu_i0_pc_x[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[18]),
+	.Q(brqrv_top_brqrv_exu_i0_pc_x[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[13]),
+	.Q(brqrv_top_brqrv_exu_i0_pc_x[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[20]),
+	.Q(brqrv_top_brqrv_exu_i0_pc_x[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[21]),
+	.Q(brqrv_top_brqrv_exu_i0_pc_x[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[22]),
+	.Q(brqrv_top_brqrv_exu_i0_pc_x[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[23]),
+	.Q(brqrv_top_brqrv_exu_i0_pc_x[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[24]),
+	.Q(brqrv_top_brqrv_exu_i0_pc_x[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[25]),
+	.Q(brqrv_top_brqrv_exu_i0_pc_x[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[27]),
+	.Q(brqrv_top_brqrv_exu_i0_pc_x[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[28]),
+	.Q(brqrv_top_brqrv_exu_i0_pc_x[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[29]),
+	.Q(brqrv_top_brqrv_exu_i0_pc_x[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_pc_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[31]),
+	.Q(brqrv_top_brqrv_exu_i0_pc_x[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_i0_alu_decode_d),
+	.GCLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[12]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[1]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[2]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[3]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[4]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[27]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[5]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[6]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[7]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[23]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[8]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[9]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[10]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[19]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[11]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[0]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[31]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[14]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[15]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[16]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[17]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[18]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[20]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[21]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[22]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[24]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[25]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[26]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[28]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[29]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[30]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_alu_i_result_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_exu_i_alu_i_result_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_alu_result[13]),
+	.Q(brqrv_top_brqrv_exu_alu_result_x[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_exu_x_data_en_q1),
+	.GCLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[10]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[30]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[28]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[24]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[16]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[0]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[15]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[23]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[14]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[13]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[27]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[22]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[12]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[11]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[21]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[31]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[1]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[29]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[26]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[20]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[8]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[7]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[19]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[6]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[5]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[25]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[18]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[4]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[3]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[17]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[2]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_csr_rs1_x_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_exu_i_csr_rs1_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_rs1_d[9]),
+	.Q(brqrv_top_brqrv_exu_csr_rs1_x[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_enable ),
+	.GCLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [13]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [1]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [2]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [3]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [4]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [5]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [6]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [7]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [25]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [8]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [9]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [10]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [21]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [11]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [12]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [0]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [14]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [15]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [16]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [17]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [18]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [19]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [20]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [22]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [23]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [24]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [26]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [27]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [28]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [29]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [30]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [31]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_genblock.dff_dout_reg[32]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_a_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_in [32]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_a_ff [32]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_enable ),
+	.GCLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[32]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(n_38545),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [33]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [12]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [1]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [2]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [3]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [4]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [27]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [5]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [6]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [7]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [23]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [8]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [9]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [10]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [19]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [11]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [0]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [31]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [14]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [15]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [16]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [17]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [18]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [20]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [21]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [22]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [24]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [25]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [26]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [28]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [29]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [30]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_b_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_in [13]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_b_ff [13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_misc_enable ),
+	.GCLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_l1clk ),
+	.D(brqrv_top_brqrv_div_p[2]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_valid_ff ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_finish ),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_finish_ff ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_in [1]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_ff [1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_in [2]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_ff [2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_in [0]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_in [3]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_ff [3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_in [4]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_ff [4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_in [5]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_ff [5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_in [6]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_ff [6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_in [0]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_count_ff [0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_in [2]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift [1]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift [2]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift [3]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift [4]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable ),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_enable_ff ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case ),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_by_zero_case_ff ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_in [1]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_control_ff [1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_misc_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift [0]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_shortq_shift_ff [0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_rq_enable ),
+	.GCLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [20]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [31]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [24]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [25]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [26]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [27]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [28]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [29]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [30]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [21]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [22]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [23]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [0]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [19]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [1]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [2]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [3]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [15]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [4]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [5]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [6]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [11]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [7]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [8]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [9]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [10]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [12]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [13]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [14]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [16]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [17]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_q_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_in [18]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_q_ff [18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_rq_enable ),
+	.GCLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [13]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [1]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [2]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [3]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [4]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [5]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [6]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [7]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [25]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [8]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [9]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [10]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [21]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [11]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [12]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [0]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [14]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [15]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [16]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [17]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [18]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [19]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [20]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [22]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [23]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [24]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [26]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [27]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [28]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [29]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [30]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [31]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_genblock.dff_dout_reg[32]  (
+	.CLK(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_i_r_ff_l1clk ),
+	.D(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_in [32]),
+	.Q(\brqrv_top_brqrv_exu_i_div_genblk4.i_new_3bit_div_fullshortq_r_ff [32]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_extra_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_data_en[1]),
+	.GCLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_extra_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_extra_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_extra_l1clk),
+	.D(n_39303),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_x[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_extra_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_extra_l1clk),
+	.D(n_38494),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_x[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_extra_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_extra_l1clk),
+	.D(n_39300),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_x[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_extra_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_extra_l1clk),
+	.D(n_38482),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_x[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_extra_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_extra_l1clk),
+	.D(n_39299),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_x[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_extra_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_extra_l1clk),
+	.D(n_39310),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_x[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_extra_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_extra_l1clk),
+	.D(n_39309),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_x[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_extra_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_extra_l1clk),
+	.D(n_39298),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_x[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_extra_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_extra_l1clk),
+	.D(n_39308),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_x[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_extra_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_extra_l1clk),
+	.D(n_38481),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_x[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_extra_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_extra_l1clk),
+	.D(n_39302),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_x[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_extra_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_extra_l1clk),
+	.D(n_39301),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_x[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_final_en),
+	.GCLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_l1clk),
+	.D(n_39239),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_x[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_l1clk),
+	.D(n_39242),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_x[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_l1clk),
+	.D(n_39238),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_x[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_l1clk),
+	.D(n_39245),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_x[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_l1clk),
+	.D(n_39232),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_x[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_l1clk),
+	.D(n_39236),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_x[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_l1clk),
+	.D(n_39244),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_x[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_l1clk),
+	.D(n_39235),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_x[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_l1clk),
+	.D(n_39243),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_x[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_l1clk),
+	.D(n_39241),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_x[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_l1clk),
+	.D(n_39237),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_x[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_l1clk),
+	.D(n_39307),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_x[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_l1clk),
+	.D(n_39306),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_x[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_l1clk),
+	.D(n_39234),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_x[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_l1clk),
+	.D(n_39305),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_x[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_l1clk),
+	.D(n_39233),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_x[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_l1clk),
+	.D(n_39240),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_x[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_l1clk),
+	.D(n_39304),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_x[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_path_x_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_d[31]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_x[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41a_1 brqrv_top_brqrv_exu_i_flush_r_ff_g1120__5477 (
+	.A1(brqrv_top_brqrv_exu_i_flush_r_ff_n_16),
+	.A2(brqrv_top_brqrv_exu_i_flush_r_ff_n_11),
+	.A3(brqrv_top_brqrv_exu_i_flush_r_ff_n_15),
+	.A4(brqrv_top_brqrv_exu_i_flush_r_ff_n_23),
+	.B1(brqrv_top_brqrv_dec_data_en[0]),
+	.X(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_final_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 brqrv_top_brqrv_exu_i_flush_r_ff_g1121__2398 (
+	.A(brqrv_top_brqrv_exu_i_flush_r_ff_n_20),
+	.B(brqrv_top_brqrv_exu_i_flush_r_ff_n_21),
+	.C(brqrv_top_brqrv_exu_i_flush_r_ff_n_19),
+	.D(brqrv_top_brqrv_exu_i_flush_r_ff_n_22),
+	.Y(brqrv_top_brqrv_exu_i_flush_r_ff_n_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 brqrv_top_brqrv_exu_i_flush_r_ff_g1122__5107 (
+	.A(brqrv_top_brqrv_exu_i_flush_r_ff_n_12),
+	.B(brqrv_top_brqrv_exu_i_flush_r_ff_n_9),
+	.C(brqrv_top_brqrv_exu_i_flush_r_ff_n_8),
+	.D(brqrv_top_brqrv_exu_i_flush_r_ff_n_13),
+	.Y(brqrv_top_brqrv_exu_i_flush_r_ff_n_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 brqrv_top_brqrv_exu_i_flush_r_ff_g1123__6260 (
+	.A(brqrv_top_brqrv_exu_i_flush_r_ff_n_0),
+	.B(brqrv_top_brqrv_exu_i_flush_r_ff_n_14),
+	.C(brqrv_top_brqrv_exu_i_flush_r_ff_n_18),
+	.D(brqrv_top_brqrv_exu_i_flush_r_ff_n_10),
+	.Y(brqrv_top_brqrv_exu_i_flush_r_ff_n_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 brqrv_top_brqrv_exu_i_flush_r_ff_g1124__4319 (
+	.A(brqrv_top_brqrv_exu_i_flush_r_ff_n_4),
+	.B(brqrv_top_brqrv_exu_i_flush_r_ff_n_1),
+	.C(brqrv_top_brqrv_exu_i_flush_r_ff_n_17),
+	.D(brqrv_top_brqrv_exu_i_flush_r_ff_n_6),
+	.Y(brqrv_top_brqrv_exu_i_flush_r_ff_n_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 brqrv_top_brqrv_exu_i_flush_r_ff_g1125__8428 (
+	.A(brqrv_top_brqrv_exu_i_flush_r_ff_n_5),
+	.B(brqrv_top_brqrv_exu_i_flush_r_ff_n_3),
+	.C(brqrv_top_brqrv_exu_i_flush_r_ff_n_2),
+	.D(brqrv_top_brqrv_exu_i_flush_r_ff_n_7),
+	.Y(brqrv_top_brqrv_exu_i_flush_r_ff_n_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_flush_r_ff_g1126__5526 (
+	.A(brqrv_top_brqrv_exu_i0_flush_path_upper_r[21]),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_x[21]),
+	.X(brqrv_top_brqrv_exu_i_flush_r_ff_n_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_flush_r_ff_g1127__6783 (
+	.A(brqrv_top_brqrv_exu_i0_flush_path_upper_r[16]),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_x[16]),
+	.X(brqrv_top_brqrv_exu_i_flush_r_ff_n_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_flush_r_ff_g1128__3680 (
+	.A(brqrv_top_brqrv_exu_i0_flush_path_upper_r[14]),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_x[14]),
+	.X(brqrv_top_brqrv_exu_i_flush_r_ff_n_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_flush_r_ff_g1129__1617 (
+	.A(brqrv_top_brqrv_exu_i0_flush_path_upper_r[13]),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_x[13]),
+	.X(brqrv_top_brqrv_exu_i_flush_r_ff_n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_flush_r_ff_g1130__2802 (
+	.A(brqrv_top_brqrv_exu_i0_flush_path_upper_r[22]),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_x[22]),
+	.X(brqrv_top_brqrv_exu_i_flush_r_ff_n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_flush_r_ff_g1131__1705 (
+	.A(brqrv_top_brqrv_exu_i0_flush_path_upper_r[31]),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_x[31]),
+	.X(brqrv_top_brqrv_exu_i_flush_r_ff_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_flush_r_ff_g1132__5122 (
+	.A(brqrv_top_brqrv_exu_i0_flush_path_upper_r[30]),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_x[30]),
+	.X(brqrv_top_brqrv_exu_i_flush_r_ff_n_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_flush_r_ff_g1133__8246 (
+	.A(brqrv_top_brqrv_exu_i0_flush_path_upper_r[15]),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_x[15]),
+	.X(brqrv_top_brqrv_exu_i_flush_r_ff_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_exu_i_flush_r_ff_g1134__7098 (
+	.A1_N(brqrv_top_brqrv_exu_i0_flush_path_upper_r[23]),
+	.A2_N(brqrv_top_brqrv_exu_i0_flush_path_x[23]),
+	.B1(brqrv_top_brqrv_exu_i0_flush_path_upper_r[23]),
+	.B2(brqrv_top_brqrv_exu_i0_flush_path_x[23]),
+	.Y(brqrv_top_brqrv_exu_i_flush_r_ff_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_flush_r_ff_g1135__6131 (
+	.A(brqrv_top_brqrv_exu_i0_flush_path_upper_r[29]),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_x[29]),
+	.X(brqrv_top_brqrv_exu_i_flush_r_ff_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_flush_r_ff_g1136__1881 (
+	.A(brqrv_top_brqrv_exu_i0_flush_path_upper_r[28]),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_x[28]),
+	.X(brqrv_top_brqrv_exu_i_flush_r_ff_n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_flush_r_ff_g1137__5115 (
+	.A(brqrv_top_brqrv_exu_i0_flush_path_upper_r[27]),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_x[27]),
+	.X(brqrv_top_brqrv_exu_i_flush_r_ff_n_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_flush_r_ff_g1138__7482 (
+	.A(brqrv_top_brqrv_exu_i0_flush_path_upper_r[18]),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_x[18]),
+	.X(brqrv_top_brqrv_exu_i_flush_r_ff_n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_flush_r_ff_g1139__4733 (
+	.A(brqrv_top_brqrv_exu_i0_flush_path_upper_r[26]),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_x[26]),
+	.X(brqrv_top_brqrv_exu_i_flush_r_ff_n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_flush_r_ff_g1140__6161 (
+	.A(brqrv_top_brqrv_exu_i0_flush_path_upper_r[17]),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_x[17]),
+	.X(brqrv_top_brqrv_exu_i_flush_r_ff_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_flush_r_ff_g1141__9315 (
+	.A(brqrv_top_brqrv_exu_i0_flush_path_upper_r[25]),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_x[25]),
+	.X(brqrv_top_brqrv_exu_i_flush_r_ff_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_flush_r_ff_g1142__9945 (
+	.A(brqrv_top_brqrv_exu_i0_flush_path_upper_r[24]),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_x[24]),
+	.X(brqrv_top_brqrv_exu_i_flush_r_ff_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_flush_r_ff_g1143__2883 (
+	.A(brqrv_top_brqrv_exu_i0_flush_path_upper_r[19]),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_x[19]),
+	.X(brqrv_top_brqrv_exu_i_flush_r_ff_n_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_brqrv_exu_i_flush_r_ff_g1144__2346 (
+	.A(brqrv_top_brqrv_exu_i0_flush_path_upper_r[20]),
+	.B(brqrv_top_brqrv_exu_i0_flush_path_x[20]),
+	.X(brqrv_top_brqrv_exu_i_flush_r_ff_n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_extra_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_data_en[0]),
+	.GCLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_extra_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_extra_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_x[12]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_upper_r[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_extra_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_x[11]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_upper_r[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_extra_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_x[9]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_upper_r[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_extra_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_x[5]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_upper_r[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_extra_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_x[4]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_upper_r[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_extra_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_x[8]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_upper_r[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_extra_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_x[3]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_upper_r[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_extra_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_x[2]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_upper_r[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_extra_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_x[10]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_upper_r[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_extra_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_x[7]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_upper_r[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_extra_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_x[1]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_upper_r[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_extra_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_x[6]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_upper_r[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_final_en),
+	.GCLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_x[13]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_upper_r[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_x[30]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_upper_r[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_x[28]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_upper_r[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_x[24]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_upper_r[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_x[16]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_upper_r[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_x[15]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_upper_r[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_x[23]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_upper_r[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_x[14]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_upper_r[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_x[31]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_upper_r[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_x[27]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_upper_r[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_x[22]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_upper_r[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_x[21]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_upper_r[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_x[29]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_upper_r[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_x[26]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_upper_r[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_x[20]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_upper_r[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_x[19]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_upper_r[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_x[25]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_upper_r[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_x[18]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_upper_r[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_exu_i_flush_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_path_x[17]),
+	.Q(brqrv_top_brqrv_exu_i0_flush_path_upper_r[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_exu_i_misc_ff_clkhdr_clkhdr (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_exu_i_misc_ff_en),
+	.GCLK(brqrv_top_brqrv_exu_i_misc_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_misc_ff_dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_exu_i_misc_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_i0_branch_d),
+	.Q(brqrv_top_brqrv_exu_i0_branch_x),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_misc_ff_dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_exu_i_misc_ff_l1clk),
+	.D(brqrv_top_brqrv_mul_p[19]),
+	.Q(brqrv_top_brqrv_exu_mul_valid_x),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_misc_ff_dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_exu_i_misc_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_ghr_d_ns[0]),
+	.Q(brqrv_top_brqrv_exu_ghr_d[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_misc_ff_dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_exu_i_misc_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_ghr_d_ns[1]),
+	.Q(brqrv_top_brqrv_exu_ghr_d[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_misc_ff_dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_exu_i_misc_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_ghr_d_ns[5]),
+	.Q(brqrv_top_brqrv_exu_ghr_d[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_misc_ff_dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_exu_i_misc_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_ghr_d_ns[4]),
+	.Q(brqrv_top_brqrv_exu_ghr_d[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_misc_ff_dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_exu_i_misc_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_ghr_d_ns[3]),
+	.Q(brqrv_top_brqrv_exu_ghr_d[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_misc_ff_dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_exu_i_misc_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_ghr_d_ns[2]),
+	.Q(brqrv_top_brqrv_exu_ghr_d[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_misc_ff_dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_exu_i_misc_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_ghr_d_ns[6]),
+	.Q(brqrv_top_brqrv_exu_ghr_d[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_mul_p[19]),
+	.GCLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[33]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_n_254),
+	.Q(brqrv_top_brqrv_exu_i_mul_low_x),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[13]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[1]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[2]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[3]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[4]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[5]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[6]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[7]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[25]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[8]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[9]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[10]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[21]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[11]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[12]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[0]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[14]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[15]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[16]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[17]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[18]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[19]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[20]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[22]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[23]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[24]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[26]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[27]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[28]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[29]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[30]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[31]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_a_x_ff_genblock.dff_dout_reg[32]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_a_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs1_ext_in[32]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs1_x[32]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_mul_p[19]),
+	.GCLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[13]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[1]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[2]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[3]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[4]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[5]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[6]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[7]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[25]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[8]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[9]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[10]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[21]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[11]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[12]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[0]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[14]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[15]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[16]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[17]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[18]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[19]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[20]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[22]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[23]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[24]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[26]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[27]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[28]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[29]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[30]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[31]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_mul_i_b_x_ff_genblock.dff_dout_reg[32]  (
+	.CLK(brqrv_top_brqrv_exu_i_mul_i_b_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i_mul_rs2_ext_in[32]),
+	.Q(brqrv_top_brqrv_exu_i_mul_rs2_x[32]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_extra_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_data_en[0]),
+	.GCLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_extra_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_extra_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_extra_l1clk),
+	.D(n_39362),
+	.Q(brqrv_top_brqrv_exu_pred_correct_npc_r[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_extra_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_extra_l1clk),
+	.D(n_39363),
+	.Q(brqrv_top_brqrv_exu_pred_correct_npc_r[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_extra_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_pred_correct_npc_x[5]),
+	.Q(brqrv_top_brqrv_exu_pred_correct_npc_r[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_extra_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_pred_correct_npc_x[6]),
+	.Q(brqrv_top_brqrv_exu_pred_correct_npc_r[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_extra_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_pred_correct_npc_x[7]),
+	.Q(brqrv_top_brqrv_exu_pred_correct_npc_r[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_extra_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_pred_correct_npc_x[8]),
+	.Q(brqrv_top_brqrv_exu_pred_correct_npc_r[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_extra_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_pred_correct_npc_x[9]),
+	.Q(brqrv_top_brqrv_exu_pred_correct_npc_r[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_extra_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_extra_l1clk),
+	.D(n_39365),
+	.Q(brqrv_top_brqrv_exu_pred_correct_npc_r[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_extra_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_extra_l1clk),
+	.D(n_39367),
+	.Q(brqrv_top_brqrv_exu_pred_correct_npc_r[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_extra_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_pred_correct_npc_x[2]),
+	.Q(brqrv_top_brqrv_exu_pred_correct_npc_r[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_extra_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_pred_correct_npc_x[3]),
+	.Q(brqrv_top_brqrv_exu_pred_correct_npc_r[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_extra_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_pred_correct_npc_x[4]),
+	.Q(brqrv_top_brqrv_exu_pred_correct_npc_r[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_final_en),
+	.GCLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_pred_correct_npc_x[19]),
+	.Q(brqrv_top_brqrv_exu_pred_correct_npc_r[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_pred_correct_npc_x[14]),
+	.Q(brqrv_top_brqrv_exu_pred_correct_npc_r[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_pred_correct_npc_x[30]),
+	.Q(brqrv_top_brqrv_exu_pred_correct_npc_r[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_pred_correct_npc_x[15]),
+	.Q(brqrv_top_brqrv_exu_pred_correct_npc_r[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_pred_correct_npc_x[16]),
+	.Q(brqrv_top_brqrv_exu_pred_correct_npc_r[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_pred_correct_npc_x[17]),
+	.Q(brqrv_top_brqrv_exu_pred_correct_npc_r[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_pred_correct_npc_x[26]),
+	.Q(brqrv_top_brqrv_exu_pred_correct_npc_r[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_pred_correct_npc_x[18]),
+	.Q(brqrv_top_brqrv_exu_pred_correct_npc_r[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_pred_correct_npc_x[13]),
+	.Q(brqrv_top_brqrv_exu_pred_correct_npc_r[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_pred_correct_npc_x[20]),
+	.Q(brqrv_top_brqrv_exu_pred_correct_npc_r[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_pred_correct_npc_x[21]),
+	.Q(brqrv_top_brqrv_exu_pred_correct_npc_r[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_pred_correct_npc_x[22]),
+	.Q(brqrv_top_brqrv_exu_pred_correct_npc_r[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_pred_correct_npc_x[23]),
+	.Q(brqrv_top_brqrv_exu_pred_correct_npc_r[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_pred_correct_npc_x[24]),
+	.Q(brqrv_top_brqrv_exu_pred_correct_npc_r[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_pred_correct_npc_x[25]),
+	.Q(brqrv_top_brqrv_exu_pred_correct_npc_r[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_pred_correct_npc_x[27]),
+	.Q(brqrv_top_brqrv_exu_pred_correct_npc_r[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_pred_correct_npc_x[28]),
+	.Q(brqrv_top_brqrv_exu_pred_correct_npc_r[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_pred_correct_npc_x[29]),
+	.Q(brqrv_top_brqrv_exu_pred_correct_npc_r[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_exu_i_npc_r_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_pred_correct_npc_x[31]),
+	.Q(brqrv_top_brqrv_exu_pred_correct_npc_r[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_data_en[1]),
+	.GCLK(brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc[1]),
+	.Q(brqrv_top_brqrv_exu_i0_predict_p_x[53]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_l1clk),
+	.D(brqrv_top_brqrv_dec_i0_predict_p_d[48]),
+	.Q(brqrv_top_brqrv_exu_i0_predict_p_x[48]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_l1clk),
+	.D(brqrv_top_brqrv_dec_dec_i0_instr_d[31]),
+	.Q(brqrv_top_brqrv_exu_i0_predict_p_x[49]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_predict_p_d[50]),
+	.Q(brqrv_top_brqrv_exu_i0_predict_p_x[50]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_pc4),
+	.Q(brqrv_top_brqrv_exu_i0_predict_p_x[52]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_l1clk),
+	.D(brqrv_top_brqrv_dec_i0_predict_p_d[31]),
+	.Q(brqrv_top_brqrv_exu_i0_predict_p_x[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_predict_p_d[54]),
+	.Q(brqrv_top_brqrv_exu_i0_predict_p_x[54]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_l1clk),
+	.D(brqrv_top_brqrv_dec_i0_predict_p_d[47]),
+	.Q(brqrv_top_brqrv_exu_i0_predict_p_x[47]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_l1clk),
+	.D(brqrv_top_brqrv_dec_i0_predict_p_d[33]),
+	.Q(brqrv_top_brqrv_exu_i0_predict_p_x[33]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_l1clk),
+	.D(brqrv_top_brqrv_dec_i0_predict_p_d[34]),
+	.Q(brqrv_top_brqrv_exu_i0_predict_p_x[34]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_l1clk),
+	.D(brqrv_top_brqrv_dec_i0_predict_p_d[35]),
+	.Q(brqrv_top_brqrv_exu_i0_predict_p_x[35]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_l1clk),
+	.D(brqrv_top_brqrv_dec_i0_predict_p_d[40]),
+	.Q(brqrv_top_brqrv_exu_i0_predict_p_x[40]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_l1clk),
+	.D(brqrv_top_brqrv_dec_i0_predict_p_d[36]),
+	.Q(brqrv_top_brqrv_exu_i0_predict_p_x[36]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_l1clk),
+	.D(brqrv_top_brqrv_dec_i0_predict_p_d[37]),
+	.Q(brqrv_top_brqrv_exu_i0_predict_p_x[37]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_l1clk),
+	.D(brqrv_top_brqrv_dec_i0_predict_p_d[38]),
+	.Q(brqrv_top_brqrv_exu_i0_predict_p_x[38]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_l1clk),
+	.D(brqrv_top_brqrv_dec_i0_predict_p_d[39]),
+	.Q(brqrv_top_brqrv_exu_i0_predict_p_x[39]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_l1clk),
+	.D(brqrv_top_brqrv_dec_i0_predict_p_d[43]),
+	.Q(brqrv_top_brqrv_exu_i0_predict_p_x[43]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_l1clk),
+	.D(brqrv_top_brqrv_dec_i0_predict_p_d[41]),
+	.Q(brqrv_top_brqrv_exu_i0_predict_p_x[41]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_l1clk),
+	.D(brqrv_top_brqrv_dec_i0_predict_p_d[42]),
+	.Q(brqrv_top_brqrv_exu_i0_predict_p_x[42]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_l1clk),
+	.D(brqrv_top_brqrv_dec_i0_predict_p_d[44]),
+	.Q(brqrv_top_brqrv_exu_i0_predict_p_x[44]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_l1clk),
+	.D(brqrv_top_brqrv_dec_i0_predict_p_d[45]),
+	.Q(brqrv_top_brqrv_exu_i0_predict_p_x[45]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_l1clk),
+	.D(brqrv_top_brqrv_dec_i0_predict_p_d[46]),
+	.Q(brqrv_top_brqrv_exu_i0_predict_p_x[46]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_l1clk),
+	.D(brqrv_top_brqrv_i0_brp[1]),
+	.Q(brqrv_top_brqrv_exu_i0_predict_p_x[32]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_predict_p_d[51]),
+	.Q(brqrv_top_brqrv_exu_i0_predict_p_x[51]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_exu_i_predictpacket_x_ff_dff_left_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_predict_p_d[55]),
+	.Q(brqrv_top_brqrv_exu_i0_predict_p_x[55]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_exu_i_predpipe_r_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_exu_r_data_en_q2),
+	.GCLK(brqrv_top_brqrv_exu_i_predpipe_r_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_r_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_r_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_predpipe_x[10]),
+	.Q(brqrv_top_brqrv_exu_i0_br_index_r[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_r_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_r_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_predpipe_x[18]),
+	.Q(brqrv_top_brqrv_exu_i0_br_fghr_r[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_r_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_r_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_predpipe_x[16]),
+	.Q(brqrv_top_brqrv_exu_i0_br_fghr_r[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_r_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_r_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_predpipe_x[12]),
+	.Q(brqrv_top_brqrv_exu_i0_br_index_r[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_r_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_r_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_predpipe_x[11]),
+	.Q(brqrv_top_brqrv_exu_i0_br_index_r[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_r_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_r_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_predpipe_x[15]),
+	.Q(brqrv_top_brqrv_exu_i0_br_fghr_r[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_r_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_r_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_predpipe_x[19]),
+	.Q(brqrv_top_brqrv_exu_i0_br_fghr_r[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_r_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_r_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_predpipe_x[6]),
+	.Q(brqrv_top_brqrv_exu_i0_br_index_r[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_r_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_r_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_predpipe_x[17]),
+	.Q(brqrv_top_brqrv_exu_i0_br_fghr_r[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_r_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_r_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_predpipe_x[14]),
+	.Q(brqrv_top_brqrv_exu_i0_br_fghr_r[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_r_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_r_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_predpipe_x[8]),
+	.Q(brqrv_top_brqrv_exu_i0_br_index_r[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_r_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_r_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_predpipe_x[7]),
+	.Q(brqrv_top_brqrv_exu_i0_br_index_r[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_r_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_r_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_predpipe_x[13]),
+	.Q(brqrv_top_brqrv_exu_i0_br_fghr_r[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_r_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_r_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_predpipe_x[9]),
+	.Q(brqrv_top_brqrv_exu_i0_br_index_r[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_exu_i_predpipe_x_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_exu_x_data_en_q2),
+	.GCLK(brqrv_top_brqrv_exu_i_predpipe_x_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_x_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_x_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_bp_index[2]),
+	.Q(brqrv_top_brqrv_exu_predpipe_x[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_x_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_x_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_bp_fghr[6]),
+	.Q(brqrv_top_brqrv_exu_predpipe_x[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_x_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_x_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_bp_index[6]),
+	.Q(brqrv_top_brqrv_exu_predpipe_x[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_x_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_x_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_bp_index[7]),
+	.Q(brqrv_top_brqrv_exu_predpipe_x[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_x_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_x_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_bp_index[8]),
+	.Q(brqrv_top_brqrv_exu_predpipe_x[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_x_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_x_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_bp_fghr[2]),
+	.Q(brqrv_top_brqrv_exu_predpipe_x[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_x_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_x_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_bp_fghr[3]),
+	.Q(brqrv_top_brqrv_exu_predpipe_x[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_x_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_x_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_bp_fghr[4]),
+	.Q(brqrv_top_brqrv_exu_predpipe_x[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_x_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_x_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_bp_fghr[5]),
+	.Q(brqrv_top_brqrv_exu_predpipe_x[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_x_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_x_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_bp_index[3]),
+	.Q(brqrv_top_brqrv_exu_predpipe_x[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_x_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_x_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_bp_index[4]),
+	.Q(brqrv_top_brqrv_exu_predpipe_x[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_x_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_x_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_bp_index[5]),
+	.Q(brqrv_top_brqrv_exu_predpipe_x[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_x_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_x_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_bp_btag[1]),
+	.Q(brqrv_top_brqrv_exu_predpipe_x[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_x_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_x_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_bp_fghr[1]),
+	.Q(brqrv_top_brqrv_exu_predpipe_x[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_x_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_x_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_bp_btag[0]),
+	.Q(brqrv_top_brqrv_exu_predpipe_x[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_x_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_x_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_bp_btag[2]),
+	.Q(brqrv_top_brqrv_exu_predpipe_x[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_x_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_x_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_bp_btag[5]),
+	.Q(brqrv_top_brqrv_exu_predpipe_x[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_x_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_x_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_bp_btag[4]),
+	.Q(brqrv_top_brqrv_exu_predpipe_x[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_x_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_x_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_bp_btag[3]),
+	.Q(brqrv_top_brqrv_exu_predpipe_x[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_predpipe_x_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_exu_i_predpipe_x_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_i0_bp_fghr[0]),
+	.Q(brqrv_top_brqrv_exu_predpipe_x[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_exu_i_r_ff0_dff_left_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_ctl_en[0]),
+	.GCLK(brqrv_top_brqrv_exu_i_r_ff0_dff_left_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_r_ff0_dff_left_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_exu_i_r_ff0_dff_left_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_predict_p_x[55]),
+	.Q(brqrv_top_brqrv_exu_i0_br_mp_r),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_r_ff0_dff_left_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_exu_i_r_ff0_dff_left_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_predict_p_x[53]),
+	.Q(brqrv_top_brqrv_exu_n_1748),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_r_ff0_dff_left_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_exu_i_r_ff0_dff_left_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_predict_p_x[50]),
+	.Q(brqrv_top_brqrv_exu_n_1745),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_r_ff0_dff_left_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_exu_i_r_ff0_dff_left_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_predict_p_x[52]),
+	.Q(brqrv_top_brqrv_exu_pmu_i0_pc4),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_r_ff0_dff_left_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_exu_i_r_ff0_dff_left_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_predict_p_x[32]),
+	.Q(brqrv_top_brqrv_exu_i0_br_way_r),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_r_ff0_dff_left_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_exu_i_r_ff0_dff_left_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_predict_p_x[35]),
+	.Q(brqrv_top_brqrv_exu_i0_br_start_error_r),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_r_ff0_dff_left_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_exu_i_r_ff0_dff_left_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_predict_p_x[54]),
+	.Q(brqrv_top_brqrv_exu_pmu_i0_br_ataken),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_r_ff0_dff_left_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_exu_i_r_ff0_dff_left_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_predict_p_x[51]),
+	.Q(brqrv_top_brqrv_exu_n_1746),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_r_ff0_dff_left_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_exu_i_r_ff0_dff_left_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_predict_p_x[37]),
+	.Q(brqrv_top_brqrv_exu_i0_br_valid_r),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_r_ff0_dff_left_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_exu_i_r_ff0_dff_left_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_predict_p_x[36]),
+	.Q(brqrv_top_brqrv_exu_i0_br_error_r),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_r_ff0_dff_left_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_exu_i_r_ff0_dff_left_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pred_correct_upper_x),
+	.Q(brqrv_top_brqrv_exu_i0_pred_correct_upper_r),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_exu_i_x_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_dec_ctl_en[1]),
+	.GCLK(brqrv_top_brqrv_exu_i_x_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_x_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_exu_i_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_pred_correct_upper_d),
+	.Q(brqrv_top_brqrv_exu_i0_pred_correct_upper_x),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_x_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_exu_i_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_taken_d),
+	.Q(brqrv_top_brqrv_exu_i0_taken_x),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_x_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_exu_i_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_ghr_x_ns[2]),
+	.Q(brqrv_top_brqrv_exu_ghr_x[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_x_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_exu_i_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_ghr_x_ns[1]),
+	.Q(brqrv_top_brqrv_exu_ghr_x[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_x_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_exu_i_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_ghr_x_ns[0]),
+	.Q(brqrv_top_brqrv_exu_ghr_x[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_x_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_exu_i_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_ghr_x_ns[3]),
+	.Q(brqrv_top_brqrv_exu_ghr_x[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_x_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_exu_i_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_ghr_x_ns[6]),
+	.Q(brqrv_top_brqrv_exu_ghr_x[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_x_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_exu_i_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_ghr_x_ns[5]),
+	.Q(brqrv_top_brqrv_exu_ghr_x[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_x_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_exu_i_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_ghr_x_ns[4]),
+	.Q(brqrv_top_brqrv_exu_ghr_x[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_x_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_exu_i_x_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_i0_flush_upper_d),
+	.Q(brqrv_top_brqrv_exu_i0_flush_upper_x),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_exu_i_x_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_exu_i_x_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_i0_predict_p_d[37]),
+	.Q(brqrv_top_brqrv_exu_n_1728),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_free_cg1_clkhdr (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_free_cg1_logic_1_1_net),
+	.GCLK(brqrv_top_brqrv_free_clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 brqrv_top_brqrv_free_cg1_tie_1_cell (
+	.HI(brqrv_top_brqrv_free_cg1_logic_1_1_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_free_cg2_clkhdr (
+	.CLK(clk),
+	.GATE(brqrv_top_brqrv_free_cg2_logic_1_1_net),
+	.GCLK(brqrv_top_brqrv_n_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 brqrv_top_brqrv_free_cg2_tie_1_cell (
+	.HI(brqrv_top_brqrv_free_cg2_logic_1_1_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_bundle1ff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_ifu_aln_q0off_in),
+	.Q(brqrv_top_brqrv_ifu_aln_q0off),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_bundle1ff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_ifu_aln_q1off_in),
+	.Q(brqrv_top_brqrv_ifu_aln_q1off),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_bundle1ff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_ifu_aln_wrptr_in[0]),
+	.Q(brqrv_top_brqrv_ifu_aln_wrptr[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_bundle1ff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_ifu_aln_rdptr_in[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_rdptr[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_bundle1ff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_ifu_aln_rdptr_in[0]),
+	.Q(brqrv_top_brqrv_ifu_aln_rdptr[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_bundle1ff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_ifu_aln_q2off_in),
+	.Q(brqrv_top_brqrv_ifu_aln_q2off),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_bundle1ff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_ifu_aln_wrptr_in[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_wrptr[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_ifu_aln_bundle2ff_clkhdr_clkhdr (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_ifu_aln_bundle2ff_en),
+	.GCLK(brqrv_top_brqrv_ifu_aln_bundle2ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_bundle2ff_dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_bundle2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_aln_f0val_in[0]),
+	.Q(brqrv_top_brqrv_ifu_aln_f0val[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_bundle2ff_dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_bundle2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_aln_f0val_in[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_f0val[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_bundle2ff_dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_bundle2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_aln_f1val_in[0]),
+	.Q(brqrv_top_brqrv_ifu_aln_f1val[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_bundle2ff_dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_bundle2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_aln_f1val_in[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_f1val[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_bundle2ff_dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_bundle2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_aln_f2val_in[0]),
+	.Q(brqrv_top_brqrv_ifu_aln_f2val[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_bundle2ff_dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_bundle2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_aln_f2val_in[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_f2val[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata0ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_ifu_aln_qwen[0]),
+	.GCLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata0ff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata0ff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_hist0_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata0[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata0ff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_way_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata0[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata0ff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_way_f[0]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata0[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata0ff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_hist0_f[0]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata0[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata0ff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ic_access_fault_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata0[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata0ff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_hist1_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata0[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata0ff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata0ff_l1clk ),
+	.D(n_38502),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata0[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata0ff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_pc4_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata0[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata0ff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_valid_f[0]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata0[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata0ff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ic_access_fault_f[0]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata0[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata0ff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_hist1_f[0]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata0[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata0ff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata0ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.sv2v_tmp_F09CF [5]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata0[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata0ff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_ret_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata0[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata0ff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_valid_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata0[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata1ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_ifu_aln_qwen[1]),
+	.GCLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata1ff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata1ff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_hist0_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata1[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata1ff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_way_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata1[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata1ff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_way_f[0]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata1[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata1ff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_hist0_f[0]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata1[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata1ff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ic_access_fault_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata1[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata1ff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_hist1_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata1[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata1ff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata1ff_l1clk ),
+	.D(n_38502),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata1[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata1ff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_pc4_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata1[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata1ff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_valid_f[0]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata1[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata1ff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ic_access_fault_f[0]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata1[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata1ff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_hist1_f[0]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata1[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata1ff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata1ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.sv2v_tmp_F09CF [5]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata1[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata1ff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_ret_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata1[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata1ff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_valid_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata1[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata2ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_ifu_aln_qwen[2]),
+	.GCLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata2ff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata2ff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_hist0_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata2[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata2ff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_way_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata2[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata2ff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_way_f[0]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata2[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata2ff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_hist0_f[0]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata2[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata2ff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ic_access_fault_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata2[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata2ff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_hist1_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata2[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata2ff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata2ff_l1clk ),
+	.D(n_38502),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata2[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata2ff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_pc4_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata2[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata2ff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_valid_f[0]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata2[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata2ff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ic_access_fault_f[0]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata2[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata2ff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_hist1_f[0]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata2[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata2ff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata2ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.sv2v_tmp_F09CF [5]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata2[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata2ff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_ret_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata2[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.brdata2ff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.brdata2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_valid_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_brdata2[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_ifu_aln_qwen[0]),
+	.GCLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[2]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[49]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[31]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[49]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[2]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[3]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[4]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[5]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[6]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[0]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[41]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[23]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[41]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[3]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[4]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[5]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[37]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[19]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[37]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[6]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[7]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[8]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[33]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[15]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[33]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[9]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[10]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[11]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[12]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[13]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[32]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[14]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[32]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[34]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[16]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[34]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[35]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[17]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[35]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[36]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[18]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[36]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[38]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[20]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[38]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[39]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[21]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[39]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[40]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[22]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[40]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[42]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[24]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[42]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[43]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[25]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[43]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[44]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[26]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[44]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[45]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[27]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[45]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[46]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[28]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[46]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[47]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[29]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[47]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[48]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[30]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[48]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[51]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ic_access_fault_type_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[51]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[0]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[11]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[4]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[5]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[6]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[7]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[8]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[9]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[10]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[2]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc0ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[3]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc0[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_ifu_aln_qwen[1]),
+	.GCLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[2]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[49]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[31]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[49]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[2]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[3]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[4]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[5]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[6]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[0]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[41]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[23]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[41]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[3]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[4]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[5]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[37]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[19]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[37]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[6]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[7]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[8]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[33]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[15]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[33]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[9]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[10]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[11]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[12]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[13]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[32]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[14]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[32]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[34]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[16]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[34]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[35]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[17]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[35]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[36]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[18]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[36]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[38]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[20]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[38]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[39]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[21]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[39]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[40]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[22]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[40]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[42]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[24]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[42]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[43]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[25]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[43]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[44]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[26]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[44]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[45]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[27]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[45]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[46]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[28]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[46]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[47]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[29]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[47]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[48]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[30]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[48]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[51]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ic_access_fault_type_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[51]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[0]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[11]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[4]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[5]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[6]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[7]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[8]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[9]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[10]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[2]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc1ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[3]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc1[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_ifu_aln_qwen[2]),
+	.GCLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[2]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[49]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[31]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[49]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[2]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[3]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[4]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[5]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[6]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[0]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[41]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[23]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[41]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[3]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[4]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[5]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[37]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[19]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[37]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[6]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[7]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[8]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[33]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[15]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[33]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[9]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[10]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[11]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[12]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[13]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[32]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[14]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[32]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[34]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[16]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[34]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[35]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[17]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[35]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[36]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[18]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[36]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[38]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[20]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[38]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[39]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[21]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[39]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[40]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[22]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[40]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[42]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[24]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[42]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[43]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[25]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[43]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[44]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[26]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[44]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[45]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[27]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[45]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[46]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[28]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[46]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[47]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[29]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[47]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[48]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_btb_target_f[30]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[48]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[51]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ic_access_fault_type_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[51]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[0]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[11]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[4]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[5]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[6]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[7]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[8]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[9]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[10]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[2]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_aln_genblk1.misc2ff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifu_bp_poffset_f[3]),
+	.Q(brqrv_top_brqrv_ifu_aln_misc2[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_ifu_aln_qwen[0]),
+	.GCLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[12]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[2]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[3]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[4]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[27]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[5]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[6]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[7]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[23]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[8]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[9]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[10]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[19]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[11]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[0]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[31]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[14]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[15]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[16]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[17]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[18]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[20]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[21]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[22]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[24]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[25]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[26]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[28]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[29]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[30]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[13]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_ifu_aln_qwen[0]),
+	.GCLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[27]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0pc[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[26]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0pc[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[24]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0pc[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[20]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0pc[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[12]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0pc[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[11]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0pc[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[19]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0pc[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[10]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0pc[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[9]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0pc[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[23]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0pc[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[18]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0pc[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[8]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0pc[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[7]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0pc[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[13]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0pc[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[6]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0pc[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[5]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0pc[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[25]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0pc[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[22]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0pc[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[16]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0pc[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[4]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0pc[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[3]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0pc[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[15]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0pc[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[2]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0pc[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0pc[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[21]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0pc[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[14]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0pc[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[17]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0pc[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[28]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0pc[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[31]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0pc[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[29]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0pc[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q0pcff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q0pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[30]),
+	.Q(brqrv_top_brqrv_ifu_aln_q0pc[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_ifu_aln_qwen[1]),
+	.GCLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[12]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[2]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[3]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[4]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[27]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[5]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[6]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[7]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[23]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[8]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[9]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[10]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[19]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[11]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[0]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[31]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[14]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[15]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[16]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[17]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[18]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[20]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[21]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[22]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[24]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[25]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[26]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[28]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[29]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[30]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[13]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_ifu_aln_qwen[1]),
+	.GCLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[27]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1pc[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[26]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1pc[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[24]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1pc[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[20]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1pc[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[12]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1pc[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[11]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1pc[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[19]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1pc[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[10]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1pc[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[9]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1pc[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[23]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1pc[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[18]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1pc[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[8]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1pc[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[7]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1pc[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[13]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1pc[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[6]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1pc[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[5]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1pc[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[25]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1pc[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[22]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1pc[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[16]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1pc[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[4]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1pc[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[3]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1pc[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[15]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1pc[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[2]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1pc[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1pc[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[21]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1pc[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[14]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1pc[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[17]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1pc[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[28]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1pc[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[31]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1pc[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[29]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1pc[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q1pcff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q1pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[30]),
+	.Q(brqrv_top_brqrv_ifu_aln_q1pc[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_ifu_aln_qwen[2]),
+	.GCLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[12]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[2]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[3]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[4]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[27]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[5]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[6]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[7]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[23]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[8]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[9]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[10]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[19]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[11]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[0]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[31]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[14]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[15]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[16]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[17]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[18]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[20]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[21]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[22]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[24]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[25]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[26]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[28]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[29]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[30]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ic_data_f[13]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_ifu_aln_qwen[2]),
+	.GCLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[27]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2pc[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[26]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2pc[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[24]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2pc[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[20]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2pc[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[12]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2pc[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[11]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2pc[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[19]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2pc[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[10]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2pc[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[9]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2pc[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[23]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2pc[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[18]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2pc[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[8]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2pc[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[7]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2pc[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[13]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2pc[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[6]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2pc[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[5]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2pc[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[25]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2pc[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[22]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2pc[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[16]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2pc[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[4]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2pc[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[3]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2pc[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[15]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2pc[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[2]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2pc[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[1]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2pc[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[21]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2pc[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[14]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2pc[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[17]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2pc[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[28]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2pc[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[31]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2pc[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[29]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2pc[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_aln_q2pcff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_ifu_aln_q2pcff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[30]),
+	.Q(brqrv_top_brqrv_ifu_aln_q2pc[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[0].bht_bank_grp_cgc_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [0]),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [32]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [33]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [34]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [35]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [36]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [37]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [38]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [39]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [40]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [41]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [42]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [43]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [44]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [45]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [46]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [47]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [48]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [49]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [50]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [51]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [52]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [53]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [54]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [55]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [56]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [57]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [58]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [59]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [60]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [61]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [62]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [63]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[1].bht_bank_grp_cgc_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [1]),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [64]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [65]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [66]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [67]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [68]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [69]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [70]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [71]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [72]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [73]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [74]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [75]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [76]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [77]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [78]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [79]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [80]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [81]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [82]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [83]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [84]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [85]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [86]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [87]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [88]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [89]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [90]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [91]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [92]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [93]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [94]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [95]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[2].bht_bank_grp_cgc_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [2]),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [96]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [97]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [98]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [99]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [100]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [101]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [102]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [103]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [104]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [105]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [106]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [107]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [108]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [109]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [110]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [111]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [112]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [113]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [114]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [115]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [116]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [117]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [118]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [119]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [120]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [121]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [122]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [123]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [124]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [125]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [126]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [127]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[3].bht_bank_grp_cgc_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [3]),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [128]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [129]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [130]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [131]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [132]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [133]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [134]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [135]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [136]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [137]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [138]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [139]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [140]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [141]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [142]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [143]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [144]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [145]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [146]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [147]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [148]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [149]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [150]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [151]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [152]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [153]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [154]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [155]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [156]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [157]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [158]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [159]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[4].bht_bank_grp_cgc_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [4]),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [160]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [161]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [162]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [163]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [164]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [165]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [166]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [167]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [168]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [169]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [170]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [171]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [172]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [173]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [174]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [175]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [176]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [177]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [178]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [179]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [180]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [181]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [182]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [183]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [184]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [185]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [186]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [187]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [188]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [189]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [190]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [191]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[5].bht_bank_grp_cgc_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [5]),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [192]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [193]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [194]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [195]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [196]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [197]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [198]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [199]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [200]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [201]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [202]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [203]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [204]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [205]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [206]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [207]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [208]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [209]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [210]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [211]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [212]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [213]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [214]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [215]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [216]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [217]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [218]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [219]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [220]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [221]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [222]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [223]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[6].bht_bank_grp_cgc_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [6]),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [224]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [225]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [226]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [227]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [228]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [229]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [230]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [231]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [232]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [233]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [234]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [235]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [236]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [237]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [238]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [239]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [240]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [241]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [242]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [243]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [244]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [245]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [246]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [247]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [248]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [249]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [250]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [251]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [252]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [253]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [254]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [255]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[0].BHT_CLK_GROUP[7].bht_bank_grp_cgc_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [7]),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [256]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [257]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [258]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [259]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [260]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [261]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [262]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [263]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [264]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [265]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [266]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [267]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [268]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [269]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [270]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [271]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [272]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [273]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [274]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [275]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [276]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [277]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [278]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [279]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [280]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [281]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [282]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [283]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [284]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [285]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [286]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [287]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[0].bht_bank_grp_cgc_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [8]),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [288]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [289]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [290]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [291]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [292]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [293]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [294]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [295]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [296]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [297]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [298]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [299]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [300]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [301]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [302]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [303]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [304]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [305]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [306]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [307]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [308]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [309]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [310]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [311]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [312]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [313]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [314]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [315]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [316]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [317]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [318]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [319]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[1].bht_bank_grp_cgc_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [9]),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [320]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [321]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [322]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [323]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [324]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [325]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [326]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [327]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [328]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [329]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [330]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [331]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [332]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [333]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [334]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [335]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [336]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [337]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [338]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [339]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [340]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [341]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [342]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [343]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [344]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [345]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [346]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [347]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [348]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [349]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [350]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [351]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[2].bht_bank_grp_cgc_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [10]),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [352]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [353]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [354]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [355]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [356]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [357]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [358]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [359]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [360]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [361]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [362]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [363]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [364]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [365]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [366]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [367]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [368]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [369]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [370]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [371]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [372]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [373]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [374]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [375]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [376]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [377]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [378]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [379]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [380]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [381]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [382]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [383]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[3].bht_bank_grp_cgc_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [11]),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [384]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [385]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [386]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [387]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [388]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [389]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [390]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [391]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [392]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [393]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [394]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [395]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [396]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [397]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [398]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [399]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [400]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [401]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [402]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [403]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [404]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [405]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [406]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [407]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [408]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [409]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [410]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [411]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [412]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [413]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [414]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [415]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[4].bht_bank_grp_cgc_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [12]),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [416]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [417]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [418]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [419]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [420]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [421]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [422]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [423]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [424]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [425]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [426]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [427]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [428]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [429]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [430]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [431]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [432]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [433]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [434]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [435]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [436]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [437]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [438]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [439]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [440]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [441]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [442]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [443]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [444]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [445]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [446]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [447]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[5].bht_bank_grp_cgc_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [13]),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [448]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [449]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [450]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [451]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [452]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [453]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [454]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [455]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [456]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [457]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [458]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [459]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [460]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [461]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [462]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [463]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [464]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [465]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [466]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [467]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [468]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [469]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [470]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [471]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [472]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [473]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [474]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [475]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [476]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [477]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [478]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [479]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[6].bht_bank_grp_cgc_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [14]),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[0].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [480]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[0].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[0].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [481]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[1].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [482]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[1].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[1].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [483]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[2].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [484]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[2].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[2].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [485]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[3].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [486]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[3].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[3].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [487]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[4].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [488]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[4].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[4].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [489]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[5].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [490]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[5].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[5].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [491]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[6].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [492]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[6].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[6].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [493]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[7].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [494]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[7].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[7].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [495]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[8].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [496]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[8].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[8].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [497]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[9].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [498]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[9].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[9].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [499]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[10].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [500]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[10].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[10].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [501]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[11].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [502]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[11].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[11].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [503]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[12].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [504]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[12].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[12].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [505]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[13].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [506]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[13].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[13].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [507]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[14].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [508]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[14].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[14].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [509]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[15].bht_bank_genblock.dffs_n_6 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [510]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[15].bht_bank_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].BHT_FLOPS[15].bht_bank_genblock.dffs_n_7 ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_rd_data_out [511]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_BANKS[1].BHT_CLK_GROUP[7].bht_bank_grp_cgc_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clken [15]),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_bht_bank_clk [15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_ifu_bpred.bp_br0ghrhs_g99__1666  (
+	.A(brqrv_top_brqrv_exu_i0_br_fghr_r[6]),
+	.B(brqrv_top_brqrv_exu_i0_br_index_r[8]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_ifu_bpred.bp_br0ghrhs_g100__7410  (
+	.A(brqrv_top_brqrv_exu_i0_br_fghr_r[4]),
+	.B(brqrv_top_brqrv_exu_i0_br_index_r[6]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_ifu_bpred.bp_br0ghrhs_g101__6417  (
+	.A(brqrv_top_brqrv_exu_i0_br_fghr_r[3]),
+	.B(brqrv_top_brqrv_exu_i0_br_index_r[5]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_ifu_bpred.bp_br0ghrhs_g102__5477  (
+	.A(brqrv_top_brqrv_exu_i0_br_fghr_r[1]),
+	.B(brqrv_top_brqrv_exu_i0_br_index_r[3]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_ifu_bpred.bp_br0ghrhs_g103__2398  (
+	.A(brqrv_top_brqrv_exu_i0_br_fghr_r[5]),
+	.B(brqrv_top_brqrv_exu_i0_br_index_r[7]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_ifu_bpred.bp_br0ghrhs_g104__5107  (
+	.A(brqrv_top_brqrv_exu_i0_br_fghr_r[2]),
+	.B(brqrv_top_brqrv_exu_i0_br_index_r[4]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_ifu_bpred.bp_br0ghrhs_g105__6260  (
+	.A(brqrv_top_brqrv_exu_i0_br_fghr_r[0]),
+	.B(brqrv_top_brqrv_exu_i0_br_index_r[2]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_br0_hashed_wb [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_extra_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(n_39271),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_extra_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_extra_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_extra_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[9]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_extra_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_extra_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[11]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_extra_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_extra_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[12]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_extra_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_extra_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_extra_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_extra_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_extra_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_extra_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[8]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_extra_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_extra_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_extra_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_extra_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_extra_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_extra_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[10]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_extra_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_extra_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[7]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_extra_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_extra_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[6]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o41a_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_g1095__4319  (
+	.A1(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_15 ),
+	.A2(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_11 ),
+	.A3(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_14 ),
+	.A4(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_23 ),
+	.B1(n_39271),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_final_en ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_g1096__8428  (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_21 ),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_19 ),
+	.C(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_20 ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_22 ),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_23 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_g1097__5526  (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_12 ),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_9 ),
+	.C(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_8 ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_10 ),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_22 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_g1098__6783  (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_0 ),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_13 ),
+	.C(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_18 ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_17 ),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_21 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_g1099__3680  (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_4 ),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_1 ),
+	.C(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_16 ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_6 ),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_20 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_g1100__1617  (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_5 ),
+	.B(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_3 ),
+	.C(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_2 ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_7 ),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_19 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_g1101__2802  (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [24]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[24]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_18 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_g1102__1705  (
+	.A1_N(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [27]),
+	.A2_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[27]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [27]),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[27]),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_17 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_g1103__5122  (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [28]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[28]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_16 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_g1104__8246  (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [14]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[14]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_15 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_g1105__7098  (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [13]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[13]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_14 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_g1106__6131  (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [26]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[26]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_13 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_g1107__1881  (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [20]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[20]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_12 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_g1108__5115  (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [15]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[15]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_11 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_g1109__7482  (
+	.A1_N(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [22]),
+	.A2_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[22]),
+	.B1(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [22]),
+	.B2(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[22]),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_g1110__4733  (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [23]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[23]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_g1111__6161  (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [21]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[21]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_g1112__9315  (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [18]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[18]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_g1113__9945  (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [31]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[31]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_g1114__2883  (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [17]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[17]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_g1115__2346  (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [30]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[30]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_g1116__1666  (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [19]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[19]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_g1117__7410  (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [16]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[16]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_g1118__6417  (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [29]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[29]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_1 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_g1119__5477  (
+	.A(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [25]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[25]),
+	.X(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_final_en ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[13]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[30]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[28]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[24]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[16]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[15]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[23]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[14]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[31]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[27]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[22]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[21]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[29]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[26]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[20]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[19]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[25]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[18]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_faddrf_ff_dff_left_dff_l1clk ),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[17]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_ifc_fetch_adder_prior [17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_fetchghr_clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_fetchghr_en ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_fetchghr_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_fetchghr_dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_fetchghr_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[32]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_exu_mp_way_f ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_fetchghr_dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_fetchghr_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_fghr_ns [2]),
+	.Q(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_fetchghr_dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_fetchghr_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_fghr_ns [1]),
+	.Q(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_fetchghr_dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_fetchghr_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_fghr_ns [0]),
+	.Q(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_fetchghr_dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_fetchghr_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_fghr_ns [3]),
+	.Q(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_fetchghr_dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_fetchghr_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_fghr_ns [6]),
+	.Q(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_fetchghr_dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_fetchghr_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_fghr_ns [5]),
+	.Q(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_fetchghr_dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_fetchghr_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_fghr_ns [4]),
+	.Q(brqrv_top_brqrv_ifu_ifu_bp_fghr_f[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_fetchghr_dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_fetchghr_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_leak_one_f ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_leak_one_f_d1 ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_fetchghr_dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_fetchghr_l1clk ),
+	.D(brqrv_top_brqrv_exu_flush_final),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_n_10401 ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6610 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [6]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [7]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [8]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [9]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [10]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [11]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [12]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [13]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [14]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [15]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [16]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [17]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [18]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [19]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [20]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [21]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [22]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [23]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [24]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [25]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [26]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [27]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [28]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [29]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [30]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [31]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[32]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [32]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [32]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[33]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [33]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [33]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[34]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [34]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [34]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[35]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [35]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [35]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[36]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [36]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [36]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[37]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [37]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [37]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[38]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [38]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[39]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [39]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[40]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [40]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[41]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [41]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[42]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [42]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[43]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [43]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[44]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [44]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[45]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [45]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[46]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [46]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[47]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [47]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[48]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [48]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[49]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [49]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[50]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [50]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [50]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[51]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [51]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [51]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[52]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [52]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[53]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [53]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[54]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [54]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [54]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[55]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [55]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [55]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[56]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [56]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [56]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[57]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [57]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [57]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[58]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [58]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [58]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[59]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [59]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [59]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[60]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [60]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [60]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[61]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [61]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [61]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[62]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [62]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [62]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[63]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [63]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [63]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[64]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [64]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [64]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[65]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [65]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [65]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[66]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [66]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [66]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[67]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [67]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [67]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[68]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [68]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [68]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[69]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [69]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [69]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[70]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [70]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [70]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[71]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [71]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [71]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[72]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [72]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [72]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[73]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [73]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [73]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[74]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [74]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [74]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[75]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [75]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [75]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[76]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [76]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [76]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[77]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [77]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [77]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[78]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [78]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [78]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[79]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [79]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [79]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[80]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [80]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [80]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[81]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [81]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [81]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[82]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [82]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [82]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[83]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [83]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [83]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[84]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [84]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [84]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[85]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [85]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [85]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[86]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [86]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [86]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[87]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [87]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [87]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[88]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [88]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [88]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[89]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [89]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [89]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[90]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [90]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [90]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[91]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [91]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [91]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[92]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [92]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [92]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[93]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [93]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [93]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[94]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [94]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [94]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[95]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [95]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [95]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[96]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [96]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [96]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[97]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [97]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [97]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[98]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [98]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [98]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[99]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [99]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [99]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[100]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [100]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [100]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[101]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [101]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [101]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[102]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [102]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [102]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[103]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [103]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [103]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[104]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [104]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [104]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[105]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [105]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [105]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[106]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [106]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [106]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[107]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [107]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [107]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[108]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [108]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [108]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[109]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [109]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [109]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[110]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [110]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [110]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[111]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [111]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [111]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[112]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [112]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [112]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[113]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [113]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [113]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[114]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [114]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [114]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[115]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [115]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [115]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[116]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [116]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [116]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[117]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [117]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [117]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[118]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [118]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [118]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[119]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [119]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [119]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[120]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [120]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [120]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[121]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [121]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [121]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[122]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [122]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [122]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[123]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [123]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [123]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[124]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [124]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [124]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[125]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [125]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [125]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[126]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [126]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [126]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_genblock.dff_dout_reg[127]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk1.btb_lru_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_ns [127]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_lru_b0_f [127]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_g169__2398  (
+	.A1_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[14]),
+	.A2_N(\brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_n_5 ),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[14]),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_n_5 ),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_g170__5107  (
+	.A1_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[13]),
+	.A2_N(\brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_n_1 ),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[13]),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_n_1 ),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_g171__6260  (
+	.A1_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[12]),
+	.A2_N(\brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_n_3 ),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[12]),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_n_3 ),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_g172__4319  (
+	.A1_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[10]),
+	.A2_N(\brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_n_4 ),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[10]),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_n_4 ),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_g173__8428  (
+	.A1_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[9]),
+	.A2_N(\brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_n_2 ),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[9]),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_n_2 ),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_g174__5526  (
+	.A1_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[11]),
+	.A2_N(\brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_n_0 ),
+	.B1(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[11]),
+	.B2(\brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_n_0 ),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_fetch_rd_tag_f [2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_g175__6783  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[20]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[26]),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_g176__3680  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[16]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[22]),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_g177__1617  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[18]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[24]),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_g178__2802  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[15]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[21]),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_g179__1705  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[19]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[25]),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_n_1 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_g180__5122  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[17]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[23]),
+	.Y(\brqrv_top_brqrv_ifu_bpred.bp_genblk3.genblk1.rdtagf_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6858 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6859 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[0].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6860 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [40]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [37]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [44]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [38]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [39]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [41]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [42]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [43]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [45]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [36]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [32]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [33]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [34]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [35]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6861 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [40]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [37]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [44]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [38]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [39]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [41]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [42]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [43]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [45]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [36]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [32]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [33]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [34]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[1].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [35]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6862 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [63]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [60]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [67]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [61]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [62]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [46]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [64]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [65]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [66]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [68]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [59]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [52]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [48]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [49]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [55]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [50]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [51]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [47]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [53]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [54]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [56]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [57]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [58]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6863 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [63]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [60]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [67]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [61]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [62]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [46]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [64]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [65]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [66]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [68]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [59]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [52]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [48]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [49]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [55]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [50]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [51]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [47]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [53]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [54]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [56]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [57]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[2].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [58]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6864 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [86]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [83]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [90]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [84]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [85]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [69]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [87]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [88]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [89]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [91]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [82]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [75]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [71]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [72]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [78]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [73]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [74]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [70]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [76]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [77]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [79]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [80]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [81]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6865 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [86]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [83]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [90]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [84]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [85]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [69]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [87]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [88]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [89]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [91]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [82]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [75]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [71]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [72]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [78]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [73]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [74]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [70]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [76]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [77]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [79]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [80]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[3].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [81]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6866 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [109]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [106]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [113]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [107]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [108]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [92]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [110]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [111]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [112]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [114]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [105]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [98]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [94]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [95]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [101]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [96]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [97]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [93]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [99]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [100]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [102]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [103]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [104]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6867 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [109]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [106]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [113]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [107]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [108]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [92]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [110]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [111]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [112]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [114]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [105]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [98]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [94]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [95]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [101]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [96]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [97]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [93]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [99]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [100]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [102]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [103]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[4].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [104]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6868 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [132]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [129]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [136]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [130]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [131]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [115]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [133]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [134]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [135]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [137]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [128]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [121]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [117]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [118]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [124]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [119]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [120]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [116]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [122]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [123]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [125]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [126]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [127]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6869 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [132]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [129]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [136]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [130]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [131]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [115]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [133]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [134]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [135]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [137]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [128]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [121]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [117]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [118]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [124]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [119]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [120]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [116]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [122]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [123]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [125]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [126]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[5].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [127]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6870 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [155]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [152]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [159]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [153]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [154]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [138]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [156]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [157]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [158]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [160]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [151]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [144]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [140]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [141]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [147]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [142]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [143]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [139]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [145]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [146]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [148]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [149]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [150]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6871 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [155]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [152]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [159]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [153]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [154]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [138]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [156]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [157]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [158]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [160]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [151]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [144]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [140]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [141]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [147]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [142]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [143]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [139]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [145]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [146]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [148]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [149]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[6].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [150]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6872 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [178]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [175]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [182]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [176]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [177]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [161]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [179]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [180]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [181]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [183]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [174]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [167]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [163]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [164]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [170]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [165]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [166]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [162]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [168]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [169]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [171]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [172]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [173]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6873 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [178]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [175]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [182]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [176]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [177]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [161]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [179]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [180]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [181]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [183]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [174]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [167]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [163]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [164]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [170]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [165]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [166]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [162]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [168]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [169]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [171]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [172]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[7].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [173]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6874 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [201]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [198]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [205]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [199]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [200]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [184]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [202]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [203]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [204]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [206]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [197]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [190]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [186]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [187]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [193]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [188]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [189]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [185]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [191]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [192]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [194]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [195]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [196]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6875 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [201]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [198]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [205]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [199]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [200]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [184]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [202]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [203]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [204]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [206]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [197]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [190]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [186]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [187]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [193]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [188]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [189]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [185]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [191]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [192]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [194]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [195]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[8].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [196]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6876 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [224]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [221]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [228]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [222]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [223]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [207]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [225]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [226]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [227]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [229]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [220]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [213]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [209]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [210]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [216]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [211]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [212]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [208]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [214]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [215]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [217]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [218]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [219]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6877 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [224]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [221]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [228]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [222]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [223]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [207]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [225]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [226]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [227]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [229]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [220]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [213]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [209]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [210]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [216]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [211]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [212]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [208]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [214]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [215]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [217]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [218]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[9].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [219]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6878 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [247]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [244]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [251]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [245]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [246]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [230]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [248]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [249]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [250]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [252]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [243]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [236]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [232]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [233]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [239]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [234]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [235]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [231]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [237]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [238]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [240]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [241]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [242]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6879 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [247]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [244]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [251]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [245]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [246]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [230]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [248]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [249]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [250]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [252]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [243]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [236]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [232]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [233]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [239]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [234]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [235]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [231]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [237]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [238]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [240]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [241]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[10].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [242]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6880 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [270]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [267]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [274]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [268]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [269]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [253]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [271]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [272]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [273]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [275]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [266]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [259]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [255]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [256]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [262]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [257]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [258]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [254]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [260]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [261]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [263]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [264]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [265]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6881 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [270]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [267]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [274]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [268]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [269]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [253]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [271]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [272]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [273]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [275]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [266]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [259]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [255]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [256]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [262]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [257]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [258]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [254]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [260]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [261]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [263]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [264]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[11].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [265]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6882 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [293]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [290]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [297]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [291]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [292]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [276]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [294]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [295]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [296]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [298]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [289]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [282]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [278]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [279]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [285]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [280]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [281]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [277]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [283]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [284]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [286]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [287]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [288]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6883 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [293]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [290]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [297]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [291]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [292]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [276]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [294]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [295]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [296]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [298]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [289]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [282]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [278]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [279]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [285]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [280]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [281]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [277]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [283]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [284]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [286]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [287]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[12].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [288]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6884 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [316]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [313]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [320]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [314]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [315]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [299]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [317]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [318]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [319]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [321]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [312]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [305]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [301]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [302]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [308]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [303]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [304]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [300]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [306]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [307]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [309]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [310]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [311]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6885 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [316]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [313]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [320]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [314]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [315]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [299]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [317]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [318]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [319]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [321]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [312]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [305]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [301]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [302]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [308]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [303]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [304]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [300]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [306]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [307]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [309]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [310]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[13].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [311]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6886 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [339]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [336]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [343]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [337]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [338]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [322]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [340]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [341]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [342]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [344]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [335]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [328]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [324]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [325]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [331]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [326]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [327]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [323]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [329]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [330]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [332]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [333]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [334]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6887 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [339]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [336]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [343]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [337]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [338]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [322]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [340]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [341]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [342]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [344]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [335]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [328]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [324]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [325]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [331]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [326]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [327]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [323]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [329]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [330]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [332]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [333]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[14].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [334]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6888 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [362]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [359]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [366]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [360]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [361]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [345]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [363]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [364]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [365]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [367]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [358]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [351]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [347]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [348]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [354]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [349]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [350]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [346]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [352]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [353]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [355]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [356]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [357]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6889 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [362]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [359]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [366]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [360]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [361]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [345]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [363]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [364]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [365]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [367]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [358]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [351]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [347]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [348]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [354]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [349]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [350]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [346]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [352]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [353]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [355]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [356]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[15].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [357]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6890 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [385]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [382]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [389]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [383]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [384]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [368]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [386]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [387]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [388]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [390]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [381]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [374]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [370]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [371]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [377]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [372]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [373]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [369]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [375]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [376]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [378]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [379]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [380]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6891 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [385]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [382]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [389]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [383]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [384]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [368]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [386]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [387]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [388]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [390]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [381]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [374]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [370]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [371]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [377]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [372]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [373]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [369]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [375]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [376]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [378]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [379]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[16].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [380]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6892 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [408]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [405]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [412]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [406]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [407]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [391]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [409]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [410]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [411]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [413]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [404]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [397]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [393]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [394]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [400]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [395]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [396]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [392]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [398]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [399]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [401]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [402]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [403]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6893 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [408]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [405]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [412]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [406]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [407]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [391]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [409]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [410]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [411]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [413]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [404]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [397]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [393]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [394]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [400]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [395]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [396]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [392]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [398]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [399]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [401]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [402]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[17].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [403]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6894 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [431]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [428]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [435]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [429]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [430]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [414]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [432]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [433]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [434]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [436]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [427]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [420]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [416]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [417]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [423]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [418]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [419]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [415]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [421]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [422]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [424]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [425]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [426]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6895 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [431]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [428]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [435]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [429]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [430]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [414]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [432]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [433]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [434]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [436]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [427]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [420]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [416]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [417]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [423]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [418]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [419]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [415]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [421]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [422]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [424]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [425]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[18].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [426]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6896 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [454]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [451]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [458]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [452]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [453]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [437]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [455]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [456]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [457]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [459]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [450]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [443]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [439]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [440]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [446]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [441]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [442]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [438]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [444]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [445]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [447]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [448]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [449]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6897 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [454]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [451]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [458]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [452]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [453]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [437]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [455]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [456]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [457]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [459]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [450]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [443]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [439]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [440]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [446]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [441]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [442]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [438]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [444]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [445]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [447]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [448]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[19].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [449]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6898 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [477]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [474]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [481]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [475]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [476]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [460]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [478]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [479]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [480]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [482]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [473]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [466]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [462]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [463]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [469]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [464]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [465]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [461]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [467]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [468]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [470]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [471]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [472]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6899 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [477]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [474]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [481]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [475]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [476]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [460]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [478]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [479]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [480]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [482]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [473]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [466]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [462]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [463]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [469]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [464]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [465]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [461]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [467]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [468]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [470]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [471]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[20].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [472]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6900 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [500]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [497]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [504]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [498]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [499]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [483]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [501]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [502]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [503]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [505]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [496]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [489]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [485]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [486]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [492]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [487]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [488]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [484]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [490]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [491]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [493]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [494]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [495]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6901 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [500]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [497]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [504]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [498]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [499]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [483]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [501]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [502]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [503]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [505]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [496]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [489]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [485]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [486]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [492]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [487]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [488]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [484]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [490]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [491]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [493]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [494]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[21].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [495]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6902 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [523]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [520]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [527]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [521]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [522]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [506]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [524]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [525]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [526]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [528]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [519]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [512]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [508]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [509]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [515]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [510]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [511]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [507]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [513]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [514]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [516]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [517]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [518]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6903 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [523]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [520]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [527]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [521]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [522]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [506]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [524]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [525]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [526]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [528]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [519]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [512]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [508]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [509]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [515]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [510]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [511]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [507]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [513]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [514]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [516]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [517]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[22].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [518]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6904 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [546]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [543]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [550]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [544]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [545]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [529]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [547]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [548]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [549]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [551]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [542]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [535]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [531]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [532]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [538]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [533]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [534]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [530]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [536]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [537]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [539]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [540]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [541]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6905 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [546]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [543]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [550]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [544]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [545]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [529]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [547]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [548]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [549]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [551]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [542]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [535]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [531]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [532]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [538]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [533]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [534]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [530]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [536]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [537]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [539]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [540]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[23].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [541]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6906 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [569]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [566]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [573]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [567]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [568]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [552]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [570]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [571]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [572]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [574]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [565]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [558]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [554]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [555]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [561]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [556]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [557]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [553]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [559]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [560]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [562]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [563]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [564]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6907 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [569]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [566]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [573]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [567]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [568]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [552]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [570]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [571]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [572]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [574]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [565]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [558]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [554]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [555]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [561]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [556]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [557]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [553]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [559]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [560]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [562]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [563]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[24].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [564]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6908 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [592]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [589]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [596]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [590]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [591]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [575]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [593]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [594]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [595]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [597]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [588]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [581]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [577]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [578]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [584]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [579]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [580]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [576]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [582]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [583]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [585]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [586]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [587]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6909 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [592]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [589]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [596]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [590]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [591]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [575]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [593]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [594]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [595]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [597]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [588]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [581]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [577]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [578]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [584]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [579]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [580]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [576]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [582]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [583]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [585]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [586]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[25].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [587]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6910 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [615]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [612]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [619]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [613]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [614]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [598]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [616]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [617]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [618]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [620]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [611]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [604]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [600]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [601]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [607]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [602]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [603]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [599]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [605]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [606]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [608]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [609]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [610]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6911 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [615]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [612]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [619]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [613]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [614]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [598]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [616]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [617]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [618]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [620]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [611]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [604]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [600]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [601]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [607]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [602]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [603]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [599]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [605]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [606]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [608]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [609]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[26].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [610]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6912 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [638]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [635]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [642]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [636]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [637]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [621]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [639]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [640]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [641]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [643]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [634]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [627]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [623]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [624]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [630]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [625]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [626]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [622]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [628]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [629]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [631]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [632]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [633]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6913 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [638]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [635]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [642]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [636]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [637]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [621]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [639]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [640]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [641]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [643]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [634]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [627]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [623]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [624]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [630]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [625]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [626]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [622]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [628]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [629]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [631]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [632]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[27].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [633]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6914 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [661]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [658]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [665]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [659]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [660]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [644]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [662]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [663]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [664]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [666]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [657]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [650]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [646]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [647]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [653]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [648]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [649]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [645]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [651]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [652]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [654]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [655]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [656]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6915 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [661]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [658]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [665]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [659]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [660]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [644]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [662]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [663]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [664]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [666]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [657]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [650]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [646]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [647]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [653]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [648]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [649]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [645]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [651]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [652]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [654]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [655]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[28].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [656]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6916 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [684]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [681]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [688]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [682]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [683]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [667]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [685]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [686]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [687]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [689]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [680]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [673]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [669]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [670]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [676]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [671]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [672]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [668]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [674]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [675]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [677]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [678]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [679]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6917 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [684]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [681]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [688]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [682]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [683]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [667]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [685]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [686]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [687]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [689]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [680]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [673]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [669]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [670]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [676]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [671]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [672]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [668]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [674]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [675]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [677]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [678]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[29].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [679]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6918 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [707]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [704]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [711]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [705]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [706]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [690]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [708]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [709]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [710]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [712]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [703]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [696]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [692]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [693]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [699]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [694]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [695]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [691]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [697]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [698]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [700]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [701]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [702]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6919 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [707]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [704]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [711]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [705]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [706]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [690]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [708]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [709]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [710]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [712]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [703]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [696]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [692]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [693]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [699]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [694]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [695]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [691]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [697]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [698]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [700]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [701]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[30].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [702]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6920 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [730]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [727]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [734]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [728]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [729]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [713]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [731]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [732]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [733]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [735]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [726]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [719]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [715]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [716]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [722]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [717]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [718]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [714]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [720]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [721]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [723]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [724]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [725]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6921 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [730]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [727]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [734]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [728]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [729]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [713]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [731]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [732]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [733]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [735]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [726]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [719]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [715]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [716]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [722]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [717]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [718]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [714]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [720]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [721]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [723]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [724]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[31].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [725]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6922 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [753]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [750]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [757]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [751]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [752]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [736]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [754]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [755]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [756]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [758]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [749]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [742]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [738]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [739]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [745]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [740]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [741]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [737]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [743]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [744]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [746]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [747]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [748]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6923 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [753]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [750]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [757]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [751]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [752]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [736]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [754]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [755]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [756]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [758]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [749]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [742]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [738]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [739]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [745]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [740]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [741]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [737]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [743]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [744]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [746]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [747]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[32].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [748]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6924 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [776]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [773]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [780]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [774]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [775]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [759]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [777]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [778]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [779]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [781]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [772]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [765]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [761]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [762]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [768]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [763]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [764]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [760]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [766]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [767]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [769]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [770]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [771]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6925 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [776]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [773]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [780]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [774]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [775]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [759]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [777]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [778]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [779]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [781]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [772]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [765]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [761]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [762]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [768]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [763]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [764]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [760]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [766]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [767]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [769]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [770]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[33].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [771]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6926 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [799]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [796]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [803]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [797]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [798]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [782]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [800]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [801]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [802]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [804]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [795]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [788]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [784]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [785]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [791]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [786]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [787]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [783]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [789]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [790]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [792]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [793]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [794]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6927 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [799]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [796]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [803]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [797]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [798]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [782]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [800]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [801]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [802]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [804]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [795]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [788]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [784]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [785]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [791]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [786]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [787]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [783]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [789]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [790]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [792]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [793]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[34].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [794]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6928 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [822]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [819]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [826]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [820]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [821]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [805]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [823]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [824]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [825]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [827]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [818]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [811]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [807]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [808]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [814]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [809]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [810]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [806]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [812]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [813]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [815]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [816]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [817]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6929 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [822]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [819]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [826]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [820]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [821]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [805]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [823]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [824]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [825]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [827]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [818]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [811]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [807]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [808]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [814]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [809]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [810]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [806]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [812]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [813]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [815]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [816]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[35].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [817]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6930 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [845]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [842]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [849]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [843]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [844]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [828]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [846]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [847]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [848]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [850]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [841]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [834]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [830]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [831]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [837]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [832]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [833]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [829]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [835]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [836]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [838]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [839]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [840]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6931 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [845]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [842]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [849]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [843]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [844]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [828]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [846]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [847]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [848]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [850]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [841]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [834]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [830]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [831]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [837]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [832]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [833]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [829]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [835]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [836]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [838]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [839]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[36].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [840]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6932 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [868]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [865]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [872]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [866]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [867]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [851]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [869]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [870]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [871]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [873]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [864]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [857]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [853]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [854]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [860]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [855]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [856]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [852]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [858]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [859]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [861]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [862]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [863]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6933 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [868]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [865]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [872]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [866]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [867]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [851]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [869]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [870]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [871]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [873]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [864]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [857]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [853]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [854]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [860]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [855]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [856]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [852]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [858]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [859]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [861]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [862]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[37].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [863]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6934 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [891]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [888]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [895]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [889]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [890]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [874]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [892]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [893]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [894]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [896]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [887]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [880]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [876]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [877]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [883]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [878]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [879]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [875]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [881]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [882]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [884]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [885]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [886]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6935 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [891]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [888]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [895]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [889]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [890]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [874]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [892]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [893]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [894]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [896]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [887]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [880]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [876]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [877]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [883]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [878]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [879]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [875]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [881]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [882]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [884]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [885]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[38].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [886]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6936 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [914]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [911]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [918]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [912]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [913]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [897]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [915]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [916]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [917]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [919]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [910]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [903]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [899]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [900]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [906]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [901]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [902]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [898]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [904]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [905]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [907]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [908]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [909]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6937 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [914]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [911]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [918]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [912]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [913]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [897]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [915]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [916]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [917]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [919]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [910]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [903]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [899]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [900]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [906]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [901]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [902]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [898]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [904]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [905]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [907]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [908]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[39].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [909]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6938 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [937]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [934]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [941]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [935]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [936]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [920]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [938]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [939]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [940]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [942]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [933]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [926]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [922]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [923]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [929]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [924]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [925]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [921]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [927]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [928]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [930]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [931]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [932]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6939 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [937]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [934]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [941]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [935]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [936]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [920]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [938]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [939]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [940]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [942]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [933]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [926]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [922]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [923]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [929]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [924]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [925]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [921]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [927]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [928]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [930]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [931]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[40].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [932]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6940 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [960]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [957]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [964]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [958]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [959]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [943]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [961]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [962]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [963]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [965]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [956]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [949]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [945]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [946]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [952]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [947]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [948]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [944]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [950]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [951]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [953]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [954]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [955]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6941 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [960]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [957]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [964]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [958]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [959]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [943]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [961]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [962]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [963]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [965]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [956]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [949]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [945]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [946]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [952]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [947]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [948]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [944]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [950]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [951]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [953]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [954]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[41].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [955]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6942 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [983]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [980]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [987]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [981]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [982]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [966]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [984]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [985]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [986]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [988]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [979]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [972]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [968]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [969]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [975]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [970]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [971]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [967]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [973]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [974]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [976]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [977]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [978]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6943 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [983]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [980]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [987]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [981]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [982]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [966]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [984]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [985]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [986]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [988]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [979]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [972]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [968]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [969]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [975]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [970]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [971]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [967]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [973]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [974]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [976]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [977]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[42].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [978]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6944 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1006]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1003]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1010]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1004]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1005]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [989]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1007]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1008]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1009]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1011]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1002]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [995]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [991]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [992]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [998]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [993]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [994]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [990]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [996]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [997]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [999]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1000]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1001]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6945 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1006]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1003]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1010]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1004]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1005]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [989]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1007]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1008]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1009]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1011]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1002]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [995]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [991]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [992]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [998]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [993]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [994]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [990]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [996]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [997]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [999]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1000]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[43].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1001]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6946 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1029]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1026]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1033]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1027]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1028]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1012]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1030]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1031]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1032]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1034]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1025]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1018]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1014]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1015]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1021]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1016]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1017]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1013]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1019]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1020]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1022]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1023]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1024]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6947 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1029]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1026]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1033]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1027]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1028]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1012]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1030]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1031]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1032]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1034]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1025]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1018]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1014]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1015]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1021]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1016]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1017]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1013]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1019]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1020]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1022]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1023]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[44].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1024]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6948 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1052]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1049]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1056]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1050]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1051]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1035]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1053]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1054]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1055]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1057]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1048]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1041]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1037]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1038]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1044]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1039]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1040]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1036]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1042]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1043]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1045]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1046]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1047]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6949 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1052]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1049]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1056]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1050]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1051]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1035]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1053]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1054]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1055]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1057]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1048]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1041]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1037]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1038]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1044]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1039]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1040]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1036]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1042]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1043]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1045]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1046]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[45].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1047]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6950 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1075]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1072]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1079]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1073]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1074]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1058]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1076]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1077]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1078]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1080]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1071]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1064]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1060]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1061]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1067]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1062]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1063]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1059]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1065]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1066]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1068]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1069]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1070]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6951 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1075]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1072]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1079]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1073]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1074]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1058]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1076]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1077]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1078]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1080]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1071]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1064]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1060]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1061]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1067]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1062]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1063]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1059]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1065]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1066]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1068]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1069]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[46].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1070]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6952 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1098]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1095]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1102]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1096]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1097]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1081]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1099]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1100]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1101]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1103]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1094]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1087]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1083]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1084]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1090]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1085]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1086]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1082]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1088]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1089]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1091]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1092]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1093]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6953 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1098]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1095]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1102]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1096]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1097]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1081]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1099]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1100]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1101]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1103]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1094]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1087]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1083]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1084]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1090]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1085]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1086]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1082]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1088]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1089]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1091]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1092]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[47].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1093]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6954 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1121]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1118]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1125]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1119]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1120]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1104]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1122]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1123]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1124]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1126]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1117]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1110]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1106]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1107]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1113]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1108]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1109]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1105]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1111]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1112]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1114]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1115]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1116]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6955 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1121]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1118]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1125]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1119]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1120]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1104]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1122]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1123]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1124]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1126]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1117]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1110]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1106]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1107]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1113]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1108]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1109]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1105]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1111]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1112]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1114]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1115]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[48].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1116]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6956 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1144]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1141]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1148]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1142]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1143]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1127]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1145]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1146]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1147]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1149]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1140]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1133]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1129]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1130]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1136]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1131]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1132]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1128]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1134]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1135]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1137]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1138]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1139]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6957 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1144]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1141]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1148]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1142]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1143]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1127]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1145]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1146]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1147]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1149]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1140]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1133]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1129]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1130]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1136]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1131]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1132]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1128]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1134]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1135]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1137]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1138]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[49].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1139]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6958 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1167]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1164]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1171]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1165]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1166]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1150]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1168]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1169]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1170]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1172]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1163]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1156]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1152]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1153]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1159]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1154]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1155]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1151]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1157]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1158]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1160]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1161]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1162]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6959 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1167]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1164]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1171]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1165]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1166]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1150]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1168]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1169]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1170]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1172]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1163]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1156]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1152]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1153]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1159]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1154]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1155]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1151]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1157]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1158]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1160]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1161]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[50].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1162]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6960 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1190]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1187]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1194]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1188]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1189]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1173]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1191]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1192]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1193]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1195]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1186]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1179]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1175]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1176]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1182]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1177]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1178]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1174]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1180]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1181]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1183]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1184]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1185]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6961 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1190]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1187]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1194]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1188]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1189]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1173]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1191]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1192]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1193]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1195]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1186]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1179]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1175]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1176]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1182]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1177]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1178]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1174]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1180]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1181]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1183]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1184]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[51].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1185]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6962 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1213]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1210]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1217]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1211]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1212]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1196]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1214]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1215]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1216]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1218]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1209]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1202]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1198]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1199]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1205]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1200]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1201]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1197]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1203]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1204]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1206]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1207]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1208]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6963 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1213]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1210]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1217]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1211]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1212]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1196]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1214]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1215]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1216]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1218]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1209]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1202]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1198]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1199]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1205]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1200]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1201]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1197]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1203]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1204]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1206]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1207]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[52].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1208]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6964 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1236]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1233]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1240]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1234]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1235]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1219]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1237]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1238]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1239]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1241]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1232]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1225]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1221]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1222]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1228]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1223]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1224]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1220]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1226]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1227]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1229]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1230]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1231]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6965 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1236]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1233]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1240]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1234]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1235]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1219]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1237]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1238]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1239]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1241]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1232]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1225]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1221]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1222]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1228]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1223]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1224]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1220]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1226]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1227]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1229]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1230]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[53].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1231]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6966 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1259]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1256]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1263]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1257]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1258]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1242]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1260]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1261]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1262]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1264]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1255]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1248]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1244]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1245]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1251]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1246]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1247]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1243]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1249]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1250]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1252]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1253]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1254]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6967 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1259]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1256]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1263]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1257]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1258]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1242]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1260]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1261]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1262]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1264]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1255]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1248]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1244]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1245]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1251]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1246]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1247]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1243]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1249]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1250]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1252]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1253]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[54].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1254]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6968 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1282]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1279]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1286]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1280]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1281]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1265]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1283]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1284]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1285]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1287]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1278]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1271]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1267]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1268]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1274]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1269]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1270]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1266]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1272]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1273]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1275]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1276]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1277]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6969 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1282]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1279]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1286]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1280]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1281]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1265]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1283]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1284]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1285]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1287]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1278]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1271]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1267]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1268]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1274]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1269]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1270]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1266]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1272]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1273]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1275]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1276]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[55].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1277]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6970 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1305]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1302]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1309]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1303]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1304]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1288]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1306]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1307]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1308]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1310]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1301]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1294]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1290]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1291]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1297]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1292]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1293]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1289]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1295]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1296]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1298]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1299]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1300]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6971 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1305]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1302]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1309]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1303]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1304]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1288]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1306]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1307]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1308]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1310]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1301]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1294]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1290]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1291]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1297]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1292]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1293]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1289]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1295]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1296]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1298]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1299]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[56].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1300]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6972 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1328]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1325]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1332]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1326]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1327]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1311]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1329]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1330]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1331]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1333]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1324]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1317]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1313]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1314]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1320]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1315]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1316]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1312]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1318]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1319]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1321]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1322]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1323]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6973 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1328]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1325]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1332]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1326]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1327]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1311]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1329]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1330]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1331]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1333]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1324]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1317]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1313]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1314]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1320]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1315]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1316]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1312]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1318]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1319]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1321]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1322]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[57].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1323]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6974 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1351]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1348]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1355]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1349]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1350]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1334]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1352]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1353]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1354]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1356]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1347]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1340]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1336]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1337]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1343]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1338]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1339]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1335]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1341]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1342]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1344]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1345]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1346]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6975 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1351]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1348]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1355]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1349]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1350]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1334]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1352]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1353]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1354]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1356]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1347]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1340]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1336]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1337]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1343]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1338]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1339]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1335]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1341]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1342]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1344]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1345]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[58].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1346]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6976 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1374]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1371]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1378]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1372]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1373]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1357]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1375]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1376]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1377]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1379]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1370]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1363]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1359]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1360]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1366]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1361]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1362]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1358]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1364]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1365]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1367]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1368]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1369]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6977 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1374]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1371]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1378]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1372]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1373]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1357]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1375]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1376]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1377]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1379]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1370]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1363]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1359]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1360]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1366]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1361]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1362]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1358]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1364]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1365]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1367]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1368]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[59].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1369]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6978 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1397]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1394]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1401]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1395]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1396]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1380]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1398]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1399]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1400]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1402]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1393]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1386]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1382]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1383]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1389]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1384]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1385]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1381]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1387]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1388]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1390]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1391]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1392]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6979 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1397]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1394]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1401]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1395]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1396]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1380]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1398]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1399]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1400]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1402]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1393]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1386]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1382]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1383]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1389]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1384]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1385]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1381]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1387]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1388]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1390]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1391]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[60].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1392]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6980 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1420]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1417]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1424]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1418]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1419]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1403]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1421]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1422]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1423]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1425]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1416]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1409]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1405]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1406]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1412]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1407]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1408]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1404]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1410]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1411]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1413]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1414]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1415]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6981 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1420]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1417]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1424]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1418]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1419]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1403]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1421]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1422]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1423]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1425]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1416]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1409]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1405]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1406]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1412]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1407]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1408]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1404]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1410]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1411]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1413]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1414]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[61].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1415]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6982 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1443]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1440]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1447]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1441]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1442]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1426]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1444]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1445]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1446]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1448]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1439]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1432]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1428]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1429]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1435]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1430]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1431]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1427]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1433]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1434]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1436]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1437]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1438]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6983 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1443]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1440]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1447]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1441]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1442]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1426]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1444]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1445]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1446]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1448]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1439]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1432]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1428]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1429]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1435]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1430]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1431]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1427]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1433]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1434]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1436]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1437]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[62].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1438]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6984 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1466]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1463]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1470]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1464]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1465]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1449]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1467]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1468]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1469]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1471]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1462]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1455]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1451]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1452]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1458]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1453]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1454]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1450]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1456]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1457]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1459]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1460]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1461]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6985 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1466]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1463]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1470]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1464]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1465]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1449]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1467]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1468]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1469]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1471]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1462]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1455]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1451]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1452]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1458]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1453]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1454]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1450]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1456]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1457]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1459]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1460]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[63].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1461]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6986 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1489]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1486]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1493]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1487]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1488]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1472]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1490]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1491]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1492]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1494]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1485]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1478]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1474]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1475]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1481]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1476]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1477]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1473]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1479]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1480]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1482]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1483]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1484]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6987 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1489]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1486]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1493]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1487]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1488]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1472]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1490]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1491]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1492]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1494]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1485]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1478]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1474]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1475]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1481]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1476]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1477]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1473]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1479]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1480]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1482]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1483]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[64].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1484]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6988 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1512]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1509]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1516]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1510]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1511]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1495]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1513]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1514]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1515]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1517]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1508]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1501]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1497]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1498]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1504]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1499]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1500]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1496]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1502]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1503]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1505]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1506]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1507]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6989 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1512]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1509]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1516]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1510]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1511]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1495]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1513]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1514]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1515]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1517]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1508]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1501]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1497]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1498]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1504]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1499]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1500]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1496]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1502]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1503]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1505]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1506]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[65].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1507]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6990 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1535]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1532]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1539]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1533]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1534]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1518]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1536]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1537]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1538]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1540]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1531]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1524]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1520]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1521]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1527]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1522]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1523]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1519]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1525]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1526]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1528]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1529]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1530]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6991 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1535]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1532]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1539]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1533]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1534]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1518]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1536]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1537]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1538]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1540]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1531]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1524]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1520]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1521]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1527]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1522]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1523]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1519]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1525]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1526]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1528]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1529]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[66].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1530]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6992 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1558]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1555]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1562]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1556]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1557]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1541]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1559]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1560]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1561]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1563]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1554]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1547]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1543]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1544]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1550]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1545]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1546]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1542]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1548]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1549]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1551]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1552]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1553]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6993 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1558]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1555]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1562]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1556]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1557]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1541]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1559]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1560]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1561]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1563]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1554]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1547]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1543]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1544]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1550]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1545]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1546]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1542]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1548]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1549]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1551]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1552]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[67].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1553]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6994 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1581]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1578]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1585]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1579]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1580]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1564]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1582]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1583]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1584]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1586]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1577]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1570]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1566]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1567]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1573]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1568]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1569]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1565]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1571]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1572]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1574]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1575]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1576]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6995 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1581]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1578]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1585]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1579]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1580]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1564]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1582]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1583]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1584]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1586]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1577]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1570]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1566]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1567]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1573]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1568]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1569]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1565]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1571]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1572]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1574]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1575]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[68].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1576]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6996 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1604]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1601]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1608]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1602]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1603]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1587]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1605]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1606]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1607]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1609]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1600]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1593]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1589]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1590]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1596]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1591]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1592]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1588]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1594]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1595]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1597]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1598]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1599]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6997 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1604]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1601]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1608]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1602]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1603]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1587]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1605]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1606]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1607]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1609]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1600]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1593]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1589]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1590]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1596]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1591]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1592]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1588]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1594]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1595]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1597]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1598]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[69].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1599]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6998 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1627]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1624]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1631]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1625]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1626]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1610]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1628]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1629]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1630]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1632]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1623]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1616]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1612]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1613]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1619]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1614]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1615]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1611]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1617]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1618]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1620]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1621]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1622]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_6999 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1627]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1624]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1631]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1625]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1626]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1610]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1628]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1629]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1630]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1632]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1623]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1616]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1612]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1613]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1619]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1614]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1615]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1611]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1617]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1618]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1620]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1621]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[70].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1622]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7000 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1650]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1647]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1654]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1648]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1649]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1633]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1651]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1652]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1653]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1655]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1646]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1639]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1635]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1636]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1642]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1637]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1638]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1634]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1640]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1641]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1643]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1644]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1645]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7001 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1650]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1647]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1654]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1648]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1649]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1633]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1651]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1652]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1653]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1655]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1646]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1639]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1635]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1636]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1642]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1637]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1638]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1634]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1640]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1641]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1643]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1644]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[71].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1645]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7002 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1673]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1670]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1677]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1671]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1672]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1656]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1674]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1675]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1676]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1678]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1669]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1662]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1658]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1659]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1665]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1660]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1661]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1657]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1663]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1664]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1666]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1667]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1668]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7003 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1673]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1670]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1677]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1671]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1672]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1656]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1674]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1675]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1676]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1678]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1669]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1662]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1658]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1659]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1665]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1660]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1661]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1657]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1663]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1664]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1666]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1667]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[72].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1668]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7004 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1696]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1693]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1700]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1694]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1695]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1679]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1697]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1698]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1699]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1701]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1692]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1685]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1681]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1682]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1688]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1683]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1684]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1680]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1686]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1687]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1689]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1690]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1691]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7005 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1696]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1693]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1700]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1694]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1695]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1679]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1697]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1698]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1699]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1701]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1692]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1685]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1681]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1682]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1688]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1683]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1684]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1680]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1686]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1687]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1689]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1690]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[73].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1691]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7006 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1719]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1716]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1723]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1717]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1718]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1702]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1720]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1721]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1722]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1724]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1715]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1708]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1704]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1705]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1711]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1706]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1707]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1703]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1709]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1710]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1712]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1713]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1714]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7007 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1719]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1716]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1723]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1717]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1718]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1702]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1720]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1721]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1722]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1724]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1715]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1708]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1704]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1705]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1711]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1706]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1707]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1703]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1709]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1710]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1712]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1713]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[74].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1714]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7008 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1742]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1739]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1746]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1740]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1741]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1725]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1743]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1744]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1745]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1747]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1738]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1731]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1727]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1728]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1734]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1729]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1730]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1726]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1732]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1733]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1735]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1736]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1737]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7009 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1742]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1739]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1746]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1740]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1741]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1725]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1743]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1744]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1745]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1747]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1738]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1731]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1727]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1728]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1734]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1729]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1730]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1726]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1732]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1733]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1735]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1736]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[75].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1737]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7010 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1765]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1762]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1769]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1763]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1764]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1748]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1766]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1767]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1768]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1770]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1761]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1754]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1750]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1751]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1757]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1752]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1753]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1749]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1755]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1756]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1758]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1759]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1760]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7011 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1765]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1762]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1769]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1763]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1764]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1748]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1766]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1767]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1768]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1770]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1761]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1754]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1750]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1751]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1757]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1752]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1753]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1749]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1755]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1756]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1758]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1759]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[76].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1760]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7012 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1788]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1785]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1792]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1786]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1787]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1771]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1789]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1790]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1791]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1793]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1784]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1777]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1773]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1774]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1780]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1775]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1776]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1772]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1778]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1779]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1781]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1782]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1783]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7013 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1788]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1785]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1792]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1786]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1787]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1771]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1789]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1790]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1791]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1793]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1784]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1777]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1773]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1774]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1780]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1775]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1776]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1772]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1778]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1779]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1781]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1782]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[77].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1783]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7014 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1811]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1808]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1815]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1809]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1810]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1794]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1812]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1813]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1814]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1816]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1807]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1800]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1796]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1797]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1803]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1798]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1799]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1795]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1801]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1802]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1804]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1805]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1806]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7015 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1811]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1808]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1815]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1809]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1810]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1794]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1812]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1813]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1814]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1816]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1807]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1800]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1796]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1797]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1803]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1798]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1799]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1795]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1801]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1802]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1804]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1805]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[78].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1806]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7016 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1834]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1831]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1838]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1832]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1833]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1817]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1835]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1836]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1837]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1839]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1830]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1823]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1819]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1820]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1826]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1821]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1822]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1818]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1824]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1825]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1827]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1828]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1829]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7017 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1834]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1831]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1838]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1832]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1833]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1817]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1835]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1836]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1837]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1839]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1830]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1823]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1819]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1820]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1826]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1821]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1822]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1818]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1824]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1825]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1827]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1828]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[79].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1829]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7018 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1857]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1854]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1861]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1855]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1856]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1840]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1858]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1859]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1860]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1862]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1853]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1846]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1842]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1843]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1849]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1844]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1845]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1841]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1847]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1848]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1850]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1851]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1852]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7019 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1857]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1854]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1861]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1855]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1856]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1840]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1858]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1859]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1860]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1862]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1853]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1846]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1842]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1843]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1849]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1844]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1845]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1841]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1847]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1848]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1850]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1851]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[80].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1852]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7020 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1880]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1877]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1884]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1878]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1879]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1863]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1881]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1882]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1883]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1885]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1876]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1869]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1865]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1866]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1872]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1867]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1868]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1864]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1870]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1871]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1873]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1874]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1875]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7021 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1880]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1877]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1884]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1878]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1879]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1863]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1881]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1882]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1883]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1885]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1876]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1869]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1865]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1866]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1872]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1867]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1868]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1864]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1870]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1871]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1873]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1874]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[81].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1875]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7022 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1903]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1900]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1907]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1901]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1902]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1886]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1904]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1905]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1906]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1908]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1899]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1892]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1888]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1889]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1895]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1890]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1891]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1887]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1893]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1894]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1896]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1897]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1898]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7023 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1903]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1900]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1907]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1901]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1902]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1886]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1904]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1905]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1906]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1908]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1899]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1892]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1888]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1889]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1895]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1890]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1891]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1887]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1893]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1894]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1896]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1897]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[82].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1898]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7024 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1926]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1923]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1930]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1924]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1925]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1909]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1927]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1928]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1929]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1931]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1922]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1915]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1911]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1912]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1918]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1913]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1914]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1910]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1916]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1917]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1919]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1920]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1921]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7025 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1926]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1923]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1930]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1924]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1925]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1909]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1927]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1928]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1929]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1931]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1922]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1915]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1911]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1912]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1918]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1913]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1914]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1910]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1916]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1917]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1919]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1920]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[83].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1921]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7026 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1949]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1946]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1953]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1947]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1948]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1932]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1950]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1951]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1952]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1954]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1945]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1938]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1934]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1935]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1941]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1936]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1937]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1933]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1939]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1940]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1942]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1943]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1944]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7027 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1949]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1946]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1953]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1947]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1948]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1932]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1950]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1951]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1952]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1954]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1945]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1938]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1934]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1935]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1941]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1936]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1937]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1933]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1939]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1940]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1942]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1943]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[84].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1944]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7028 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1972]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1969]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1976]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1970]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1971]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1955]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1973]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1974]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1975]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1977]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1968]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1961]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1957]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1958]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1964]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1959]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1960]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1956]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1962]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1963]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1965]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1966]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1967]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7029 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1972]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1969]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1976]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1970]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1971]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1955]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1973]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1974]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1975]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1977]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1968]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1961]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1957]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1958]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1964]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1959]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1960]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1956]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1962]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1963]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1965]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1966]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[85].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1967]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7030 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1995]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1992]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1999]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1993]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1994]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1978]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1996]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1997]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1998]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2000]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1991]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1984]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1980]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1981]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1987]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1982]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1983]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1979]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1985]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1986]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1988]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1989]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [1990]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7031 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1995]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1992]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1999]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1993]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1994]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1978]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1996]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1997]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1998]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2000]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1991]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1984]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1980]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1981]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1987]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1982]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1983]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1979]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1985]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1986]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1988]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1989]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[86].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [1990]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7032 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2018]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2015]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2022]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2016]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2017]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2001]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2019]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2020]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2021]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2023]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2014]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2007]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2003]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2004]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2010]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2005]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2006]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2002]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2008]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2009]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2011]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2012]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2013]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7033 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2018]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2015]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2022]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2016]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2017]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2001]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2019]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2020]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2021]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2023]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2014]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2007]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2003]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2004]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2010]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2005]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2006]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2002]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2008]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2009]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2011]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2012]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[87].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2013]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7034 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2041]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2038]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2045]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2039]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2040]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2024]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2042]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2043]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2044]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2046]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2037]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2030]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2026]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2027]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2033]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2028]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2029]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2025]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2031]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2032]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2034]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2035]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2036]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7035 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2045]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2041]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2042]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2043]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2044]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2025]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2046]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2040]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2026]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2027]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2028]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2033]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2029]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2030]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2031]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2032]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2036]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2034]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2035]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2037]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2038]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2039]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[88].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2024]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7036 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2064]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2061]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2068]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2062]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2063]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2047]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2065]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2066]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2067]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2069]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2060]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2053]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2049]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2050]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2056]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2051]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2052]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2048]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2054]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2055]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2057]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2058]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2059]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7037 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2068]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2064]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2065]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2066]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2067]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2048]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2069]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2063]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2049]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2050]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2051]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2056]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2052]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2053]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2054]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2055]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2059]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2057]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2058]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2060]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2061]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2062]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[89].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2047]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7038 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2087]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2084]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2091]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2085]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2086]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2070]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2088]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2089]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2090]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2092]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2083]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2076]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2072]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2073]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2079]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2074]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2075]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2071]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2077]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2078]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2080]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2081]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2082]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7039 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2091]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2087]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2088]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2089]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2090]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2071]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2092]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2086]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2072]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2073]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2074]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2079]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2075]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2076]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2077]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2078]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2082]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2080]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2081]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2083]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2084]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2085]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[90].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2070]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7040 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2110]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2107]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2114]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2108]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2109]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2093]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2111]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2112]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2113]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2115]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2106]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2099]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2095]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2096]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2102]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2097]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2098]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2094]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2100]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2101]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2103]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2104]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2105]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7041 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2114]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2110]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2111]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2112]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2113]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2094]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2115]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2109]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2095]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2096]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2097]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2102]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2098]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2099]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2100]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2101]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2105]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2103]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2104]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2106]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2107]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2108]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[91].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2093]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7042 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2133]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2130]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2137]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2131]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2132]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2116]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2134]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2135]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2136]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2138]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2129]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2122]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2118]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2119]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2125]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2120]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2121]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2117]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2123]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2124]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2126]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2127]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2128]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7043 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2137]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2133]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2134]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2135]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2136]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2117]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2138]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2132]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2118]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2119]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2120]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2125]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2121]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2122]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2123]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2124]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2128]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2126]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2127]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2129]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2130]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2131]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[92].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2116]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7044 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2156]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2153]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2160]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2154]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2155]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2139]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2157]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2158]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2159]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2161]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2152]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2145]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2141]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2142]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2148]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2143]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2144]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2140]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2146]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2147]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2149]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2150]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2151]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7045 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2160]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2156]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2157]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2158]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2159]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2140]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2161]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2155]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2141]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2142]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2143]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2148]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2144]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2145]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2146]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2147]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2151]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2149]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2150]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2152]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2153]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2154]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[93].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2139]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7046 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2179]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2176]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2183]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2177]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2178]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2162]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2180]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2181]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2182]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2184]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2175]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2168]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2164]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2165]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2171]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2166]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2167]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2163]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2169]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2170]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2172]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2173]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2174]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7047 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2183]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2179]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2180]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2181]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2182]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2163]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2184]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2178]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2164]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2165]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2166]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2171]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2167]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2168]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2169]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2170]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2174]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2172]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2173]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2175]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2176]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2177]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[94].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2162]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7048 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2202]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2199]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2206]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2200]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2201]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2185]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2203]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2204]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2205]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2207]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2198]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2191]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2187]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2188]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2194]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2189]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2190]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2186]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2192]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2193]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2195]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2196]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2197]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7049 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2206]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2202]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2203]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2204]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2205]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2186]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2207]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2201]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2187]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2188]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2189]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2194]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2190]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2191]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2192]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2193]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2197]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2195]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2196]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2198]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2199]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2200]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[95].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2185]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7050 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2225]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2222]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2229]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2223]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2224]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2208]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2226]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2227]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2228]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2230]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2221]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2214]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2210]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2211]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2217]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2212]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2213]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2209]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2215]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2216]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2218]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2219]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2220]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7051 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2229]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2225]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2226]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2227]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2228]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2209]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2230]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2224]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2210]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2211]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2212]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2217]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2213]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2214]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2215]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2216]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2220]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2218]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2219]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2221]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2222]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2223]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[96].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2208]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7052 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2248]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2245]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2252]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2246]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2247]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2231]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2249]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2250]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2251]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2253]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2244]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2237]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2233]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2234]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2240]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2235]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2236]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2232]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2238]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2239]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2241]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2242]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2243]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7053 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2252]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2248]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2249]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2250]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2251]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2232]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2253]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2247]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2233]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2234]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2235]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2240]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2236]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2237]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2238]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2239]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2243]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2241]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2242]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2244]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2245]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2246]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[97].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2231]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7054 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2271]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2268]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2275]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2269]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2270]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2254]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2272]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2273]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2274]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2276]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2267]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2260]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2256]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2257]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2263]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2258]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2259]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2255]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2261]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2262]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2264]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2265]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2266]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7055 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2275]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2271]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2272]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2273]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2274]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2255]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2276]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2270]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2256]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2257]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2258]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2263]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2259]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2260]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2261]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2262]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2266]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2264]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2265]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2267]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2268]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2269]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[98].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2254]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7056 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2294]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2291]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2298]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2292]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2293]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2277]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2295]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2296]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2297]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2299]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2290]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2283]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2279]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2280]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2286]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2281]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2282]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2278]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2284]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2285]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2287]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2288]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2289]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7057 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2298]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2294]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2295]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2296]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2297]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2278]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2299]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2293]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2279]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2280]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2281]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2286]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2282]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2283]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2284]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2285]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2289]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2287]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2288]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2290]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2291]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2292]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[99].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2277]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7058 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2317]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2314]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2321]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2315]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2316]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2300]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2318]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2319]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2320]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2322]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2313]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2306]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2302]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2303]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2309]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2304]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2305]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2301]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2307]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2308]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2310]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2311]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2312]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7059 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2321]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2317]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2318]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2319]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2320]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2301]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2322]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2316]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2302]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2303]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2304]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2309]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2305]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2306]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2307]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2308]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2312]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2310]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2311]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2313]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2314]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2315]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[100].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2300]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7060 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2340]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2337]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2344]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2338]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2339]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2323]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2341]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2342]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2343]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2345]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2336]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2329]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2325]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2326]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2332]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2327]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2328]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2324]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2330]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2331]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2333]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2334]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2335]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7061 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2344]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2340]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2341]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2342]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2343]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2324]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2345]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2339]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2325]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2326]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2327]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2332]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2328]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2329]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2330]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2331]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2335]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2333]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2334]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2336]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2337]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2338]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[101].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2323]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7062 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2363]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2360]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2367]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2361]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2362]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2346]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2364]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2365]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2366]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2368]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2359]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2352]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2348]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2349]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2355]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2350]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2351]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2347]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2353]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2354]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2356]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2357]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2358]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7063 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2367]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2363]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2364]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2365]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2366]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2347]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2368]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2362]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2348]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2349]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2350]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2355]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2351]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2352]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2353]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2354]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2358]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2356]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2357]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2359]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2360]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2361]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[102].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2346]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7064 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2386]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2383]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2390]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2384]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2385]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2369]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2387]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2388]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2389]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2391]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2382]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2375]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2371]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2372]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2378]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2373]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2374]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2370]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2376]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2377]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2379]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2380]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2381]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7065 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2390]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2386]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2387]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2388]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2389]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2370]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2391]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2385]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2371]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2372]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2373]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2378]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2374]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2375]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2376]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2377]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2381]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2379]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2380]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2382]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2383]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2384]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[103].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2369]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7066 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2409]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2406]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2413]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2407]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2408]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2392]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2410]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2411]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2412]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2414]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2405]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2398]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2394]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2395]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2401]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2396]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2397]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2393]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2399]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2400]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2402]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2403]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2404]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7067 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2413]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2409]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2410]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2411]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2412]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2393]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2414]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2408]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2394]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2395]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2396]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2401]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2397]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2398]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2399]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2400]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2404]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2402]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2403]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2405]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2406]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2407]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[104].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2392]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7068 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2432]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2429]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2436]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2430]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2431]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2415]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2433]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2434]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2435]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2437]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2428]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2421]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2417]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2418]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2424]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2419]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2420]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2416]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2422]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2423]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2425]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2426]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2427]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7069 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2436]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2432]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2433]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2434]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2435]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2416]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2437]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2431]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2417]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2418]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2419]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2424]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2420]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2421]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2422]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2423]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2427]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2425]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2426]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2428]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2429]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2430]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[105].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2415]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7070 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2455]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2452]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2459]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2453]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2454]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2438]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2456]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2457]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2458]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2460]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2451]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2444]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2440]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2441]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2447]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2442]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2443]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2439]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2445]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2446]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2448]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2449]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2450]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7071 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2459]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2455]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2456]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2457]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2458]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2439]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2460]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2454]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2440]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2441]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2442]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2447]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2443]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2444]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2445]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2446]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2450]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2448]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2449]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2451]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2452]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2453]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[106].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2438]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7072 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2478]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2475]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2482]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2476]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2477]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2461]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2479]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2480]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2481]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2483]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2474]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2467]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2463]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2464]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2470]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2465]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2466]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2462]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2468]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2469]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2471]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2472]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2473]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7073 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2482]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2478]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2479]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2480]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2481]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2462]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2483]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2477]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2463]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2464]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2465]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2470]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2466]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2467]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2468]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2469]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2473]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2471]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2472]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2474]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2475]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2476]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[107].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2461]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7074 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2501]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2498]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2505]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2499]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2500]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2484]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2502]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2503]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2504]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2506]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2497]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2490]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2486]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2487]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2493]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2488]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2489]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2485]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2491]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2492]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2494]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2495]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2496]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7075 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2505]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2501]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2502]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2503]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2504]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2485]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2506]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2500]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2486]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2487]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2488]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2493]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2489]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2490]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2491]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2492]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2496]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2494]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2495]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2497]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2498]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2499]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[108].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2484]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7076 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2524]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2521]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2528]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2522]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2523]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2507]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2525]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2526]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2527]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2529]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2520]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2513]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2509]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2510]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2516]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2511]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2512]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2508]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2514]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2515]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2517]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2518]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2519]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7077 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2528]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2524]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2525]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2526]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2527]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2508]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2529]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2523]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2509]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2510]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2511]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2516]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2512]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2513]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2514]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2515]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2519]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2517]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2518]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2520]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2521]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2522]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[109].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2507]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7078 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2547]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2544]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2551]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2545]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2546]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2530]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2548]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2549]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2550]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2552]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2543]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2536]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2532]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2533]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2539]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2534]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2535]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2531]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2537]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2538]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2540]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2541]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2542]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7079 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2551]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2547]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2548]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2549]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2550]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2531]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2552]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2546]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2532]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2533]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2534]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2539]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2535]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2536]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2537]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2538]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2542]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2540]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2541]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2543]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2544]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2545]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[110].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2530]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7080 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2570]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2567]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2574]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2568]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2569]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2553]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2571]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2572]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2573]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2575]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2566]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2559]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2555]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2556]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2562]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2557]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2558]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2554]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2560]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2561]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2563]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2564]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2565]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7081 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2574]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2570]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2571]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2572]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2573]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2554]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2575]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2569]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2555]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2556]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2557]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2562]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2558]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2559]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2560]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2561]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2565]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2563]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2564]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2566]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2567]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2568]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[111].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2553]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7082 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2593]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2590]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2597]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2591]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2592]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2576]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2594]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2595]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2596]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2598]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2589]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2582]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2578]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2579]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2585]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2580]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2581]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2577]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2583]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2584]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2586]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2587]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2588]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7083 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2597]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2593]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2594]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2595]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2596]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2577]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2598]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2592]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2578]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2579]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2580]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2585]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2581]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2582]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2583]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2584]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2588]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2586]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2587]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2589]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2590]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2591]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[112].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2576]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7084 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2616]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2613]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2620]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2614]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2615]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2599]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2617]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2618]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2619]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2621]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2612]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2605]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2601]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2602]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2608]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2603]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2604]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2600]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2606]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2607]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2609]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2610]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2611]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7085 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2620]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2616]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2617]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2618]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2619]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2600]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2621]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2615]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2601]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2602]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2603]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2608]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2604]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2605]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2606]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2607]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2611]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2609]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2610]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2612]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2613]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2614]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[113].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2599]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7086 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2639]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2636]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2643]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2637]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2638]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2622]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2640]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2641]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2642]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2644]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2635]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2628]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2624]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2625]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2631]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2626]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2627]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2623]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2629]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2630]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2632]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2633]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2634]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7087 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2643]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2639]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2640]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2641]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2642]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2623]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2644]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2638]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2624]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2625]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2626]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2631]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2627]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2628]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2629]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2630]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2634]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2632]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2633]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2635]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2636]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2637]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[114].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2622]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7088 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2662]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2659]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2666]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2660]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2661]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2645]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2663]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2664]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2665]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2667]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2658]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2651]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2647]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2648]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2654]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2649]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2650]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2646]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2652]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2653]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2655]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2656]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2657]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7089 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2666]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2662]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2663]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2664]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2665]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2646]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2667]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2661]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2647]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2648]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2649]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2654]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2650]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2651]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2652]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2653]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2657]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2655]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2656]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2658]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2659]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2660]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[115].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2645]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7090 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2685]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2682]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2689]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2683]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2684]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2668]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2686]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2687]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2688]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2690]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2681]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2674]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2670]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2671]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2677]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2672]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2673]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2669]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2675]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2676]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2678]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2679]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2680]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7091 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2689]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2685]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2686]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2687]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2688]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2669]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2690]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2684]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2670]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2671]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2672]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2677]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2673]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2674]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2675]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2676]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2680]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2678]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2679]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2681]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2682]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2683]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[116].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2668]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7092 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2708]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2705]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2712]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2706]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2707]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2691]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2709]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2710]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2711]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2713]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2704]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2697]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2693]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2694]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2700]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2695]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2696]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2692]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2698]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2699]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2701]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2702]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2703]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7093 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2712]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2708]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2709]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2710]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2711]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2692]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2713]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2707]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2693]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2694]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2695]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2700]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2696]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2697]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2698]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2699]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2703]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2701]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2702]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2704]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2705]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2706]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[117].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2691]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7094 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2731]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2728]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2735]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2729]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2730]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2714]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2732]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2733]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2734]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2736]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2727]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2720]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2716]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2717]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2723]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2718]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2719]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2715]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2721]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2722]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2724]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2725]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2726]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7095 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2735]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2731]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2732]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2733]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2734]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2715]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2736]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2730]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2716]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2717]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2718]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2723]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2719]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2720]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2721]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2722]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2726]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2724]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2725]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2727]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2728]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2729]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[118].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2714]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7096 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2754]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2751]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2758]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2752]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2753]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2737]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2755]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2756]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2757]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2759]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2750]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2743]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2739]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2740]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2746]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2741]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2742]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2738]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2744]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2745]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2747]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2748]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2749]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7097 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2758]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2754]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2755]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2756]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2757]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2738]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2759]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2753]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2739]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2740]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2741]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2746]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2742]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2743]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2744]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2745]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2749]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2747]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2748]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2750]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2751]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2752]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[119].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2737]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7098 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2777]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2774]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2781]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2775]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2776]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2760]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2778]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2779]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2780]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2782]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2773]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2766]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2762]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2763]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2769]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2764]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2765]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2761]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2767]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2768]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2770]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2771]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2772]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7099 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2781]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2777]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2778]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2779]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2780]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2761]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2782]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2776]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2762]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2763]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2764]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2769]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2765]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2766]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2767]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2768]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2772]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2770]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2771]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2773]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2774]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2775]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[120].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2760]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7100 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2800]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2797]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2804]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2798]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2799]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2783]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2801]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2802]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2803]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2805]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2796]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2789]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2785]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2786]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2792]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2787]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2788]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2784]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2790]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2791]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2793]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2794]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2795]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7101 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2804]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2800]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2801]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2802]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2803]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2784]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2805]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2799]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2785]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2786]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2787]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2792]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2788]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2789]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2790]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2791]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2795]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2793]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2794]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2796]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2797]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2798]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[121].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2783]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7102 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2827]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2823]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2824]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2825]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2826]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2807]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2828]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2822]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2808]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2809]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2810]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2815]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2811]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2812]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2813]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2814]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2818]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2816]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2817]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2819]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2820]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2821]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2806]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7103 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2827]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2823]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2824]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2825]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2826]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2807]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2828]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2822]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2808]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2809]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2810]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2815]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2811]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2812]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2813]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2814]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2818]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2816]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2817]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2819]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2820]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2821]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[122].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2806]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7104 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2850]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2846]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2847]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2848]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2849]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2830]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2851]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2845]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2831]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2832]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2833]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2838]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2834]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2835]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2836]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2837]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2841]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2839]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2840]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2842]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2843]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2844]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2829]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7105 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2850]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2846]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2847]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2848]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2849]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2830]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2851]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2845]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2831]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2832]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2833]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2838]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2834]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2835]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2836]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2837]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2841]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2839]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2840]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2842]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2843]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2844]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[123].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2829]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7106 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2873]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2869]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2870]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2871]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2872]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2853]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2874]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2868]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2854]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2855]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2856]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2861]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2857]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2858]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2859]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2860]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2864]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2862]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2863]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2865]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2866]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2867]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2852]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7107 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2873]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2869]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2870]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2871]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2872]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2853]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2874]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2868]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2854]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2855]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2856]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2861]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2857]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2858]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2859]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2860]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2864]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2862]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2863]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2865]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2866]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2867]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[124].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2852]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7108 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2896]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2892]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2893]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2894]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2895]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2876]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2897]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2891]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2877]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2878]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2879]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2884]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2880]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2881]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2882]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2883]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2887]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2885]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2886]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2888]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2889]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2890]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2875]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7109 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2896]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2892]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2893]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2894]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2895]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2876]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2897]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2891]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2877]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2878]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2879]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2884]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2880]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2881]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2882]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2883]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2887]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2885]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2886]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2888]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2889]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2890]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[125].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2875]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7110 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2919]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2915]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2916]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2917]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2918]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2899]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2920]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2914]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2900]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2901]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2902]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2907]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2903]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2904]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2905]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2906]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2910]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2908]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2909]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2911]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2912]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2913]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2898]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7111 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2919]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2915]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2916]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2917]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2918]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2899]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2920]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2914]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2900]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2901]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2902]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2907]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2903]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2904]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2905]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2906]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2910]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2908]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2909]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2911]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2912]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2913]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[126].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2898]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7112 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2942]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2938]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2939]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2940]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2941]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2922]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2943]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2937]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2923]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2924]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2925]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2930]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2926]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2927]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2928]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2929]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2933]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2931]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2932]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2934]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2935]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2936]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way0_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way0_out [2921]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_n_7113 ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2926]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2923]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2924]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2925]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_wr_data [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2922]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2933]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2927]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2928]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2934]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2930]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2931]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2932]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2929]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2935]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2936]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_pkt[49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2937]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_btb_valid ),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2921]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2938]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN1_n_29873),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2942]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2941]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2940]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_l1clk ),
+	.D(brqrv_top_brqrv_exu_mp_btag[1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2939]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_genblk4.BTB_FLOPS[127].btb_bank0_way1_l1clk ),
+	.D(FE_DBTN2_n_29875),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_btb_bank0_rd_data_way1_out [2943]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(n_39230),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [12]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [1]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [2]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [3]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [4]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [27]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [5]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [6]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [7]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [23]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [8]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [9]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [10]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [19]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [11]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [0]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [31]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [14]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [15]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [16]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [17]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [18]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [20]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [21]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [22]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [24]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [25]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [26]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [28]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [29]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [30]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[0].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [13]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(n_39230),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [44]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [44]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [33]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [33]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [34]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [34]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [35]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [35]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [36]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [36]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [59]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [59]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [37]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [37]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [38]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [38]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [39]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [39]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [55]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [55]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [40]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [40]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [41]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [41]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [42]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [42]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [51]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [51]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [43]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [43]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [32]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [32]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [63]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [63]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [46]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [46]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [47]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [47]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [48]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [48]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [49]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [49]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [50]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [50]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [52]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [52]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [53]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [53]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [54]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [54]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [56]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [56]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [57]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [57]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [58]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [58]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [60]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [60]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [61]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [61]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [62]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [62]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[1].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [45]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [45]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(n_39230),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [76]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [76]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [65]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [65]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [66]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [66]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [67]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [67]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [68]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [68]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [91]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [91]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [69]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [69]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [70]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [70]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [71]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [71]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [87]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [87]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [72]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [72]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [73]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [73]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [74]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [74]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [83]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [83]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [75]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [75]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [64]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [64]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [95]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [95]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [78]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [78]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [79]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [79]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [80]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [80]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [81]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [81]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [82]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [82]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [84]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [84]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [85]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [85]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [86]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [86]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [88]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [88]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [89]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [89]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [90]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [90]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [92]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [92]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [93]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [93]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [94]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [94]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[2].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [77]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [77]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(n_39230),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [108]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [108]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [97]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [97]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [98]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [98]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [99]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [99]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [100]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [100]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [123]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [123]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [101]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [101]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [102]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [102]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [103]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [103]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [119]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [119]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [104]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [104]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [105]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [105]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [106]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [106]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [115]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [115]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [107]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [107]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [96]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [96]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [127]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [127]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [110]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [110]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [111]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [111]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [112]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [112]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [113]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [113]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [114]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [114]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [116]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [116]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [117]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [117]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [118]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [118]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [120]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [120]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [121]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [121]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [122]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [122]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [124]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [124]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [125]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [125]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [126]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [126]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[3].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [109]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [109]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(n_39230),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [140]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [140]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [129]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [129]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [130]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [130]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [131]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [131]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [132]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [132]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [155]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [155]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [133]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [133]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [134]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [134]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [135]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [135]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [151]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [151]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [136]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [136]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [137]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [137]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [138]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [138]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [147]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [147]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [139]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [139]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [128]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [128]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [159]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [159]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [142]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [142]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [143]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [143]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [144]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [144]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [145]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [145]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [146]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [146]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [148]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [148]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [149]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [149]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [150]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [150]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [152]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [152]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [153]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [153]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [154]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [154]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [156]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [156]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [157]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [157]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [158]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [158]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[4].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [141]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [141]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(n_39230),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [172]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [172]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [161]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [161]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [162]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [162]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [163]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [163]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [164]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [164]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [187]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [187]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [165]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [165]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [166]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [166]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [167]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [167]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [183]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [183]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [168]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [168]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [169]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [169]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [170]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [170]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [179]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [179]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [171]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [171]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [160]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [160]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [191]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [191]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [174]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [174]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [175]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [175]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [176]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [176]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [177]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [177]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [178]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [178]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [180]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [180]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [181]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [181]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [182]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [182]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [184]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [184]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [185]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [185]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [186]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [186]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [188]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [188]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [189]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [189]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [190]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [190]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[5].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [173]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [173]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(n_39230),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [204]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [204]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [193]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [193]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [194]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [194]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [195]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [195]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [196]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [196]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [219]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [219]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [197]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [197]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [198]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [198]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [199]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [199]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [215]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [215]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [200]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [200]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [201]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [201]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [202]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [202]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [211]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [211]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [203]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [203]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [192]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [192]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [223]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [223]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [206]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [206]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [207]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [207]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [208]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [208]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [209]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [209]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [210]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [210]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [212]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [212]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [213]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [213]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [214]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [214]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [216]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [216]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [217]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [217]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [218]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [218]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [220]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [220]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [221]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [221]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [222]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [222]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[6].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_in [205]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [205]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_bpred.bp_rs_push ),
+	.GCLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [202]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [234]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [222]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [254]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [220]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [252]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [216]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [248]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [208]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [240]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [192]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [224]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [207]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [239]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [215]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [247]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [206]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [238]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [205]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [237]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [219]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [251]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [214]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [246]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [204]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [236]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [203]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [235]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [213]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [245]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [223]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [255]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [193]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [225]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [221]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [253]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [218]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [250]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [212]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [244]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [200]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [232]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [199]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [231]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [211]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [243]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [198]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [230]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [197]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [229]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [217]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [249]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [210]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [242]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [196]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [228]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [195]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [227]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [209]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [241]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [194]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [226]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_bpred.bp_retstack[7].rets_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [201]),
+	.Q(\brqrv_top_brqrv_ifu_bpred.bp_rets_out [233]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_extra_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_ifu_ifc_fetch_bf_en),
+	.GCLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_extra_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_extra_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[1]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_extra_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[12]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_extra_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[5]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_extra_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[6]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_extra_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[7]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_extra_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[8]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_extra_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[9]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_extra_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[10]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_extra_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[11]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_extra_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[2]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_extra_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[3]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_extra_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[4]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_final_en),
+	.GCLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[19]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[14]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[30]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[15]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[16]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[17]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[26]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[18]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[13]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[20]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[21]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[22]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[23]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[24]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[25]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[27]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[28]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[29]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_faddrf1_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[31]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_addr_f[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_ifu_ifc_fbwrite_ff_clkhdr_clkhdr (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_ifu_ifc_fbwrite_ff_en),
+	.GCLK(brqrv_top_brqrv_ifu_ifc_fbwrite_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_fbwrite_ff_dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_fbwrite_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_miss_f),
+	.Q(brqrv_top_brqrv_ifu_ifc_miss_a),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_fbwrite_ff_dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_fbwrite_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fb_write_ns[1]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fb_write_f[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_fbwrite_ff_dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_fbwrite_ff_l1clk),
+	.D(brqrv_top_brqrv_dma_iccm_stall_any),
+	.Q(brqrv_top_brqrv_ifu_ifc_dma_iccm_stall_any_f),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_fbwrite_ff_dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_fbwrite_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fb_write_ns[0]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fb_write_f[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_fbwrite_ff_dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_fbwrite_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fb_write_ns[2]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fb_write_f[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_fbwrite_ff_dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_fbwrite_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_next_state[1]),
+	.Q(brqrv_top_brqrv_ifu_ifc_state[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_fbwrite_ff_dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_fbwrite_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_next_state[0]),
+	.Q(brqrv_top_brqrv_ifu_ifc_state[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_fbwrite_ff_dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_fbwrite_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fb_write_ns[3]),
+	.Q(brqrv_top_brqrv_ifu_ifc_fb_full_f),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_ifc_fbwrite_ff_dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_ifu_ifc_fbwrite_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_req_bf),
+	.Q(brqrv_top_brqrv_ifu_ifc_fetch_req_f),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 \brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_g313__8246  (
+	.A(\brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_n_3 ),
+	.B(\brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_n_6 ),
+	.C_N(\brqrv_top_brqrv_ifu_ifc_genblk2.iccm_acc_in_region_bf ),
+	.Y(brqrv_top_brqrv_ifu_ifc_iccm_access_bf), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_g314__7098  (
+	.A(\brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_n_5 ),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[20]),
+	.C(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[25]),
+	.Y(\brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_g315__6131  (
+	.A(\brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_n_0 ),
+	.B(\brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_n_1 ),
+	.C(\brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_n_4 ),
+	.Y(\brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_g316__1881  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[26]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[27]),
+	.C(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[14]),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[15]),
+	.Y(\brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_g317__5115  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[23]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[22]),
+	.C(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[24]),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[21]),
+	.Y(\brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_g318__7482  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[18]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[17]),
+	.C(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[16]),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[19]),
+	.Y(\brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_n_1 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4bb_1 \brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_g319__4733  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[28]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[30]),
+	.C_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[29]),
+	.D_N(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[31]),
+	.Y(\brqrv_top_brqrv_ifu_ifc_genblk2.iccm_acc_in_region_bf ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_g320__6161  (
+	.A(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[12]),
+	.B(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[13]),
+	.Y(\brqrv_top_brqrv_ifu_ifc_genblk2.iccm_rangecheck_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_ifu_mem_ctl_bus_clk_clkhdr (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_ifu_mem_ctl_bus_clk_logic_1_1_net),
+	.GCLK(brqrv_top_brqrv_ifu_mem_ctl_busclk_force), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 brqrv_top_brqrv_ifu_mem_ctl_bus_clk_tie_1_cell (
+	.HI(brqrv_top_brqrv_ifu_mem_ctl_bus_clk_logic_1_1_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_ifu_mem_ctl_bus_clk_f_clkhdr (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_ifu_mem_ctl_bus_clk_f_logic_1_1_net),
+	.GCLK(brqrv_top_brqrv_ifu_mem_ctl_busclk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 brqrv_top_brqrv_ifu_mem_ctl_bus_clk_f_tie_1_cell (
+	.HI(brqrv_top_brqrv_ifu_mem_ctl_bus_clk_f_logic_1_1_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_bus_cmd_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_busclk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_ifu_bus_cmd_valid),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_bus_arvalid_ff),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_bus_ic_req_ff2_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_busclk_force),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_ifc_bus_ic_req_ff_in),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_bus_cmd_valid),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_ifu_mem_ctl_fetch_bf_f_c1_cgc_clkhdr (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_ifu_mem_ctl_fetch_bf_f_c1_clken),
+	.GCLK(brqrv_top_brqrv_ifu_mem_ctl_fetch_bf_f_c1_clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rvalid_in ),
+	.GCLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_33547),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_33524),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_33525),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_33526),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[59]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [27]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[59]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_33527),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_33528),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_33529),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_33530),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_33531),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_33532),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_33533),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[51]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [19]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[51]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_33534),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_33535),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_33536),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[47]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [15]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[47]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_33537),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_33538),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_33539),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[43]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [11]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[43]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_33540),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_33541),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_33542),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_33543),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_33544),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_33545),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_33546),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[35]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [3]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[35]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_33522),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_33548),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_33549),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_33550),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_33551),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_33552),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[32]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [0]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[32]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[34]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [2]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[34]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[36]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [4]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[36]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[37]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [5]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[37]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[38]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [6]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[38]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[39]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [7]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[39]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[40]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [8]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[40]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[41]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [9]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[41]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[42]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [10]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[42]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[44]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [12]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[44]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[45]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [13]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[45]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[46]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [14]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[46]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[48]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [16]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[48]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[49]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [17]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[49]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[50]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [18]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[50]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[52]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [20]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[52]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[53]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [21]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[53]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[54]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [22]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[54]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[55]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [23]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[55]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[56]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [24]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[56]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[57]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [25]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[57]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[58]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [26]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[58]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[61]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [29]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[61]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[62]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [30]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[62]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[63]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [31]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[63]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_33523),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(n_33521),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[33]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [1]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[33]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_genblock.dff_dout_reg[60]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_data_ff_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rdata_1_muxed [28]),
+	.Q(brqrv_top_brqrv_iccm_dma_rdata[60]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_clkhdr_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_en ),
+	.GCLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_l1clk ),
+	.D(brqrv_top_brqrv_dma_mem_addr[2]),
+	.Q(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_mem_addr_ff [2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_l1clk ),
+	.D(n_33553),
+	.Q(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_n_19 ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rden ),
+	.Q(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rvalid_in ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_l1clk ),
+	.D(brqrv_top_brqrv_dma_mem_tag[2]),
+	.Q(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_n_10 ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_n_10 ),
+	.Q(brqrv_top_brqrv_iccm_dma_rtag[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_n_9 ),
+	.Q(brqrv_top_brqrv_iccm_dma_rtag[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_n_8 ),
+	.Q(brqrv_top_brqrv_iccm_dma_rtag[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_l1clk ),
+	.D(brqrv_top_brqrv_dma_mem_tag[1]),
+	.Q(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_n_9 ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_l1clk ),
+	.D(brqrv_top_brqrv_dma_mem_tag[0]),
+	.Q(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_n_8 ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.dma_misc_bits_l1clk ),
+	.D(\brqrv_top_brqrv_ifu_mem_ctl_iccm_enabled.iccm_dma_rvalid_in ),
+	.Q(brqrv_top_brqrv_iccm_dma_rvalid),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_extra_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_ifu_mem_ctl_fetch_bf_f_c1_clken),
+	.GCLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_extra_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_extra_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[12]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_extra_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[11]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_extra_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[9]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_extra_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[8]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_extra_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[10]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_extra_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[7]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_extra_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[1]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_n_2292),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_extra_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[2]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_n_2291),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_extra_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[3]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_extra_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[4]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_extra_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[5]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_extra_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[6]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_final_en),
+	.GCLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[19]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[14]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[30]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[15]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[16]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[17]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[26]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[18]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[13]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[20]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[21]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[22]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[23]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[24]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[25]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[27]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[28]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[29]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_addr_bf[31]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_fetch_addr_int_f[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_iccm_acc_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_fetch_bf_f_c1_clk),
+	.D(brqrv_top_brqrv_ifu_ifc_iccm_access_bf),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifc_iccm_access_f),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_iccm_reg_acc_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_fetch_bf_f_c1_clk),
+	.D(brqrv_top_brqrv_ifu_ifc_region_acc_fault_bf),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifc_region_acc_fault_final_f),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_ifu_mem_ctl_ifu_pmu_sigs_ff_clkhdr_clkhdr (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_ifu_mem_ctl_ifu_pmu_sigs_ff_en),
+	.GCLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_pmu_sigs_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_pmu_sigs_ff_dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_pmu_sigs_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_ifu_pmu_bus_busy_in),
+	.Q(brqrv_top_brqrv_ifu_pmu_bus_busy),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_pmu_sigs_ff_dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_pmu_sigs_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f),
+	.Q(brqrv_top_brqrv_ifu_pmu_ic_miss),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_pmu_sigs_ff_dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_pmu_sigs_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_fence_i_r),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_reset_all_tags),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_pmu_sigs_ff_dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_pmu_sigs_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_ifc_fetch_uncacheable_bf),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifu_pmu_sigs_ff_n_21),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_pmu_sigs_ff_dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_pmu_sigs_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_ifu_pmu_bus_error_in),
+	.Q(brqrv_top_brqrv_ifu_pmu_bus_error),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_ifu_pmu_sigs_ff_dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_ifu_pmu_sigs_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_ifc_fetch_req_qual_bf),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifc_fetch_req_f_raw),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_extra_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_ifu_mem_ctl_fetch_bf_f_c1_clken),
+	.GCLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_extra_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_extra_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_imb_in[1]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_extra_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_extra_l1clk),
+	.D(n_39273),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_extra_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_imb_in[5]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_extra_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_extra_l1clk),
+	.D(n_39275),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_extra_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_imb_in[7]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_extra_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_imb_in[8]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_extra_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_imb_in[9]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_extra_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_imb_in[10]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_extra_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_extra_l1clk),
+	.D(n_39274),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_extra_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_extra_l1clk),
+	.D(n_39276),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_extra_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_imb_in[3]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_extra_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_extra_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_imb_in[4]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_final_en),
+	.GCLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_imb_in[19]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_imb_in[14]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_imb_in[30]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_l1clk),
+	.D(n_38495),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_imb_in[16]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_imb_in[17]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_imb_in[26]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_imb_in[18]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_imb_in[13]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_imb_in[20]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_imb_in[21]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_imb_in[22]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_imb_in[23]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_imb_in[24]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_imb_in[25]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_imb_in[27]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_imb_in[28]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_imb_in[29]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_imb_f_ff_dff_dff_left_dff_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_imb_in[31]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_imb_ff[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_ifu_mem_ctl_misc1_ff_clkhdr_clkhdr (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_ifu_mem_ctl_misc1_ff_en),
+	.GCLK(brqrv_top_brqrv_ifu_mem_ctl_misc1_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_misc1_ff_dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_misc1_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_ic_debug_rd_en_ff),
+	.Q(brqrv_top_brqrv_ifu_ic_debug_rd_data_valid),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_misc1_ff_dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_misc1_ff_l1clk),
+	.D(brqrv_top_brqrv_dec_tlu_ic_diag_pkt[1]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ic_debug_rd_en_ff),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_misc1_ff_dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_misc1_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_reset_tag_valid_for_miss),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_sel_mb_addr_ff),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_misc1_ff_dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_misc1_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_reset_ic_in),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_reset_ic_ff),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 brqrv_top_brqrv_ifu_mem_ctl_misc_ff_g314__9315 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_ifc_dma_access_ok_prev),
+	.A2(n_31562),
+	.B1(\brqrv_top_brqrv_ifu_mem_ctl_misc_ff_dff_dout[5]_60 ),
+	.C1(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_3),
+	.D1(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_9),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 brqrv_top_brqrv_ifu_mem_ctl_misc_ff_g315__9945 (
+	.A1(brqrv_top_brqrv_dma_iccm_req),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_0),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_bus_cmd_req_in),
+	.B2(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_1),
+	.C1(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_8),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 brqrv_top_brqrv_ifu_mem_ctl_misc_ff_g316__2883 (
+	.A1(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_0),
+	.A2(brqrv_top_brqrv_dma_iccm_req),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_4),
+	.C1(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_5),
+	.D1(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_7),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_brqrv_ifu_mem_ctl_misc_ff_g317__2346 (
+	.A1(n_31562),
+	.A2(brqrv_top_brqrv_ifu_mem_ctl_ifc_dma_access_ok_prev),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_6),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 brqrv_top_brqrv_ifu_mem_ctl_misc_ff_g318__1666 (
+	.A1_N(brqrv_top_brqrv_ifu_mem_ctl_ic_crit_wd_rdy_new_ff),
+	.A2_N(brqrv_top_brqrv_ifu_mem_ctl_ic_crit_wd_rdy_new_in),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_ic_crit_wd_rdy_new_ff),
+	.B2(brqrv_top_brqrv_ifu_mem_ctl_ic_crit_wd_rdy_new_in),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_brqrv_ifu_mem_ctl_misc_ff_g319__7410 (
+	.A1_N(brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f_delayed),
+	.A2_N(brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f),
+	.B1(brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f_delayed),
+	.B2(brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_brqrv_ifu_mem_ctl_misc_ff_g320__6417 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_flush_final_f),
+	.B(brqrv_top_brqrv_exu_flush_final),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 brqrv_top_brqrv_ifu_mem_ctl_misc_ff_g321__5477 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_1),
+	.B(brqrv_top_brqrv_ifu_mem_ctl_bus_cmd_req_in),
+	.X(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_ifu_mem_ctl_misc_ff_g323 (
+	.A(brqrv_top_brqrv_ifu_mem_ctl_bus_cmd_req_hold),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 brqrv_top_brqrv_ifu_mem_ctl_misc_ff_g324 (
+	.A(\brqrv_top_brqrv_ifu_mem_ctl_misc_ff_dff_dout[0]_55 ),
+	.Y(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_ifu_mem_ctl_misc_ff_clkhdr_clkhdr (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_en),
+	.GCLK(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_misc_ff_dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_l1clk),
+	.D(brqrv_top_brqrv_dma_iccm_req),
+	.Q(\brqrv_top_brqrv_ifu_mem_ctl_misc_ff_dff_dout[0]_55 ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_misc_ff_dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_dff_logic_1_1_net),
+	.Q(\brqrv_top_brqrv_ifu_mem_ctl_misc_ff_dff_dout[5]_60 ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_misc_ff_dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ic_act_miss_f_delayed),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_misc_ff_dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_ifc_dma_access_ok_d),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ifc_dma_access_ok_prev),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_misc_ff_dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_l1clk),
+	.D(brqrv_top_brqrv_exu_flush_final),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_flush_final_f),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_misc_ff_dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_bus_cmd_req_in),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_bus_cmd_req_hold),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_misc_ff_dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_l1clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_ic_crit_wd_rdy_new_in),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ic_crit_wd_rdy_new_ff),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 brqrv_top_brqrv_ifu_mem_ctl_misc_ff_dff_tie_1_cell (
+	.HI(brqrv_top_brqrv_ifu_mem_ctl_misc_ff_dff_logic_1_1_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_miss_state_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_miss_state_ff_n_8),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_miss_state[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_miss_state_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_miss_state_ff_n_9),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_miss_state[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_miss_state_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_miss_state_ff_n_10),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_miss_state[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_rgn_acc_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_fetch_bf_f_c1_clk),
+	.D(brqrv_top_brqrv_ifu_ifc_region_acc_fault_bf),
+	.Q(brqrv_top_brqrv_ifu_ic_access_fault_type_f[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_unc_miss_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_ifu_mem_ctl_fetch_bf_f_c1_clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_uncacheable_miss_in),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_uncacheable_miss_ff),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_wr_flop[0].byp_data_error_ff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error_in[0]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_wr_flop[0].byp_data_valid_ff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid_in[0]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_wr_flop[1].byp_data_error_ff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error_in[1]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_wr_flop[1].byp_data_valid_ff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid_in[1]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_wr_flop[2].byp_data_error_ff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error_in[2]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_wr_flop[2].byp_data_valid_ff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid_in[2]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_wr_flop[3].byp_data_error_ff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error_in[3]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_wr_flop[3].byp_data_valid_ff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid_in[3]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_wr_flop[4].byp_data_error_ff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error_in[4]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_wr_flop[4].byp_data_valid_ff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid_in[4]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_wr_flop[5].byp_data_error_ff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error_in[5]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_wr_flop[5].byp_data_valid_ff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid_in[5]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_wr_flop[6].byp_data_error_ff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error_in[6]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_wr_flop[6].byp_data_valid_ff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid_in[6]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_wr_flop[7].byp_data_error_ff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error_in[7]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_error[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_ifu_mem_ctl_wr_flop[7].byp_data_valid_ff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid_in[7]),
+	.Q(brqrv_top_brqrv_ifu_mem_ctl_ic_miss_buff_data_valid[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3215),
+	.GCLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[21]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[30]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[28]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[24]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[16]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[15]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[23]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[14]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[13]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[27]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[22]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[12]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[10]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[31]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[19]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[8]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[29]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[26]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[20]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[7]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[6]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[9]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[5]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[4]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[25]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[18]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[3]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[2]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[17]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[0]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[1]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[11]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_ageff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[0]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_ageff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[3]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_ageff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[1]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_ageff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[2]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_byteenff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_byteenff_n_10 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_byteenff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_byteenff_n_13 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_byteenff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_byteenff_n_11 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_byteenff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_byteenff_n_12 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3215),
+	.GCLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[25]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[13]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[8]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[14]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[2]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[3]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[4]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[28]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[5]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[6]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[7]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[9]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[10]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[11]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[12]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[19]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[0]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[23]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[16]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[17]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[18]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[20]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[21]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[22]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[15]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[24]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[26]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[27]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[29]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[30]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[31]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[1]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dualff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dualff_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dual[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dualhiff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dualhiff_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualhi[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dualtagff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dualtagff_n_6 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dualtagff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_dualtagff_n_7 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_errorff_g17__2398  (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3220),
+	.B_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_error[0]),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_errorff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_errorff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_errorff_din_new [0]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_error[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_ldfwdff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_ldfwdff_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ldfwd[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_nomergeff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_nomergeff_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_nomerge[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_samedwff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_samedwff_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_samedw[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_sideeffectff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_sideeffectff_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sideeffect[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_state_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_state_ff_n_8 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_state_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_state_ff_n_9 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_state_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_state_ff_n_10 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_szff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_szff_n_6 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_szff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_szff_n_7 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_unsignff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_unsignff_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_unsign[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_writeff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[0].buf_writeff_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3227),
+	.GCLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[53]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[53]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[62]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[62]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[60]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[60]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[56]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[56]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[48]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[48]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[47]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[47]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[55]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[55]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[46]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[46]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[45]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[45]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[59]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[59]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[54]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[54]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[44]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[44]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[42]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[42]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[63]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[63]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[51]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[51]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[40]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[40]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[61]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[61]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[58]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[58]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[52]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[52]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[39]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[39]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[38]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[38]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[41]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[41]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[37]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[37]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[36]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[36]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[57]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[57]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[50]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[50]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[35]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[35]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[34]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[34]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[49]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[49]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[32]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[32]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[33]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[33]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[43]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[43]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_ageff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[4]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_ageff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[7]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_ageff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[5]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_ageff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[6]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_byteenff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_byteenff_n_10 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_byteenff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_byteenff_n_13 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_byteenff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_byteenff_n_11 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_byteenff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_byteenff_n_12 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3227),
+	.GCLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[25]_3295 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[57]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[13]_3283 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[45]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[8]_3278 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[40]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[14]_3284 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[46]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[2]_3272 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[34]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[3]_3273 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[35]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[4]_3274 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[36]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[28]_3298 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[60]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[5]_3275 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[37]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[6]_3276 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[38]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[7]_3277 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[39]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[9]_3279 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[41]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[10]_3280 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[42]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[11]_3281 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[43]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[12]_3282 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[44]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[19]_3289 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[51]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[0]_3270 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[32]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[23]_3293 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[55]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[16]_3286 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[48]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[17]_3287 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[49]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[18]_3288 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[50]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[20]_3290 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[52]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[21]_3291 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[53]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[22]_3292 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[54]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[15]_3285 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[47]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[24]_3294 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[56]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[26]_3296 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[58]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[27]_3297 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[59]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[29]_3299 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[61]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[30]_3300 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[62]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[31]_3301 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[63]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[1]_3271 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[33]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dualff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dualff_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dual[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dualhiff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dualhiff_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualhi[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dualtagff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dualtagff_n_6 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dualtagff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_dualtagff_n_7 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_errorff_g17__5107  (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3304),
+	.B_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_error[1]),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_errorff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_errorff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_errorff_din_new [0]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_error[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_nomergeff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_nomergeff_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_nomerge[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_rspageff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspage_in[4]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspageQ[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_rspageff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspage_in[7]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspageQ[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_rspageff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspage_in[5]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rsp_pickage[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_rspageff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspage_in[6]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspageQ[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_samedwff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_samedwff_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_samedw[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_sideeffectff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_sideeffectff_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sideeffect[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_state_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_state_ff_n_8 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_state_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_state_ff_n_9 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_state_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_state_ff_n_10 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_szff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_szff_n_6 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_szff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_szff_n_7 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_unsignff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_unsignff_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_unsign[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_writeff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[1].buf_writeff_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3311),
+	.GCLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[85]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[85]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[94]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[94]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[92]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[92]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[88]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[88]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[80]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[80]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[79]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[79]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[87]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[87]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[78]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[78]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[77]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[77]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[91]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[91]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[86]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[86]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[76]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[76]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[74]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[74]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[95]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[95]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[83]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[83]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[72]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[72]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[93]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[93]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[90]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[90]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[84]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[84]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[71]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[71]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[70]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[70]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[73]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[73]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[69]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[69]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[68]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[68]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[89]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[89]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[82]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[82]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[67]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[67]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[66]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[66]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[81]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[81]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[64]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[64]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[65]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[65]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[75]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[75]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_ageff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[8]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_ageff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[11]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_ageff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[9]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_ageff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[10]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_byteenff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_byteenff_n_10 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_byteenff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_byteenff_n_13 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_byteenff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_byteenff_n_11 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_byteenff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_byteenff_n_12 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3311),
+	.GCLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[25]_3379 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[89]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[13]_3367 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[77]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[8]_3362 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[72]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[14]_3368 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[78]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[2]_3356 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[66]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[3]_3357 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[67]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[4]_3358 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[68]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[28]_3382 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[92]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[5]_3359 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[69]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[6]_3360 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[70]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[7]_3361 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[71]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[9]_3363 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[73]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[10]_3364 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[74]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[11]_3365 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[75]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[12]_3366 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[76]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[19]_3373 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[83]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[0]_3354 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[64]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[23]_3377 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[87]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[16]_3370 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[80]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[17]_3371 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[81]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[18]_3372 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[82]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[20]_3374 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[84]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[21]_3375 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[85]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[22]_3376 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[86]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[15]_3369 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[79]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[24]_3378 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[88]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[26]_3380 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[90]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[27]_3381 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[91]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[29]_3383 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[93]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[30]_3384 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[94]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[31]_3385 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[95]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dataff_l1clk ),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[1]_3355 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[65]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dualff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dualff_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dual[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dualhiff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dualhiff_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualhi[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dualtagff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dualtagff_n_6 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dualtagff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_dualtagff_n_7 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_errorff_g17__6260  (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3388),
+	.B_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_error[2]),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_errorff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_errorff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_errorff_din_new [0]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_error[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_nomergeff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_nomergeff_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_nomerge[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_rspageff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspage_in[8]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspageQ[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_rspageff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspage_in[11]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspageQ[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_rspageff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspage_in[9]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspageQ[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_rspageff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspage_in[10]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rsp_pickage[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_samedwff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_samedwff_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_samedw[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_sideeffectff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_sideeffectff_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sideeffect[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_state_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_state_ff_n_8 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_state_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_state_ff_n_9 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_state_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_state_ff_n_10 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_szff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_szff_n_6 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_szff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_szff_n_7 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_unsignff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_unsignff_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_unsign[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_writeff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[2].buf_writeff_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_en[3]),
+	.GCLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[117]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[117]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[126]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[126]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[124]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[124]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[120]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[120]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[112]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[112]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[111]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[111]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[119]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[119]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[110]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[110]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[109]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[109]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[123]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[123]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[118]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[118]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[108]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[108]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[106]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[106]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[127]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[127]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[115]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[115]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[104]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[104]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[125]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[125]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[122]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[122]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[116]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[116]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[103]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[103]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[102]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[102]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[105]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[105]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[101]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[101]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[100]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[100]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[121]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[121]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[114]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[114]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[99]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[99]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[98]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[98]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[113]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[113]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[96]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[96]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[97]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[97]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr_in[107]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_addr[107]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_ageff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[12]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_ageff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[15]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_ageff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[13]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_ageff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_age_in[14]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_ageQ[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_byteenff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_byteenff_n_10 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_byteenff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_byteenff_n_13 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_byteenff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_byteenff_n_11 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_byteenff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_byteenff_n_12 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_byteen[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_en[3]),
+	.GCLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[121]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[121]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[109]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[109]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[104]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[104]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[110]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[110]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[98]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[98]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[99]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[99]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[100]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[100]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[124]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[124]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[101]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[101]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[102]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[102]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[103]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[103]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[105]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[105]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[106]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[106]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[107]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[107]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[108]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[108]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[115]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[115]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[96]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[96]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[119]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[119]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[112]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[112]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[113]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[113]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[114]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[114]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[116]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[116]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[117]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[117]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[118]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[118]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[111]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[111]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[120]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[120]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[122]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[122]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[123]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[123]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[125]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[125]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[126]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[126]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[127]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[127]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data_in[97]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_data[97]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dualff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dualff_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dual[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dualhiff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dualhiff_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualhi[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dualtagff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dualtagff_n_6 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dualtagff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_dualtagff_n_7 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_dualtag[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_errorff_g17__4319  (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rst[3]),
+	.B_N(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_error[3]),
+	.Y(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_errorff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_errorff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_errorff_din_new [0]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_error[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_nomergeff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_nomergeff_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_nomerge[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_rspageff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspage_in[12]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspageQ[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_rspageff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspage_in[15]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rsp_pickage[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_rspageff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspage_in[13]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspageQ[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_rspageff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspage_in[14]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_rspageQ[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_samedwff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_samedwff_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_samedw[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_sideeffectff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_sideeffectff_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sideeffect[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_state_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_state_ff_n_8 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_state_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_state_ff_n_9 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_state_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_state_ff_n_10 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_state[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_szff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_szff_n_6 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_szff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_szff_n_7 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_sz[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_unsignff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_unsignff_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_unsign[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_writeff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_genblk10[3].buf_writeff_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_buf_write[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_wr_en),
+	.GCLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[17]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[13]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[14]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[15]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[24]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[16]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[0]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[18]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[19]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[20]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[21]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[22]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[23]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[25]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[30]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[31]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[12]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[1]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[2]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[3]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[4]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[7]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[9]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[10]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[11]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[5]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[6]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[28]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[27]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[26]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[8]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr_in[29]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_addr[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteenff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_ibuf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteenff_n_10),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteen[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteenff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_ibuf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteenff_n_13),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteen[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteenff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_ibuf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteenff_n_11),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteen[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteenff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_ibuf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteenff_n_12),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_byteen[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_wr_en),
+	.GCLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[12]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[1]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[2]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[3]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[4]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[27]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[5]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[6]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[7]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[23]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[8]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[9]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[10]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[19]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[11]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[0]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[31]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[14]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[15]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[16]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[17]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[18]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[20]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[21]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[22]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[24]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[25]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[26]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[28]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[29]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[30]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data_in[13]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_data[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_2 brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dualff_g30__8428 (
+	.A0(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dual),
+	.A1(brqrv_top_brqrv_lsu_ldst_dual_r),
+	.S(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_wr_en),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dualff_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dualff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_ibuf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dualff_n_2),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dual),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_2 brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dualtagff_g56__5526 (
+	.A0(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dualtag[1]),
+	.A1(brqrv_top_brqrv_lsu_nonblock_load_inv_tag_r[1]),
+	.S(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_wr_en),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dualtagff_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_2 brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dualtagff_g57__6783 (
+	.A0(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dualtag[0]),
+	.A1(brqrv_top_brqrv_lsu_nonblock_load_inv_tag_r[0]),
+	.S(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_wr_en),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dualtagff_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dualtagff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_ibuf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dualtagff_n_3),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dualtag[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dualtagff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_ibuf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dualtagff_n_2),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_dualtag[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_2 brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_nomergeff_g30__3680 (
+	.A0(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_nomerge),
+	.A1(brqrv_top_brqrv_lsu_bus_intf_no_dword_merge_r),
+	.S(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_wr_en),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_nomergeff_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_nomergeff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_ibuf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_nomergeff_n_2),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_nomerge),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_2 brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_samedwff_g30__1617 (
+	.A0(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_samedw),
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ldst_samedw_r),
+	.S(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_wr_en),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_samedwff_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_samedwff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_ibuf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_samedwff_n_2),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_samedw),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_2 brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_sideeffectff_g30__2802 (
+	.A0(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_sideeffect),
+	.A1(brqrv_top_brqrv_lsu_bus_intf_is_sideeffects_r),
+	.S(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_wr_en),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_sideeffectff_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_sideeffectff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_ibuf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_sideeffectff_n_2),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_sideeffect),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_2 brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_szff_g56__1705 (
+	.A0(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_sz[1]),
+	.A1(brqrv_top_brqrv_lsu_lsu_pkt_r[9]),
+	.S(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_wr_en),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_szff_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_2 brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_szff_g57__5122 (
+	.A0(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_sz[0]),
+	.A1(brqrv_top_brqrv_lsu_lsu_pkt_r[10]),
+	.S(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_wr_en),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_szff_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_szff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_ibuf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_szff_n_3),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_sz[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_szff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_ibuf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_szff_n_2),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_sz[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_tagff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_ibuf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_tagff_n_6),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_tag[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_tagff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_ibuf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_tagff_n_7),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_tag[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_timerff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_free_c2_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_timer_in[0]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_timer[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_timerff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_free_c2_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_timer_in[1]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_timer[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_timerff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_free_c2_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_timer_in[2]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_timer[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_2 brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_unsignff_g30__8246 (
+	.A0(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_unsign),
+	.A1(brqrv_top_brqrv_lsu_lsu_pkt_r[5]),
+	.S(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_wr_en),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_unsignff_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_unsignff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_ibuf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_unsignff_n_2),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_unsign),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_valid_ff_g35__7098 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_valid_ff_n_0),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_rst),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_valid_ff_din_new[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_valid_ff_g36__6131 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_valid),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_wr_en),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_valid_ff_n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_valid_ff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_free_c2_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_valid_ff_din_new[0]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_valid),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_2 brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_writeff_g30__1881 (
+	.A0(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_write),
+	.A1(brqrv_top_brqrv_lsu_lsu_pkt_r[6]),
+	.S(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_wr_en),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_writeff_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_writeff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_ibuf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_writeff_n_2),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_ibuf_write),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_lsu_WrPtr0_rff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c2_r_clk),
+	.D(brqrv_top_brqrv_lsu_nonblock_load_tag_m[0]),
+	.Q(brqrv_top_brqrv_lsu_nonblock_load_inv_tag_r[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_lsu_WrPtr0_rff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c2_r_clk),
+	.D(brqrv_top_brqrv_lsu_nonblock_load_tag_m[1]),
+	.Q(brqrv_top_brqrv_lsu_nonblock_load_inv_tag_r[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_lsu_WrPtr1_rff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c2_r_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_WrPtr1_m[0]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_WrPtr1_r[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_lsu_WrPtr1_rff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c2_r_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_WrPtr1_m[1]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_WrPtr1_r[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_lsu_busreq_rff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c2_r_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_n_3448),
+	.Q(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_lsu_nonblock_load_valid_rff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c2_r_clk),
+	.D(brqrv_top_brqrv_lsu_nonblock_load_valid_m),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_lsu_nonblock_load_valid_r),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.GCLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[31]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[30]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[28]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[24]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[16]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[15]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[23]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[14]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[10]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[27]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[22]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[9]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[8]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[21]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[7]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[6]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[29]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[26]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[20]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[5]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[4]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[19]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[3]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[25]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[18]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[17]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[11]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[12]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addrff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr_in[13]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_addr[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_g211__5115  (
+	.A1(FE_DBTN0_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen[1]),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen_in[1]),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.X(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_g212__7482  (
+	.A1(FE_DBTN0_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen[4]),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen_in[4]),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.X(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_g213__4733  (
+	.A1(FE_DBTN0_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen[7]),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen_in[7]),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.X(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_g214__6161  (
+	.A1(FE_DBTN0_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen[0]),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen_in[0]),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.X(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_g215__9315  (
+	.A1(FE_DBTN0_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen[5]),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen_in[5]),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.X(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_g216__9945  (
+	.A1(FE_DBTN0_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen[6]),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen_in[6]),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.X(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_g217__2883  (
+	.A1(FE_DBTN0_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen[3]),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen_in[3]),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.X(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_g218__2346  (
+	.A1(FE_DBTN0_brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.A2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen[2]),
+	.B1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen_in[2]),
+	.B2(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.X(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_obuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_n_9 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_genblock.dffs_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_obuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_n_3 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_obuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_n_10 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_obuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_n_8 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_genblock.dffs_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_obuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_n_5 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_genblock.dffs_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_obuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_n_6 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_obuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_n_7 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_genblock.dffs_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_obuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteenff_genblock.dffs_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_byteen[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_cmd_done_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_busm_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_cmd_done_in),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_cmd_done),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_done_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_busm_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_done_in),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_done),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.GCLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[26]),
+	.Q(lsu_axi_wdata[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[62]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[62]),
+	.Q(lsu_axi_wdata[62]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[60]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[60]),
+	.Q(lsu_axi_wdata[60]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[56]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[56]),
+	.Q(lsu_axi_wdata[56]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[48]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[48]),
+	.Q(lsu_axi_wdata[48]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[32]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[32]),
+	.Q(lsu_axi_wdata[32]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[0]),
+	.Q(lsu_axi_wdata[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[31]),
+	.Q(lsu_axi_wdata[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[47]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[47]),
+	.Q(lsu_axi_wdata[47]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[30]),
+	.Q(lsu_axi_wdata[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[29]),
+	.Q(lsu_axi_wdata[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[55]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[55]),
+	.Q(lsu_axi_wdata[55]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[46]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[46]),
+	.Q(lsu_axi_wdata[46]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[28]),
+	.Q(lsu_axi_wdata[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[27]),
+	.Q(lsu_axi_wdata[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[45]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[45]),
+	.Q(lsu_axi_wdata[45]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[63]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[63]),
+	.Q(lsu_axi_wdata[63]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[25]),
+	.Q(lsu_axi_wdata[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[59]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[59]),
+	.Q(lsu_axi_wdata[59]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[54]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[54]),
+	.Q(lsu_axi_wdata[54]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[44]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[44]),
+	.Q(lsu_axi_wdata[44]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[24]),
+	.Q(lsu_axi_wdata[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[23]),
+	.Q(lsu_axi_wdata[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[43]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[43]),
+	.Q(lsu_axi_wdata[43]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[22]),
+	.Q(lsu_axi_wdata[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[21]),
+	.Q(lsu_axi_wdata[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[53]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[53]),
+	.Q(lsu_axi_wdata[53]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[42]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[42]),
+	.Q(lsu_axi_wdata[42]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[20]),
+	.Q(lsu_axi_wdata[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[19]),
+	.Q(lsu_axi_wdata[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[41]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[41]),
+	.Q(lsu_axi_wdata[41]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[18]),
+	.Q(lsu_axi_wdata[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[9]),
+	.Q(lsu_axi_wdata[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[61]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[61]),
+	.Q(lsu_axi_wdata[61]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[58]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[58]),
+	.Q(lsu_axi_wdata[58]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[52]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[52]),
+	.Q(lsu_axi_wdata[52]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[40]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[40]),
+	.Q(lsu_axi_wdata[40]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[16]),
+	.Q(lsu_axi_wdata[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[15]),
+	.Q(lsu_axi_wdata[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[39]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[39]),
+	.Q(lsu_axi_wdata[39]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[14]),
+	.Q(lsu_axi_wdata[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[13]),
+	.Q(lsu_axi_wdata[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[51]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[51]),
+	.Q(lsu_axi_wdata[51]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[38]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[38]),
+	.Q(lsu_axi_wdata[38]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[12]),
+	.Q(lsu_axi_wdata[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[11]),
+	.Q(lsu_axi_wdata[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[37]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[37]),
+	.Q(lsu_axi_wdata[37]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[10]),
+	.Q(lsu_axi_wdata[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[17]),
+	.Q(lsu_axi_wdata[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[57]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[57]),
+	.Q(lsu_axi_wdata[57]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[50]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[50]),
+	.Q(lsu_axi_wdata[50]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[36]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[36]),
+	.Q(lsu_axi_wdata[36]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[8]),
+	.Q(lsu_axi_wdata[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[7]),
+	.Q(lsu_axi_wdata[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[35]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[35]),
+	.Q(lsu_axi_wdata[35]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[6]),
+	.Q(lsu_axi_wdata[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[5]),
+	.Q(lsu_axi_wdata[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[49]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[49]),
+	.Q(lsu_axi_wdata[49]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[34]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[34]),
+	.Q(lsu_axi_wdata[34]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[4]),
+	.Q(lsu_axi_wdata[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[3]),
+	.Q(lsu_axi_wdata[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[33]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[33]),
+	.Q(lsu_axi_wdata[33]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[2]),
+	.Q(lsu_axi_wdata[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_dataff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_data_in[1]),
+	.Q(lsu_axi_wdata[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_mergeff_g30__1666 (
+	.A0(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_merge),
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_merge_en),
+	.S(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.X(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_mergeff_genblock.dffs_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_mergeff_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_obuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_mergeff_genblock.dffs_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_merge),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_nosend_ff_g30__7410 (
+	.A0(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_nosend),
+	.A1(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_nosend_in),
+	.S(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.X(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_nosend_ff_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_nosend_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_free_c2_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_nosend_ff_n_2),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_nosend),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_rdrsp_pend_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_free_c2_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_rdrsp_pend_in),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_rdrsp_pend),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_sideeffectff_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_obuf_c1_clk),
+	.D(n_33493),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_sideeffect),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag0ff_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_obuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag0ff_genblock.dffs_n_8 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag0[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag0ff_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_obuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag0ff_genblock.dffs_n_9 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag0[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag0ff_genblock.dffs_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_obuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag0ff_genblock.dffs_n_10 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag0[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag1ff_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_obuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag1ff_genblock.dffs_n_8 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag1[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag1ff_genblock.dffs_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_obuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag1ff_genblock.dffs_n_9 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag1[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag1ff_genblock.dffs_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_obuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag1ff_genblock.dffs_n_10 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_tag1[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_timerff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_busm_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_timer_in[0]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_timer[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_timerff_genblk1.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_busm_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_timer_in[1]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_timer[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_timerff_genblk1.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_busm_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_timer_in[2]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_timer[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_valid_ff_g35__6417 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_valid_ff_n_0),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_rst),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_valid_ff_din_new[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_valid_ff_g36__5477 (
+	.A(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_valid),
+	.B(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.Y(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_valid_ff_n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_valid_ff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_free_c2_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_valid_ff_din_new[0]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_valid),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wren_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_busm_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_en),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_wr_enQ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_writeff_genblock.dffs_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_bus_obuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_writeff_genblock.dffs_n_4 ),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_bus_buffer_obuf_write),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_clken_ff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_clken_ff_logic_1_1_net),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_lsu_bus_clk_en_q),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 brqrv_top_brqrv_lsu_bus_intf_clken_ff_tie_1_cell (
+	.HI(brqrv_top_brqrv_lsu_bus_intf_clken_ff_logic_1_1_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_is_sideeffects_rff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_is_sideeffects_m),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_is_sideeffects_r),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_lsu_byten_rff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_pkt_m[9]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_r[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_lsu_byten_rff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_m[0]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_r[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_bus_intf_lsu_byten_rff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_m[1]),
+	.Q(brqrv_top_brqrv_lsu_bus_intf_ldst_byteen_r[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 brqrv_top_brqrv_lsu_clkdomain_g279__2398 (
+	.A(brqrv_top_brqrv_lsu_clkdomain_lsu_free_c1_clken_q),
+	.B(brqrv_top_brqrv_lsu_clkdomain_lsu_free_c1_clken),
+	.X(brqrv_top_brqrv_lsu_clkdomain_lsu_free_c2_clken), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 brqrv_top_brqrv_lsu_clkdomain_g280__5107 (
+	.A(brqrv_top_brqrv_lsu_lsu_pkt_m[0]),
+	.B(brqrv_top_brqrv_dec_tlu_lsu_clk_override),
+	.C(brqrv_top_brqrv_lsu_p[0]),
+	.D(brqrv_top_brqrv_lsu_clkdomain_n_1),
+	.X(brqrv_top_brqrv_lsu_clkdomain_lsu_free_c1_clken), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 brqrv_top_brqrv_lsu_clkdomain_g281__6260 (
+	.A1(brqrv_top_brqrv_lsu_clkdomain_lsu_c1_r_clken),
+	.A2(brqrv_top_brqrv_lsu_lsu_pkt_m[6]),
+	.B1(brqrv_top_brqrv_dec_tlu_lsu_clk_override),
+	.X(brqrv_top_brqrv_lsu_clkdomain_lsu_store_c1_r_clken), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 brqrv_top_brqrv_lsu_clkdomain_g282__4319 (
+	.A1(brqrv_top_brqrv_lsu_clkdomain_lsu_c1_m_clken),
+	.A2(brqrv_top_brqrv_lsu_lsu_pkt_d[6]),
+	.B1(brqrv_top_brqrv_dec_tlu_lsu_clk_override),
+	.X(brqrv_top_brqrv_lsu_clkdomain_lsu_store_c1_m_clken), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 brqrv_top_brqrv_lsu_clkdomain_g283__8428 (
+	.A(brqrv_top_brqrv_lsu_clkdomain_lsu_c1_r_clken_q),
+	.B(brqrv_top_brqrv_lsu_clkdomain_lsu_c1_r_clken),
+	.X(brqrv_top_brqrv_lsu_clkdomain_lsu_c2_r_clken), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 brqrv_top_brqrv_lsu_clkdomain_g284__5526 (
+	.A(brqrv_top_brqrv_lsu_clkdomain_lsu_c1_m_clken_q),
+	.B(brqrv_top_brqrv_lsu_clkdomain_lsu_c1_m_clken),
+	.X(brqrv_top_brqrv_lsu_clkdomain_lsu_c2_m_clken), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 brqrv_top_brqrv_lsu_clkdomain_g285__6783 (
+	.A(brqrv_top_brqrv_dec_tlu_force_halt),
+	.B(brqrv_top_brqrv_lsu_clkdomain_n_30),
+	.X(brqrv_top_brqrv_lsu_clkdomain_lsu_bus_buf_c1_clken), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4bb_1 brqrv_top_brqrv_lsu_clkdomain_g286__3680 (
+	.A_N(brqrv_top_brqrv_lsu_lsu_pkt_d[0]),
+	.B_N(brqrv_top_brqrv_lsu_lsu_pkt_r[0]),
+	.C(brqrv_top_brqrv_lsu_lsu_stbuf_empty_any),
+	.D(brqrv_top_brqrv_lsu_lsu_bus_buffer_empty_any),
+	.Y(brqrv_top_brqrv_lsu_clkdomain_n_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 brqrv_top_brqrv_lsu_clkdomain_g287__1617 (
+	.A(brqrv_top_brqrv_dec_tlu_lsu_clk_override),
+	.B(brqrv_top_brqrv_lsu_stbuf_reqvld_flushed_any),
+	.C(brqrv_top_brqrv_lsu_stbuf_reqvld_any),
+	.D(brqrv_top_brqrv_lsu_ldst_stbuf_reqvld_r),
+	.X(brqrv_top_brqrv_lsu_clkdomain_lsu_stbuf_c1_clken), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 brqrv_top_brqrv_lsu_clkdomain_g288__2802 (
+	.A(brqrv_top_brqrv_lsu_clkdomain_lsu_bus_ibuf_c1_clken),
+	.B(brqrv_top_brqrv_lsu_lsu_bus_buffer_pend_any),
+	.X(brqrv_top_brqrv_lsu_clkdomain_n_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_brqrv_lsu_clkdomain_g289__1705 (
+	.A(brqrv_top_brqrv_lsu_lsu_bus_buffer_empty_any),
+	.B(brqrv_top_brqrv_lsu_clkdomain_n_0),
+	.Y(brqrv_top_brqrv_lsu_clkdomain_n_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 brqrv_top_brqrv_lsu_clkdomain_g290__5122 (
+	.A(brqrv_top_brqrv_lsu_clkdomain_lsu_c1_m_clken_q),
+	.B(brqrv_top_brqrv_lsu_lsu_pkt_m[0]),
+	.C(brqrv_top_brqrv_dec_tlu_lsu_clk_override),
+	.X(brqrv_top_brqrv_lsu_clkdomain_lsu_c1_r_clken), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 brqrv_top_brqrv_lsu_clkdomain_g291__8246 (
+	.A(brqrv_top_brqrv_dec_tlu_lsu_clk_override),
+	.B(brqrv_top_brqrv_dma_dccm_req),
+	.C(brqrv_top_brqrv_lsu_p[0]),
+	.X(brqrv_top_brqrv_lsu_clkdomain_lsu_c1_m_clken), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_brqrv_lsu_clkdomain_g292 (
+	.A(brqrv_top_brqrv_lsu_clkdomain_n_0),
+	.Y(brqrv_top_brqrv_lsu_clkdomain_lsu_bus_ibuf_c1_clken), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_lsu_clkdomain_g293__7098 (
+	.A(brqrv_top_brqrv_lsu_lsu_busreq_r),
+	.B(brqrv_top_brqrv_dec_tlu_lsu_clk_override),
+	.Y(brqrv_top_brqrv_lsu_clkdomain_n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_lsu_clkdomain_lsu_bus_buf_c1_cgc_clkhdr (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_clkdomain_lsu_bus_buf_c1_clken),
+	.GCLK(brqrv_top_brqrv_lsu_lsu_bus_buf_c1_clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_lsu_clkdomain_lsu_bus_ibuf_c1_cgc_clkhdr (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_clkdomain_lsu_bus_ibuf_c1_clken),
+	.GCLK(brqrv_top_brqrv_lsu_lsu_bus_ibuf_c1_clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_lsu_clkdomain_lsu_bus_obuf_c1_cgc_clkhdr (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_clkdomain_n_31),
+	.GCLK(brqrv_top_brqrv_lsu_lsu_bus_obuf_c1_clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_lsu_clkdomain_lsu_busm_cgc_clkhdr (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_clkdomain_n_30),
+	.GCLK(brqrv_top_brqrv_lsu_lsu_busm_clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_clkdomain_lsu_c1_m_clkenff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_free_c2_clk),
+	.D(brqrv_top_brqrv_lsu_clkdomain_lsu_c1_m_clken),
+	.Q(brqrv_top_brqrv_lsu_clkdomain_lsu_c1_m_clken_q),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_clkdomain_lsu_c1_r_clkenff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_free_c2_clk),
+	.D(brqrv_top_brqrv_lsu_clkdomain_lsu_c1_r_clken),
+	.Q(brqrv_top_brqrv_lsu_clkdomain_lsu_c1_r_clken_q),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_lsu_clkdomain_lsu_c1m_cgc_clkhdr (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_clkdomain_lsu_c1_m_clken),
+	.GCLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_lsu_clkdomain_lsu_c1r_cgc_clkhdr (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_clkdomain_lsu_c1_r_clken),
+	.GCLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_lsu_clkdomain_lsu_c2m_cgc_clkhdr (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_clkdomain_lsu_c2_m_clken),
+	.GCLK(brqrv_top_brqrv_lsu_lsu_c2_m_clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_lsu_clkdomain_lsu_c2r_cgc_clkhdr (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_clkdomain_lsu_c2_r_clken),
+	.GCLK(brqrv_top_brqrv_lsu_lsu_c2_r_clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_clkdomain_lsu_free_c1_clkenff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_active_clk),
+	.D(brqrv_top_brqrv_lsu_clkdomain_lsu_free_c1_clken),
+	.Q(brqrv_top_brqrv_lsu_clkdomain_lsu_free_c1_clken_q),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_lsu_clkdomain_lsu_free_cgc_clkhdr (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_clkdomain_lsu_free_c2_clken),
+	.GCLK(brqrv_top_brqrv_lsu_lsu_free_c2_clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_lsu_clkdomain_lsu_stbuf_c1_cgc_clkhdr (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_clkdomain_lsu_stbuf_c1_clken),
+	.GCLK(brqrv_top_brqrv_lsu_lsu_stbuf_c1_clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_lsu_clkdomain_lsu_store_c1m_cgc_clkhdr (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_clkdomain_lsu_store_c1_m_clken),
+	.GCLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_lsu_clkdomain_lsu_store_c1r_cgc_clkhdr (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_clkdomain_lsu_store_c1_r_clken),
+	.GCLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_dccm_ctl_n_1114),
+	.GCLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[12]),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[1]),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[2]),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[3]),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[4]),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[27]),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[5]),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[6]),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[7]),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[23]),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[8]),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[9]),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[10]),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[19]),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[11]),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[0]),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[31]),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[14]),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[15]),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[16]),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[17]),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[18]),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[20]),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[21]),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[22]),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[24]),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[25]),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[26]),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(n_38485),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[29]),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[30]),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_hi_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_hi_r_in[13]),
+	.Q(brqrv_top_brqrv_lsu_store_data_hi_r[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[12]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[1]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[2]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[3]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[4]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[27]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[5]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[6]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[7]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[23]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[8]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[9]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[10]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[19]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[11]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[0]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[31]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[14]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[15]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[16]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[17]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[18]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[20]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[21]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[22]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[24]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[25]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[26]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[28]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[29]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[30]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U1_Plus1_0.store_data_lo_rff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_dccm_ctl_store_data_lo_r_in[13]),
+	.Q(brqrv_top_brqrv_lsu_store_data_lo_r[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_dccm_ctl_n_1110),
+	.GCLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[31]),
+	.Q(brqrv_top_brqrv_lsu_fir_addr[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[25]),
+	.Q(brqrv_top_brqrv_lsu_fir_addr[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[4]),
+	.Q(brqrv_top_brqrv_lsu_fir_addr[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[24]),
+	.Q(brqrv_top_brqrv_lsu_fir_addr[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[8]),
+	.Q(brqrv_top_brqrv_lsu_fir_addr[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[12]),
+	.Q(brqrv_top_brqrv_lsu_fir_addr[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[10]),
+	.Q(brqrv_top_brqrv_lsu_fir_addr[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[9]),
+	.Q(brqrv_top_brqrv_lsu_fir_addr[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[22]),
+	.Q(brqrv_top_brqrv_lsu_fir_addr[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[23]),
+	.Q(brqrv_top_brqrv_lsu_fir_addr[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[29]),
+	.Q(brqrv_top_brqrv_lsu_fir_addr[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[21]),
+	.Q(brqrv_top_brqrv_lsu_fir_addr[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[26]),
+	.Q(brqrv_top_brqrv_lsu_fir_addr[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[27]),
+	.Q(brqrv_top_brqrv_lsu_fir_addr[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[28]),
+	.Q(brqrv_top_brqrv_lsu_fir_addr[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[0]),
+	.Q(brqrv_top_brqrv_lsu_lsu_ld_data_corr_r[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[30]),
+	.Q(brqrv_top_brqrv_lsu_fir_addr[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[20]),
+	.Q(brqrv_top_brqrv_lsu_fir_addr[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[1]),
+	.Q(brqrv_top_brqrv_lsu_fir_addr[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[2]),
+	.Q(brqrv_top_brqrv_lsu_fir_addr[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[3]),
+	.Q(brqrv_top_brqrv_lsu_fir_addr[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[13]),
+	.Q(brqrv_top_brqrv_lsu_fir_addr[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[5]),
+	.Q(brqrv_top_brqrv_lsu_fir_addr[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[6]),
+	.Q(brqrv_top_brqrv_lsu_fir_addr[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[7]),
+	.Q(brqrv_top_brqrv_lsu_fir_addr[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[11]),
+	.Q(brqrv_top_brqrv_lsu_fir_addr[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[16]),
+	.Q(brqrv_top_brqrv_lsu_fir_addr[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[14]),
+	.Q(brqrv_top_brqrv_lsu_fir_addr[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[15]),
+	.Q(brqrv_top_brqrv_lsu_fir_addr[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[17]),
+	.Q(brqrv_top_brqrv_lsu_fir_addr[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[18]),
+	.Q(brqrv_top_brqrv_lsu_fir_addr[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_lsu_dccm_ctl_L2U_Plus1_0.lsu_ld_data_corr_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_ld_data_m[19]),
+	.Q(brqrv_top_brqrv_lsu_fir_addr[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dma_mem_tag_mff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_dma_mem_tag[2]),
+	.Q(brqrv_top_brqrv_dccm_dma_rtag[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dma_mem_tag_mff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_dma_mem_tag[1]),
+	.Q(brqrv_top_brqrv_dccm_dma_rtag[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_dma_mem_tag_mff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_dma_mem_tag[0]),
+	.Q(brqrv_top_brqrv_dccm_dma_rtag[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_lsu_lsc_ctl_n_425),
+	.GCLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[3]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[36]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(n_33492),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[38]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[34]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_exc_mscause_m[2]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[36]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[30]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[32]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[22]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[6]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[5]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[21]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[4]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[37]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_pkt_m[6]),
+	.Q(brqrv_top_brqrv_dec_tlu_lsu_exc_st_r),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[29]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[20]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[2]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[1]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[19]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[0]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[33]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_exc_mscause_m[1]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[35]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[28]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[25]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[17]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[27]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[16]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[15]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[32]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_exc_mscause_m[0]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[34]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[26]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[14]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[13]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[18]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[12]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[11]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[31]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[33]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[24]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[10]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[9]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[23]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[8]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_error_pkt_rff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[7]),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_exc_valid_rff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c2_r_clk),
+	.D(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_error_pkt_m[0] ),
+	.Q(brqrv_top_brqrv_lsu_error_pkt_r[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_fir_error_rff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c2_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_fir_nondccm_access_error_m),
+	.Q(brqrv_top_brqrv_lsu_fir_error[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_fir_error_rff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c2_r_clk),
+	.D(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_L2U_Plus1_0.lsu_fir_error_m [1]),
+	.Q(brqrv_top_brqrv_lsu_fir_error[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_access_fault_mff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_access_fault_d),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_access_fault_m),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addr_external_mff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addr_external_d),
+	.Q(brqrv_top_brqrv_lsu_addr_external_m),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addr_external_rff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_addr_external_m),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addr_external_r),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addr_in_dccm_mff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_addr_in_dccm_d),
+	.Q(brqrv_top_brqrv_lsu_addr_in_dccm_m),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addr_in_dccm_rff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_addr_in_dccm_m),
+	.Q(brqrv_top_brqrv_lsu_addr_in_dccm_r),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addr_in_pic_mff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_addr_in_pic_d),
+	.Q(brqrv_top_brqrv_lsu_addr_in_pic_m),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addr_in_pic_rff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_addr_in_pic_m),
+	.Q(brqrv_top_brqrv_lsu_addr_in_pic_r),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_g341__6131  (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_in_dccm_region_d),
+	.B(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_6 ),
+	.C(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_2 ),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_in_dccm_d), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_g342__1881  (
+	.A(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_5 ),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[26]),
+	.C(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[27]),
+	.Y(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_g343__5115  (
+	.A(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_3 ),
+	.B(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_1 ),
+	.C(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_0 ),
+	.Y(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_g344__7482  (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[19]),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[22]),
+	.C(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[23]),
+	.D_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[18]),
+	.Y(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_g345__4733  (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[31]),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[30]),
+	.C(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[29]),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[28]),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_in_dccm_region_d), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_g346__6161  (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[20]),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[21]),
+	.C(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[24]),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[25]),
+	.Y(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_g347__9315  (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[14]),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[15]),
+	.C(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[16]),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[17]),
+	.Y(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_1 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_g348__9945  (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[12]),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[13]),
+	.Y(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.end_addr_dccm_rangecheck_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_g341__2883  (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_in_dccm_region_d),
+	.B(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_6 ),
+	.C(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_2 ),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_in_dccm_d), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_g342__2346  (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[26]),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[27]),
+	.C(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_5 ),
+	.Y(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_g343__1666  (
+	.A(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_3 ),
+	.B(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_1 ),
+	.C(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_0 ),
+	.Y(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_g344__7410  (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[19]),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[23]),
+	.C(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[22]),
+	.D_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[18]),
+	.Y(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_g345__6417  (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[31]),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[30]),
+	.C(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[29]),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[28]),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_in_dccm_region_d), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_g346__5477  (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[24]),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[20]),
+	.C(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[21]),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[25]),
+	.Y(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_g347__2398  (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[16]),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[15]),
+	.C(brqrv_top_brqrv_picm_rdaddr[14]),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[17]),
+	.Y(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_1 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_g348__5107  (
+	.A(brqrv_top_brqrv_picm_rdaddr[12]),
+	.B(brqrv_top_brqrv_picm_rdaddr[13]),
+	.Y(\brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_Gen_dccm_enable.start_addr_dccm_rangecheck_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_g365__6260 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_n_2),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_n_3),
+	.C(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_n_5),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_in_pic_d), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_g366__4319 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_n_4),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[30]),
+	.C(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[19]),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_n_1),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_g367__8428 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[15]),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[26]),
+	.C_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[31]),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_g368__5526 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[29]),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[28]),
+	.C(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_n_0),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_g369__6783 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[20]),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[21]),
+	.C(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[22]),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[23]),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_g370__3680 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[16]),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[17]),
+	.C(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[25]),
+	.D_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[18]),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_n_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_g371__1617 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[24]),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[27]),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_end_addr_pic_rangecheck_n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_is_sideeffects_mff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c2_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_is_sideeffects_d),
+	.Q(brqrv_top_brqrv_lsu_is_sideeffects_m),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_1 brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_pic_rangecheck_g282__2802 (
+	.A_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[17]),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_pic_rangecheck_n_5),
+	.C(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_pic_rangecheck_n_1),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[18]),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_in_pic_d), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_pic_rangecheck_g283__1705 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[16]),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[23]),
+	.C(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_pic_rangecheck_n_4),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_pic_rangecheck_n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_pic_rangecheck_g284__5122 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_in_pic_region_d),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_pic_rangecheck_n_3),
+	.C(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_pic_rangecheck_n_0),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_pic_rangecheck_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_pic_rangecheck_g285__8246 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[24]),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[26]),
+	.C(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[27]),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_pic_rangecheck_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4b_1 brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_pic_rangecheck_g286__7098 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[21]),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[22]),
+	.C(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[20]),
+	.D_N(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[19]),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_pic_rangecheck_n_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4_1 brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_pic_rangecheck_g287__6131 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[31]),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[30]),
+	.C(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[29]),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[28]),
+	.X(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_in_pic_region_d), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_pic_rangecheck_g288__1881 (
+	.A(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[15]),
+	.B(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[25]),
+	.Y(brqrv_top_brqrv_lsu_lsu_lsc_ctl_addrcheck_start_addr_pic_rangecheck_n_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_lsu_lsc_ctl_n_468),
+	.GCLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[1]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[3]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[25]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[26]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[24]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[31]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[4]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[6]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[20]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[8]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[16]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[10]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[12]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[13]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[14]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[9]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[17]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[19]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[21]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[22]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[23]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[27]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[18]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[0]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[28]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[29]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[30]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[15]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[2]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[5]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[7]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r_ff_l1clk),
+	.D(brqrv_top_brqrv_lsu_bus_read_data_m[11]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_bus_read_data_r[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_lsu_lsc_ctl_n_430),
+	.GCLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_l1clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[15]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_l1clk),
+	.D(brqrv_top_dccm_rd_addr_hi[5]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_l1clk),
+	.D(brqrv_top_dccm_rd_addr_hi[6]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_l1clk),
+	.D(brqrv_top_dccm_rd_addr_hi[7]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_l1clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[28]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_l1clk),
+	.D(brqrv_top_dccm_rd_addr_hi[8]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_l1clk),
+	.D(brqrv_top_dccm_rd_addr_hi[9]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_l1clk),
+	.D(brqrv_top_dccm_rd_addr_hi[10]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_l1clk),
+	.D(brqrv_top_dccm_rd_addr_hi[11]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_l1clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[12]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_l1clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[13]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_l1clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[14]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_l1clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[20]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_l1clk),
+	.D(brqrv_top_dccm_rd_addr_hi[3]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_l1clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[24]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_l1clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[17]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_l1clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[18]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_l1clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[19]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_l1clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[21]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_l1clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[22]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_l1clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[23]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_l1clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[16]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_l1clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[25]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_l1clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[26]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_l1clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[27]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_l1clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[29]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_l1clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[30]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_l1clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_end_addr_d[31]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_mff_l1clk),
+	.D(brqrv_top_dccm_rd_addr_hi[4]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_m[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_lsu_lsc_ctl_n_460),
+	.GCLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_l1clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_genblock.dff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_l1clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[15]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_genblock.dff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_l1clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[5]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_genblock.dff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_l1clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[6]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_genblock.dff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_l1clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[7]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_genblock.dff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_l1clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[28]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_genblock.dff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_l1clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[8]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_genblock.dff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_l1clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[9]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_genblock.dff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_l1clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[10]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_genblock.dff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_l1clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[11]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_genblock.dff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_l1clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[12]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_genblock.dff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_l1clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[13]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_genblock.dff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_l1clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[14]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_genblock.dff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_l1clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[20]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_genblock.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_l1clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[3]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_genblock.dff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_l1clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[24]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_genblock.dff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_l1clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[17]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_genblock.dff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_l1clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[18]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_genblock.dff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_l1clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[19]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_genblock.dff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_l1clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[21]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_genblock.dff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_l1clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[22]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_genblock.dff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_l1clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[23]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_genblock.dff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_l1clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[16]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_genblock.dff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_l1clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[25]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_genblock.dff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_l1clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[26]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_genblock.dff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_l1clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[27]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_genblock.dff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_l1clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[29]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_genblock.dff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_l1clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[30]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_genblock.dff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_l1clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[31]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_genblock.dff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_hi_rff_l1clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[4]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_pre_r[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_lo_mff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_d[1]),
+	.Q(brqrv_top_brqrv_lsu_end_addr_m[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_lo_mff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(n_39369),
+	.Q(brqrv_top_brqrv_lsu_end_addr_m[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_lo_mff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_dccm_rd_addr_hi[2]),
+	.Q(brqrv_top_brqrv_lsu_end_addr_m[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_lo_rff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[0]),
+	.Q(brqrv_top_brqrv_lsu_end_addr_r[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_lo_rff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[1]),
+	.Q(brqrv_top_brqrv_lsu_end_addr_r[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_end_addr_lo_rff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_end_addr_m[2]),
+	.Q(brqrv_top_brqrv_lsu_end_addr_r[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_exc_mscause_mff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_exc_mscause_d[0]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_exc_mscause_m[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_exc_mscause_mff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_exc_mscause_d[1]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_exc_mscause_m[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_exc_mscause_mff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_exc_mscause_d[2]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_exc_mscause_m[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_fir_dccm_access_error_mff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_fir_dccm_access_error_d),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_fir_dccm_access_error_m),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_fir_nondccm_access_error_mff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_fir_nondccm_access_error_d),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_fir_nondccm_access_error_m),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_mff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(n_39231),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_r_in[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_mff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_dec_extint_stall),
+	.Q(brqrv_top_brqrv_lsu_lsu_pkt_m[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_mff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[4]),
+	.Q(brqrv_top_brqrv_lsu_lsu_pkt_m[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_mff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[5]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_r_in[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_mff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[10]),
+	.Q(brqrv_top_brqrv_lsu_lsu_pkt_m[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_mff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_pkt_d[9]),
+	.Q(brqrv_top_brqrv_lsu_lsu_pkt_m[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_mff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_pkt_d[7]),
+	.Q(brqrv_top_brqrv_lsu_lsu_pkt_m[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_mff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_pkt_d[6]),
+	.Q(brqrv_top_brqrv_lsu_lsu_pkt_m[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_mff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[11]),
+	.Q(brqrv_top_brqrv_lsu_lsu_pkt_m[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_rff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_r_in[8]),
+	.Q(brqrv_top_brqrv_lsu_lsu_pkt_r[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_rff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_pkt_m[7]),
+	.Q(brqrv_top_brqrv_lsu_lsu_pkt_r[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_rff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_pkt_m[4]),
+	.Q(brqrv_top_brqrv_lsu_lsu_pkt_r[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_rff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_pkt_m[6]),
+	.Q(brqrv_top_brqrv_lsu_lsu_pkt_r[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_rff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_r_in[5]),
+	.Q(brqrv_top_brqrv_lsu_lsu_pkt_r[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_rff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_pkt_m[11]),
+	.Q(brqrv_top_brqrv_lsu_lsu_pkt_r[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_rff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_pkt_m[9]),
+	.Q(brqrv_top_brqrv_lsu_lsu_pkt_r[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_rff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_pkt_m[10]),
+	.Q(brqrv_top_brqrv_lsu_lsu_pkt_r[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_vldmff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c2_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_m_in[0]),
+	.Q(brqrv_top_brqrv_lsu_lsu_pkt_m[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_vldrff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c2_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_lsu_pkt_r_in[0]),
+	.Q(brqrv_top_brqrv_lsu_lsu_pkt_r[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_misaligned_fault_mff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_misaligned_fault_d),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_misaligned_fault_m),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_picm_rdaddr[12]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_picm_rdaddr[1]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_dccm_rd_addr_lo[2]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_dccm_rd_addr_lo[3]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_dccm_rd_addr_lo[4]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[27]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_dccm_rd_addr_lo[5]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_dccm_rd_addr_lo[6]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_dccm_rd_addr_lo[7]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[23]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_dccm_rd_addr_lo[8]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_dccm_rd_addr_lo[9]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_dccm_rd_addr_lo[10]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[19]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_dccm_rd_addr_lo[11]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_picm_rdaddr[0]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[31]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_picm_rdaddr[14]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[15]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[16]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[17]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[18]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[20]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[21]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[22]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[24]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[25]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[26]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[28]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[29]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_full_addr_d[30]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_samff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_m_clk),
+	.D(brqrv_top_brqrv_picm_rdaddr[13]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_m[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[10]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[7]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[0]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[1]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[18]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[31]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[6]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[8]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[9]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[11]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[12]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[13]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[14]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[23]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[15]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[16]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[17]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[2]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[5]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[20]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[21]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[22]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[24]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[25]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[26]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[27]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[28]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[29]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[30]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[3]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[4]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sarff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_c1_r_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_addr_m[19]),
+	.Q(brqrv_top_brqrv_lsu_lsu_addr_r[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[31]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[30]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[27]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[22]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[14]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[13]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[21]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[12]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[11]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[25]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[20]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[10]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[9]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[15]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[8]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[7]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[29]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[24]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[18]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[6]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[5]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[17]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[3]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[0]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[23]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[16]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[19]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[1]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[4]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[26]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[28]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_lsu_lsc_ctl_sdmff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_store_c1_m_clk),
+	.D(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_m_in[2]),
+	.Q(brqrv_top_brqrv_lsu_lsu_lsc_ctl_store_data_pre_m[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_addrff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_stbuf_stbuf_wr_en[0]),
+	.GCLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_addrff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_addrff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[11]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_n_616),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_addrff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[10]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_n_612),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_addrff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[2]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_n_580),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_addrff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[9]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_n_608),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_addrff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[3]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_n_584),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_addrff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[6]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_n_596),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_addrff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[5]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_n_592),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_addrff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[4]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_n_588),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_addrff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[7]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_n_600),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_addrff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[8]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_n_604),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_byteenff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_stbuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_byteenff_din_new [0]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_byteenff_genblk1.dffsc_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_stbuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_byteenff_din_new [3]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_byteenff_genblk1.dffsc_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_stbuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_byteenff_din_new [1]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_byteenff_genblk1.dffsc_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_stbuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_byteenff_din_new [2]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_stbuf_stbuf_wr_en[0]),
+	.GCLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[12]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[1]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[2]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[3]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[4]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[27]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[5]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[6]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[7]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[23]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[8]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[9]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[10]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[19]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[11]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[0]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[31]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[14]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[15]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[16]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[17]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[18]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[20]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[21]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[22]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[24]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[25]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[26]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[28]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[29]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[30]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[13]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_killff_g35__5115  (
+	.A(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_killff_n_0 ),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_reset[0]),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_killff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_killff_g36__7482  (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill[0]),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill_en[0]),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_killff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_killff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_free_c2_clk),
+	.D(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_killff_din_new [0]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_vldff_g35__4733  (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_reset[0]),
+	.B(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_vldff_n_0 ),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_vldff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_vldff_g36__6161  (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_vld[0]),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_wr_en[0]),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_vldff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_vldff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_free_c2_clk),
+	.D(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[0].stbuf_vldff_din_new [0]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_vld[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_addrff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_stbuf_stbuf_wr_en[1]),
+	.GCLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_addrff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_addrff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[23]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_addrff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[22]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_addrff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[14]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_addrff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[21]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_addrff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[15]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_addrff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[18]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_addrff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[17]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_addrff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[16]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_addrff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[19]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_addrff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[20]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_byteenff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_stbuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_byteenff_din_new [0]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_byteenff_genblk1.dffsc_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_stbuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_byteenff_din_new [3]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_byteenff_genblk1.dffsc_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_stbuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_byteenff_din_new [1]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_byteenff_genblk1.dffsc_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_stbuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_byteenff_din_new [2]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_stbuf_stbuf_wr_en[1]),
+	.GCLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[44]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[44]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[33]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[33]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[34]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[34]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[35]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[35]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[36]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[36]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[59]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[59]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[37]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[37]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[38]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[38]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[39]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[39]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[55]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[55]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[40]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[40]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[41]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[41]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[42]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[42]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[51]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[51]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[43]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[43]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[32]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[32]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[63]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[63]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[46]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[46]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[47]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[47]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[48]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[48]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[49]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[49]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[50]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[50]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[52]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[52]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[53]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[53]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[54]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[54]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[56]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[56]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[57]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[57]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[58]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[58]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[60]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[60]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[61]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[61]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[62]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[62]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[45]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[45]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_killff_g35__9315  (
+	.A(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_killff_n_0 ),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_reset[1]),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_killff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_killff_g36__9945  (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill[1]),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill_en[1]),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_killff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_killff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_free_c2_clk),
+	.D(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_killff_din_new [0]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_vldff_g35__2883  (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_reset[1]),
+	.B(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_vldff_n_0 ),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_vldff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_vldff_g36__2346  (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_vld[1]),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_wr_en[1]),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_vldff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_vldff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_free_c2_clk),
+	.D(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[1].stbuf_vldff_din_new [0]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_vld[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_addrff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_stbuf_stbuf_wr_en[2]),
+	.GCLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_addrff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_addrff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[35]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[35]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_addrff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[34]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[34]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_addrff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[26]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_addrff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[33]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[33]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_addrff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[27]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_addrff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[30]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_addrff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[29]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_addrff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[28]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_addrff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[31]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_addrff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[32]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[32]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_byteenff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_stbuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_byteenff_din_new [0]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_byteenff_genblk1.dffsc_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_stbuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_byteenff_din_new [3]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_byteenff_genblk1.dffsc_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_stbuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_byteenff_din_new [1]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_byteenff_genblk1.dffsc_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_stbuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_byteenff_din_new [2]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_stbuf_stbuf_wr_en[2]),
+	.GCLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[76]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[76]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[65]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[65]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[66]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[66]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[67]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[67]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[68]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[68]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[91]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[91]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[69]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[69]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[70]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[70]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[71]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[71]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[87]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[87]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[72]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[72]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[73]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[73]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[74]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[74]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[83]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[83]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[75]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[75]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[64]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[64]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[95]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[95]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[78]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[78]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[79]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[79]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[80]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[80]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[81]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[81]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[82]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[82]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[84]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[84]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[85]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[85]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[86]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[86]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[88]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[88]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[89]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[89]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[90]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[90]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[92]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[92]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[93]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[93]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[94]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[94]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[77]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[77]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_killff_g35__1666  (
+	.A(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_killff_n_0 ),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_reset[2]),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_killff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_killff_g36__7410  (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill[2]),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill_en[2]),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_killff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_killff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_free_c2_clk),
+	.D(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_killff_din_new [0]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_vldff_g35__6417  (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_reset[2]),
+	.B(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_vldff_n_0 ),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_vldff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_vldff_g36__5477  (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_vld[2]),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_wr_en[2]),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_vldff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_vldff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_free_c2_clk),
+	.D(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[2].stbuf_vldff_din_new [0]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_vld[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_addrff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_stbuf_stbuf_wr_en[3]),
+	.GCLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_addrff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_addrff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[47]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[47]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_addrff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[46]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[46]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_addrff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[38]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[38]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_addrff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[45]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[45]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_addrff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[39]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[39]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_addrff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[42]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[42]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_addrff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[41]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[41]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_addrff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[40]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[40]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_addrff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[43]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[43]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_addrff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_addrff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_addrin[44]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_addr[44]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_byteenff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_stbuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_byteenff_din_new [0]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_byteenff_genblk1.dffsc_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_stbuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_byteenff_din_new [3]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_byteenff_genblk1.dffsc_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_stbuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_byteenff_din_new [1]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_byteenff_genblk1.dffsc_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_stbuf_c1_clk),
+	.D(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_byteenff_din_new [2]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_byteen[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.clkhdr_clkhdr  (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_brqrv_lsu_stbuf_stbuf_wr_en[3]),
+	.GCLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[12]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[108]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[108]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[1]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[97]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[97]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[2]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[98]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[98]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[3]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[99]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[99]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[4]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[100]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[100]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[27]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[123]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[123]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[5]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[101]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[101]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[6]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[102]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[102]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[7]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[103]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[103]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[23]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[119]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[119]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[8]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[104]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[104]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[9]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[105]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[105]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[10]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[106]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[106]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[19]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[115]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[115]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[11]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[107]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[107]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[0]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[96]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[96]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[31]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[127]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[127]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[14]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[110]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[110]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[15]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[111]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[111]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[16]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[112]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[112]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[17]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[113]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[113]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[18]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[114]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[114]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[20]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[116]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[116]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[21]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[117]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[117]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[22]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[118]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[118]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[24]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[120]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[120]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[25]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[121]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[121]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[26]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[122]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[122]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[28]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[124]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[124]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[29]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[125]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[125]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[30]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[126]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[126]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_genblock.dff_dout_reg[13]  (
+	.CLK(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_dataff_l1clk ),
+	.D(brqrv_top_brqrv_lsu_stbuf_stbuf_datain[109]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_data[109]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_killff_g35__2398  (
+	.A(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_killff_n_0 ),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_reset[3]),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_killff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_killff_g36__5107  (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill[3]),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill_en[3]),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_killff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_killff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_free_c2_clk),
+	.D(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_killff_din_new [0]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_dma_kill[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_vldff_g35__6260  (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_reset[3]),
+	.B(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_vldff_n_0 ),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_vldff_din_new [0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_vldff_g36__4319  (
+	.A(brqrv_top_brqrv_lsu_stbuf_stbuf_vld[3]),
+	.B(brqrv_top_brqrv_lsu_stbuf_stbuf_wr_en[3]),
+	.Y(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_vldff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_vldff_genblk1.dffsc_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_free_c2_clk),
+	.D(\brqrv_top_brqrv_lsu_stbuf_Gen_dccm_enable.GenStBuf[3].stbuf_vldff_din_new [0]),
+	.Q(brqrv_top_brqrv_lsu_stbuf_stbuf_vld[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_RdPtrff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_stbuf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_stbuf_RdPtrff_n_6),
+	.Q(brqrv_top_brqrv_lsu_stbuf_RdPtr[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_RdPtrff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_stbuf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_stbuf_RdPtrff_n_7),
+	.Q(brqrv_top_brqrv_lsu_stbuf_RdPtr[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_WrPtrff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_stbuf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_stbuf_WrPtrff_n_6),
+	.Q(n_34949),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_lsu_stbuf_WrPtrff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_lsu_lsu_stbuf_c1_clk),
+	.D(brqrv_top_brqrv_lsu_stbuf_WrPtrff_n_7),
+	.Q(brqrv_top_brqrv_lsu_stbuf_WrPtr[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6130__8428  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_90 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_87 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_112 ),
+	.D(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_88 ),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_trigger_data_match[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6131__5526  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_111 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_106 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_91 ),
+	.D(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_102 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_112 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6132__6783  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_89 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_104 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_107 ),
+	.D(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_110 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_111 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6133__3680  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_82 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_26 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_86 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_109 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_110 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6134__1617  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_44 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_98 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_108 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_109 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6135__2802  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_20 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_97 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_81 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_100 ),
+	.D1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_66 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_108 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6136__1705  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_58 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_31 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_72 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_71 ),
+	.D1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_101 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_107 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6137__5122  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_17 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_85 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_105 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_106 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6138__8246  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_78 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_34 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_103 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_105 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6139__7098  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_62 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_28 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_75 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_84 ),
+	.D1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_96 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_104 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6140__6131  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_99 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_32 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_95 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_103 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6141__1881  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_1 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_92 ),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[25]),
+	.B2(brqrv_top_brqrv_lsu_trigger_lsu_match_data[25]),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_9 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_102 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6142__5115  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_93 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_15 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_13 ),
+	.B2(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_68 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_14 ),
+	.C2(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_63 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_101 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6143__7482  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_1 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_94 ),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[29]),
+	.B2(brqrv_top_brqrv_lsu_trigger_lsu_match_data[29]),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_8 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_100 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6144__4733  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_73 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[3]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[8]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[2]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_99 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6145__6161  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_82 ),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[30]),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_22 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_98 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6146__9315  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_7 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_37 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_42 ),
+	.D(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_85 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_97 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6147__9945  (
+	.A1(FE_DBTN3_brqrv_top_brqrv_trigger_pkt_any_3),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_83 ),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[6]),
+	.B2(brqrv_top_brqrv_lsu_trigger_lsu_match_data[6]),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_4 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_96 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6148__2883  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_78 ),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[8]),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_19 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_95 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6149__2346  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_69 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_48 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[28]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[21]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_94 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6150__1666  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_85 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[11]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_93 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6151__7410  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_69 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[21]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[24]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[23]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_92 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6152__6417  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_38 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_77 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_24 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_91 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6153__5477  (
+	.A1(brqrv_top_brqrv_lsu_trigger_lsu_match_data[27]),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[27]),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_5 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_79 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_90 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6154__2398  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_76 ),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[23]),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_12 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_89 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6155__5107  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_36 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_41 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_80 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_88 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6156__6260  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_76 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_25 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_69 ),
+	.B2(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_23 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_87 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6157__4319  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_47 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_77 ),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[28]),
+	.B2(brqrv_top_brqrv_lsu_trigger_lsu_match_data[28]),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_6 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_86 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6158__8428  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_11 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_70 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_16 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_84 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6159__5526  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_61 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[2]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[5]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[4]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_83 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6160__6783  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_78 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_40 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_85 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6161__3680  (
+	.A1(brqrv_top_brqrv_trigger_pkt_any[18]),
+	.A2(brqrv_top_brqrv_lsu_trigger_lsu_match_data[18]),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_74 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_10 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_81 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6162__1617  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_59 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_21 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_43 ),
+	.B2(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_57 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_30 ),
+	.C2(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_60 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_80 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6163__2802  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_38 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_77 ),
+	.C_N(brqrv_top_brqrv_trigger_pkt_any[26]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_79 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6164__1705  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_53 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_68 ),
+	.C_N(brqrv_top_brqrv_trigger_pkt_any[15]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_82 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6165  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_77 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_76 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6166__5122  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_18 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_70 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_75 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6167__8246  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_39 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_70 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_78 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6168__7098  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_67 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[22]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_77 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6169__6131  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_64 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[16]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[17]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_74 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6170__1881  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_61 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_0 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[9]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_73 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6171__5115  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_67 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_35 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_72 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6172__7482  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_46 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_65 ),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[20]),
+	.B2(brqrv_top_brqrv_lsu_trigger_lsu_match_data[20]),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_3 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_71 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6173__4733  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_62 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[4]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_70 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6174__6161  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_49 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_65 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_69 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6175__9315  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_29 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_27 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_65 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_66 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6176__9945  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_58 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[13]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[14]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_68 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6177__2883  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_50 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_65 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_67 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6178  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_64 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_65 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6179__2346  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_58 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[13]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_63 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6180__1666  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_37 ),
+	.B_N(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_58 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_64 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6181  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_60 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_61 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6182__7410  (
+	.A(FE_DBTN3_brqrv_top_brqrv_trigger_pkt_any_3),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_57 ),
+	.C_N(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_36 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_62 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6183__6417  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_56 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[0]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[1]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_60 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6184__5477  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_56 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[0]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_59 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6185__2398  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_51 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_57 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_58 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6186  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_57 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_56 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6187__5107  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_55 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[36]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_57 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6188__6260  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_37 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_54 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[30]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[31]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_55 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6189__4319  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_51 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_53 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_54 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6190__8428  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_52 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_48 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[28]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[22]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_53 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6191__5526  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_50 ),
+	.B_N(brqrv_top_brqrv_trigger_pkt_any[29]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_52 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6192__6783  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_0 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_45 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[3]),
+	.D(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_36 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_51 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6193__3680  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_49 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[21]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_50 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6194__1617  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_46 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[20]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_49 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6195  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_47 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_48 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6196__2802  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_38 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[26]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[27]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_47 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6197__1705  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_7 ),
+	.B_N(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_40 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_45 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6198__5122  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_42 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[19]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_46 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6199__8246  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[16]),
+	.B_N(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_27 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_44 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6200__7098  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_33 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_41 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_43 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6202__6131  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_1 ),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[22]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_35 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6203__1881  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[8]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[8]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_34 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6204__5115  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[0]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[0]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_33 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6205__7482  (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[10]),
+	.A2_N(brqrv_top_brqrv_lsu_trigger_lsu_match_data[10]),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[10]),
+	.B2(brqrv_top_brqrv_lsu_trigger_lsu_match_data[10]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_32 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6206__4733  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[13]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[13]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_31 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6207__6161  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[2]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[2]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_30 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6208__9315  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[16]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[16]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_29 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6209__9945  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[4]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[4]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_28 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6210__2883  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[16]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[18]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[17]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_42 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6211__2346  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[3]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[3]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_41 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6212__1666  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[10]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[9]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[8]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_40 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6213__7410  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_11 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[7]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_39 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6214__6417  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[23]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[25]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[24]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_38 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6215__5477  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[13]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[15]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[14]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_37 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6216__2398  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[2]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[1]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[0]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_36 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6217__5107  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[30]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[30]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_26 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6218__6260  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[23]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[23]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_25 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6219__4319  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[26]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[26]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_24 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6220__8428  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[21]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[21]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_23 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6221__5526  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[31]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[31]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_22 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6222__6783  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[1]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[1]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_21 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6223__3680  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[19]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[19]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_20 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6224__1617  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[9]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[9]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_19 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6225__2802  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[5]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[5]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_18 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6226__1705  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[11]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[11]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_17 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6227__5122  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[7]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[7]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_16 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6228__8246  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[12]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[12]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_15 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6229__7098  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[14]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[14]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_14 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6230__6131  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[15]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[15]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_13 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6231__1881  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[24]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[24]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_12 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6232__5115  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[17]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[17]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_27 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6233__7482  (
+	.A(brqrv_top_brqrv_lsu_trigger_lsu_match_data[18]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[18]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6234__4733  (
+	.A(brqrv_top_brqrv_lsu_trigger_lsu_match_data[25]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[25]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6235__6161  (
+	.A(brqrv_top_brqrv_lsu_trigger_lsu_match_data[29]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[29]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6236__9315  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[6]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[5]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_11 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6237__9945  (
+	.A(brqrv_top_brqrv_lsu_trigger_lsu_match_data[28]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[28]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6238__2883  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[27]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[27]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6239__2346  (
+	.A(brqrv_top_brqrv_lsu_trigger_lsu_match_data[6]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[6]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6240__1666  (
+	.A(brqrv_top_brqrv_lsu_trigger_lsu_match_data[20]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[20]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6241__7410  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[12]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[11]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g6244  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[22]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_1 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_g2__6417  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_39 ),
+	.B_N(brqrv_top_brqrv_trigger_pkt_any[4]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[0].trigger_match_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6130__5477  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_90 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_87 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_112 ),
+	.D(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_88 ),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_trigger_data_match[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6131__2398  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_111 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_106 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_91 ),
+	.D(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_102 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_112 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6132__5107  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_89 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_104 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_107 ),
+	.D(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_110 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_111 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6133__6260  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_82 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_26 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_86 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_109 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_110 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6134__4319  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_44 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_98 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_108 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_109 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6135__8428  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_20 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_97 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_81 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_100 ),
+	.D1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_66 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_108 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6136__5526  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_58 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_31 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_72 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_71 ),
+	.D1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_101 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_107 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6137__6783  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_17 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_85 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_105 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_106 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6138__3680  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_78 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_34 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_103 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_105 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6139__1617  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_62 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_28 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_75 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_84 ),
+	.D1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_96 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_104 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6140__2802  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_99 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_32 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_95 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_103 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6141__1705  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_1 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_92 ),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[63]),
+	.B2(brqrv_top_brqrv_lsu_trigger_lsu_match_data[57]),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_9 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_102 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6142__5122  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_93 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_15 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_13 ),
+	.B2(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_68 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_14 ),
+	.C2(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_63 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_101 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6143__8246  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_1 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_94 ),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[67]),
+	.B2(brqrv_top_brqrv_lsu_trigger_lsu_match_data[61]),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_8 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_100 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6144__7098  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_73 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[41]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[46]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[40]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_99 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6145__6131  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_82 ),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[68]),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_22 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_98 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6146__1881  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_7 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_37 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_42 ),
+	.D(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_85 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_97 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6147__5115  (
+	.A1(FE_DBTN4_brqrv_top_brqrv_trigger_pkt_any_41),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_83 ),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[44]),
+	.B2(brqrv_top_brqrv_lsu_trigger_lsu_match_data[38]),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_4 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_96 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6148__7482  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_78 ),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[46]),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_19 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_95 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6149__4733  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_69 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_48 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[66]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[59]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_94 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6150__6161  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_85 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[49]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_93 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6151__9315  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_69 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[59]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[62]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[61]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_92 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6152__9945  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_38 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_77 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_24 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_91 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6153__2883  (
+	.A1(brqrv_top_brqrv_lsu_trigger_lsu_match_data[59]),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[65]),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_5 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_79 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_90 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6154__2346  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_76 ),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[61]),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_12 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_89 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6155__1666  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_36 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_41 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_80 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_88 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6156__7410  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_76 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_25 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_69 ),
+	.B2(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_23 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_87 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6157__6417  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_47 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_77 ),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[66]),
+	.B2(brqrv_top_brqrv_lsu_trigger_lsu_match_data[60]),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_6 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_86 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6158__5477  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_11 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_70 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_16 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_84 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6159__2398  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_61 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[40]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[43]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[42]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_83 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6160__5107  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_78 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_40 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_85 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6161__6260  (
+	.A1(brqrv_top_brqrv_trigger_pkt_any[56]),
+	.A2(brqrv_top_brqrv_lsu_trigger_lsu_match_data[50]),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_74 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_10 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_81 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6162__4319  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_59 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_21 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_43 ),
+	.B2(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_57 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_30 ),
+	.C2(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_60 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_80 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6163__8428  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_38 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_77 ),
+	.C_N(brqrv_top_brqrv_trigger_pkt_any[64]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_79 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6164__5526  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_53 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_68 ),
+	.C_N(brqrv_top_brqrv_trigger_pkt_any[53]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_82 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6165  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_77 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_76 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6166__6783  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_18 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_70 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_75 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6167__3680  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_39 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_70 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_78 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6168__1617  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_67 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[60]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_77 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6169__2802  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_64 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[54]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[55]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_74 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6170__1705  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_61 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_0 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[47]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_73 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6171__5122  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_67 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_35 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_72 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6172__8246  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_46 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_65 ),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[58]),
+	.B2(brqrv_top_brqrv_lsu_trigger_lsu_match_data[52]),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_3 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_71 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6173__7098  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_62 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[42]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_70 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6174__6131  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_49 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_65 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_69 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6175__1881  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_29 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_27 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_65 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_66 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6176__5115  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_58 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[51]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[52]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_68 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6177__7482  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_50 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_65 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_67 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6178  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_64 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_65 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6179__4733  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_58 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[51]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_63 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6180__6161  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_37 ),
+	.B_N(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_58 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_64 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6181  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_60 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_61 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6182__9315  (
+	.A(FE_DBTN4_brqrv_top_brqrv_trigger_pkt_any_41),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_57 ),
+	.C_N(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_36 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_62 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6183__9945  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_56 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[38]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[39]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_60 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6184__2883  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_56 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[38]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_59 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6185__2346  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_51 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_57 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_58 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6186  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_57 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_56 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6187__1666  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_55 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[74]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_57 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6188__7410  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_37 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_54 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[68]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[69]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_55 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6189__6417  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_51 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_53 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_54 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6190__5477  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_52 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_48 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[66]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[60]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_53 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6191__2398  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_50 ),
+	.B_N(brqrv_top_brqrv_trigger_pkt_any[67]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_52 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6192__5107  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_0 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_45 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[41]),
+	.D(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_36 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_51 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6193__6260  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_49 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[59]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_50 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6194__4319  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_46 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[58]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_49 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6195  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_47 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_48 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6196__8428  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_38 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[64]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[65]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_47 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6197__5526  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_7 ),
+	.B_N(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_40 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_45 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6198__6783  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_42 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[57]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_46 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6199__3680  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[54]),
+	.B_N(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_27 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_44 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6200__1617  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_33 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_41 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_43 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6202__2802  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_1 ),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[54]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_35 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6203__1705  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[46]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[40]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_34 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6204__5122  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[38]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[32]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_33 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6205__8246  (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[48]),
+	.A2_N(brqrv_top_brqrv_lsu_trigger_lsu_match_data[42]),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[48]),
+	.B2(brqrv_top_brqrv_lsu_trigger_lsu_match_data[42]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_32 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6206__7098  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[51]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[45]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_31 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6207__6131  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[40]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[34]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_30 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6208__1881  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[54]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[48]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_29 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6209__5115  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[42]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[36]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_28 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6210__7482  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[54]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[56]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[55]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_42 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6211__4733  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[41]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[35]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_41 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6212__6161  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[48]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[47]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[46]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_40 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6213  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_11 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[45]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_39 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6214  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[61]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[63]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[62]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_38 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6215  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[51]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[53]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[52]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_37 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6216  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[40]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[39]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[38]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_36 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6217  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[68]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[62]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_26 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6218  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[61]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[55]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_25 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6219  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[64]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[58]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_24 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6220  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[59]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[53]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_23 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6221  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[69]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[63]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_22 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6222  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[39]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[33]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_21 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6223  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[57]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[51]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_20 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6224  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[47]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[41]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_19 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6225  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[43]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[37]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_18 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6226  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[49]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[43]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_17 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6227  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[45]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[39]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_16 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6228  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[50]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[44]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_15 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6229  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[52]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[46]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_14 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6230  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[53]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[47]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_13 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6231  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[62]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[56]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_12 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6232  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[55]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[49]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_27 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6233  (
+	.A(brqrv_top_brqrv_lsu_trigger_lsu_match_data[50]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[56]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6234  (
+	.A(brqrv_top_brqrv_lsu_trigger_lsu_match_data[57]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[63]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6235  (
+	.A(brqrv_top_brqrv_lsu_trigger_lsu_match_data[61]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[67]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6236  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[44]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[43]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_11 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6237  (
+	.A(brqrv_top_brqrv_lsu_trigger_lsu_match_data[60]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[66]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6238  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[65]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[59]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6239  (
+	.A(brqrv_top_brqrv_lsu_trigger_lsu_match_data[38]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[44]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6240  (
+	.A(brqrv_top_brqrv_lsu_trigger_lsu_match_data[52]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[58]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6241  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[50]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[49]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g6244  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[60]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_1 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_g2  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_39 ),
+	.B_N(brqrv_top_brqrv_trigger_pkt_any[42]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[1].trigger_match_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6130  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_90 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_87 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_112 ),
+	.D(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_88 ),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_trigger_data_match[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6131  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_111 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_106 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_91 ),
+	.D(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_102 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_112 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6132  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_89 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_104 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_107 ),
+	.D(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_110 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_111 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6133  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_82 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_26 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_86 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_109 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_110 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6134  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_44 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_98 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_108 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_109 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6135  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_20 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_97 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_81 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_100 ),
+	.D1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_66 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_108 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6136  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_58 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_31 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_72 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_71 ),
+	.D1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_101 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_107 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6137  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_17 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_85 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_105 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_106 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6138  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_78 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_34 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_103 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_105 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6139  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_62 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_28 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_75 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_84 ),
+	.D1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_96 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_104 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6140  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_99 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_32 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_95 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_103 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6141  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_1 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_92 ),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[101]),
+	.B2(brqrv_top_brqrv_lsu_trigger_lsu_match_data[89]),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_9 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_102 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6142  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_93 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_15 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_13 ),
+	.B2(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_68 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_14 ),
+	.C2(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_63 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_101 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6143  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_1 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_94 ),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[105]),
+	.B2(brqrv_top_brqrv_lsu_trigger_lsu_match_data[93]),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_8 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_100 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6144  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_73 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[79]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[84]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[78]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_99 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6145  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_82 ),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[106]),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_22 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_98 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6146  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_7 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_37 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_42 ),
+	.D(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_85 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_97 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6147  (
+	.A1(FE_DBTN5_brqrv_top_brqrv_trigger_pkt_any_79),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_83 ),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[82]),
+	.B2(brqrv_top_brqrv_lsu_trigger_lsu_match_data[70]),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_4 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_96 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6148  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_78 ),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[84]),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_19 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_95 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6149  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_69 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_48 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[104]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[97]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_94 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6150  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_85 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[87]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_93 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6151  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_69 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[97]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[100]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[99]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_92 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6152  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_38 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_77 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_24 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_91 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6153  (
+	.A1(brqrv_top_brqrv_lsu_trigger_lsu_match_data[91]),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[103]),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_5 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_79 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_90 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6154  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_76 ),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[99]),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_12 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_89 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6155  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_36 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_41 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_80 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_88 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6156  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_76 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_25 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_69 ),
+	.B2(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_23 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_87 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6157  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_47 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_77 ),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[104]),
+	.B2(brqrv_top_brqrv_lsu_trigger_lsu_match_data[92]),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_6 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_86 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6158  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_11 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_70 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_16 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_84 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6159  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_61 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[78]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[81]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[80]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_83 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6160  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_78 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_40 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_85 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6161  (
+	.A1(brqrv_top_brqrv_trigger_pkt_any[94]),
+	.A2(brqrv_top_brqrv_lsu_trigger_lsu_match_data[82]),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_74 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_10 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_81 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6162  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_59 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_21 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_43 ),
+	.B2(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_57 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_30 ),
+	.C2(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_60 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_80 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6163  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_38 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_77 ),
+	.C_N(brqrv_top_brqrv_trigger_pkt_any[102]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_79 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6164  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_53 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_68 ),
+	.C_N(brqrv_top_brqrv_trigger_pkt_any[91]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_82 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6165  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_77 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_76 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6166  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_18 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_70 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_75 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6167  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_39 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_70 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_78 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6168  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_67 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[98]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_77 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6169  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_64 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[92]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[93]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_74 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6170  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_61 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_0 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[85]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_73 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6171  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_67 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_35 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_72 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6172  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_46 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_65 ),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[96]),
+	.B2(brqrv_top_brqrv_lsu_trigger_lsu_match_data[84]),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_3 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_71 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6173  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_62 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[80]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_70 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6174  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_49 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_65 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_69 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6175  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_29 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_27 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_65 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_66 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6176  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_58 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[89]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[90]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_68 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6177  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_50 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_65 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_67 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6178  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_64 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_65 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6179  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_58 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[89]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_63 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6180  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_37 ),
+	.B_N(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_58 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_64 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6181  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_60 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_61 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6182  (
+	.A(FE_DBTN5_brqrv_top_brqrv_trigger_pkt_any_79),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_57 ),
+	.C_N(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_36 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_62 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6183  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_56 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[76]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[77]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_60 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6184  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_56 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[76]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_59 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6185  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_51 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_57 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_58 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6186  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_57 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_56 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6187  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_55 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[112]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_57 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6188  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_37 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_54 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[106]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[107]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_55 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6189  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_51 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_53 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_54 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6190  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_52 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_48 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[104]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[98]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_53 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6191  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_50 ),
+	.B_N(brqrv_top_brqrv_trigger_pkt_any[105]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_52 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6192  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_0 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_45 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[79]),
+	.D(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_36 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_51 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6193  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_49 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[97]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_50 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6194  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_46 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[96]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_49 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6195  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_47 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_48 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6196  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_38 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[102]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[103]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_47 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6197  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_7 ),
+	.B_N(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_40 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_45 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6198  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_42 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[95]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_46 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6199  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[92]),
+	.B_N(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_27 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_44 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6200  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_33 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_41 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_43 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6202  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_1 ),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[86]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_35 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6203  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[84]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[72]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_34 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6204  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[76]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[64]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_33 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6205  (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[86]),
+	.A2_N(brqrv_top_brqrv_lsu_trigger_lsu_match_data[74]),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[86]),
+	.B2(brqrv_top_brqrv_lsu_trigger_lsu_match_data[74]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_32 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6206  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[89]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[77]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_31 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6207  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[78]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[66]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_30 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6208  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[92]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[80]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_29 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6209  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[80]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[68]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_28 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6210  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[92]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[94]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[93]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_42 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6211  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[79]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[67]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_41 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6212  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[86]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[85]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[84]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_40 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6213  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_11 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[83]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_39 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6214  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[99]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[101]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[100]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_38 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6215  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[89]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[91]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[90]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_37 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6216  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[78]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[77]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[76]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_36 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6217  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[106]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[94]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_26 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6218  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[99]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[87]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_25 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6219  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[102]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[90]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_24 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6220  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[97]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[85]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_23 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6221  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[107]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[95]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_22 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6222  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[77]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[65]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_21 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6223  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[95]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[83]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_20 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6224  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[85]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[73]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_19 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6225  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[81]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[69]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_18 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6226  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[87]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[75]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_17 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6227  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[83]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[71]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_16 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6228  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[88]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[76]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_15 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6229  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[90]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[78]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_14 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6230  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[91]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[79]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_13 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6231  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[100]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[88]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_12 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6232  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[93]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[81]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_27 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6233  (
+	.A(brqrv_top_brqrv_lsu_trigger_lsu_match_data[82]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[94]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6234  (
+	.A(brqrv_top_brqrv_lsu_trigger_lsu_match_data[89]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[101]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6235  (
+	.A(brqrv_top_brqrv_lsu_trigger_lsu_match_data[93]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[105]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6236  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[82]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[81]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_11 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6237  (
+	.A(brqrv_top_brqrv_lsu_trigger_lsu_match_data[92]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[104]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6238  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[103]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[91]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6239  (
+	.A(brqrv_top_brqrv_lsu_trigger_lsu_match_data[70]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[82]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6240  (
+	.A(brqrv_top_brqrv_lsu_trigger_lsu_match_data[84]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[96]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6241  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[88]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[87]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g6244  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[98]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_1 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_g2  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_39 ),
+	.B_N(brqrv_top_brqrv_trigger_pkt_any[80]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[2].trigger_match_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6130  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_90 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_87 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_112 ),
+	.D(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_88 ),
+	.Y(brqrv_top_brqrv_lsu_trigger_lsu_trigger_data_match[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6131  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_111 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_106 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_91 ),
+	.D(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_102 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_112 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6132  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_89 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_104 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_107 ),
+	.D(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_110 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_111 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6133  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_82 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_26 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_86 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_109 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_110 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6134  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_44 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_98 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_108 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_109 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6135  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_20 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_97 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_81 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_100 ),
+	.D1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_66 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_108 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6136  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_58 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_31 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_72 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_71 ),
+	.D1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_101 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_107 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6137  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_17 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_85 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_105 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_106 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6138  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_78 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_34 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_103 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_105 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6139  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_62 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_28 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_75 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_84 ),
+	.D1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_96 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_104 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6140  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_99 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_32 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_95 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_103 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6141  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_1 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_92 ),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[139]),
+	.B2(brqrv_top_brqrv_lsu_trigger_lsu_match_data[121]),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_9 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_102 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6142  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_93 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_15 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_13 ),
+	.B2(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_68 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_14 ),
+	.C2(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_63 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_101 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6143  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_1 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_94 ),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[143]),
+	.B2(brqrv_top_brqrv_lsu_trigger_lsu_match_data[125]),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_8 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_100 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6144  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_73 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[117]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[122]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[116]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_99 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6145  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_82 ),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[144]),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_22 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_98 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6146  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_7 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_37 ),
+	.C(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_42 ),
+	.D(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_85 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_97 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6147  (
+	.A1(FE_DBTN6_brqrv_top_brqrv_trigger_pkt_any_117),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_83 ),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[120]),
+	.B2(brqrv_top_brqrv_lsu_trigger_lsu_match_data[102]),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_4 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_96 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6148  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_78 ),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[122]),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_19 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_95 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6149  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_69 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_48 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[142]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[135]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_94 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6150  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_85 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[125]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_93 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6151  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_69 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[135]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[138]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[137]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_92 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6152  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_38 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_77 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_24 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_91 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6153  (
+	.A1(brqrv_top_brqrv_lsu_trigger_lsu_match_data[123]),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[141]),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_5 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_79 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_90 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6154  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_76 ),
+	.A2(brqrv_top_brqrv_trigger_pkt_any[137]),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_12 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_89 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6155  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_36 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_41 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_80 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_88 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6156  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_76 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_25 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_69 ),
+	.B2(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_23 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_87 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6157  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_47 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_77 ),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[142]),
+	.B2(brqrv_top_brqrv_lsu_trigger_lsu_match_data[124]),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_6 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_86 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6158  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_11 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_70 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_16 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_84 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6159  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_61 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[116]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[119]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[118]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_83 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6160  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_78 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_40 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_85 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6161  (
+	.A1(brqrv_top_brqrv_trigger_pkt_any[132]),
+	.A2(brqrv_top_brqrv_lsu_trigger_lsu_match_data[114]),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_74 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_10 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_81 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6162  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_59 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_21 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_43 ),
+	.B2(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_57 ),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_30 ),
+	.C2(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_60 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_80 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6163  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_38 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_77 ),
+	.C_N(brqrv_top_brqrv_trigger_pkt_any[140]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_79 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6164  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_53 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_68 ),
+	.C_N(brqrv_top_brqrv_trigger_pkt_any[129]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_82 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6165  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_77 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_76 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6166  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_18 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_70 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_75 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6167  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_39 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_70 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_78 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6168  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_67 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[136]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_77 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6169  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_64 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[130]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[131]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_74 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6170  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_61 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_0 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[123]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_73 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6171  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_67 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_35 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_72 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6172  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_46 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_65 ),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[134]),
+	.B2(brqrv_top_brqrv_lsu_trigger_lsu_match_data[116]),
+	.C1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_3 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_71 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6173  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_62 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[118]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_70 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6174  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_49 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_65 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_69 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6175  (
+	.A1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_29 ),
+	.A2(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_27 ),
+	.B1(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_65 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_66 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6176  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_58 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[127]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[128]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_68 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6177  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_50 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_65 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_67 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6178  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_64 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_65 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6179  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_58 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[127]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_63 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6180  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_37 ),
+	.B_N(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_58 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_64 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6181  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_60 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_61 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6182  (
+	.A(FE_DBTN6_brqrv_top_brqrv_trigger_pkt_any_117),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_57 ),
+	.C_N(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_36 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_62 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6183  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_56 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[114]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[115]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_60 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6184  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_56 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[114]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_59 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6185  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_51 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_57 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_58 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6186  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_57 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_56 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6187  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_55 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[150]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_57 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6188  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_37 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_54 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[144]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[145]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_55 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6189  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_51 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_53 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_54 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6190  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_52 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_48 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[142]),
+	.D(brqrv_top_brqrv_trigger_pkt_any[136]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_53 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6191  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_50 ),
+	.B_N(brqrv_top_brqrv_trigger_pkt_any[143]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_52 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6192  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_0 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_45 ),
+	.C(brqrv_top_brqrv_trigger_pkt_any[117]),
+	.D(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_36 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_51 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6193  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_49 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[135]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_50 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6194  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_46 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[134]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_49 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6195  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_47 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_48 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6196  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_38 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[140]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[141]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_47 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6197  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_7 ),
+	.B_N(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_40 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_45 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6198  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_42 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[133]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_46 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6199  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[130]),
+	.B_N(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_27 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_44 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6200  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_33 ),
+	.B(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_41 ),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_43 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6202  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_1 ),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[118]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_35 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6203  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[122]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[104]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_34 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6204  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[114]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[96]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_33 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2bb2oi_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6205  (
+	.A1_N(brqrv_top_brqrv_trigger_pkt_any[124]),
+	.A2_N(brqrv_top_brqrv_lsu_trigger_lsu_match_data[106]),
+	.B1(brqrv_top_brqrv_trigger_pkt_any[124]),
+	.B2(brqrv_top_brqrv_lsu_trigger_lsu_match_data[106]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_32 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6206  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[127]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[109]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_31 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6207  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[116]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[98]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_30 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6208  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[130]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[112]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_29 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6209  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[118]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[100]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_28 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6210  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[130]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[132]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[131]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_42 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6211  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[117]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[99]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_41 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6212  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[124]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[123]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[122]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_40 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6213  (
+	.A_N(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_11 ),
+	.B(brqrv_top_brqrv_trigger_pkt_any[121]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_39 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6214  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[137]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[139]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[138]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_38 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6215  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[127]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[129]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[128]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_37 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6216  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[116]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[115]),
+	.C(brqrv_top_brqrv_trigger_pkt_any[114]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_36 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6217  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[144]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[126]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_26 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6218  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[137]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[119]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_25 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6219  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[140]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[122]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_24 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6220  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[135]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[117]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_23 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6221  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[145]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[127]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_22 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6222  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[115]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[97]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_21 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6223  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[133]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[115]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_20 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6224  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[123]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[105]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_19 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6225  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[119]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[101]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_18 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6226  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[125]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[107]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_17 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6227  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[121]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[103]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_16 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6228  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[126]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[108]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_15 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6229  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[128]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[110]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_14 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6230  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[129]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[111]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_13 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6231  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[138]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[120]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_12 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6232  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[131]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[113]),
+	.X(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_27 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6233  (
+	.A(brqrv_top_brqrv_lsu_trigger_lsu_match_data[114]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[132]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_10 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6234  (
+	.A(brqrv_top_brqrv_lsu_trigger_lsu_match_data[121]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[139]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_9 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6235  (
+	.A(brqrv_top_brqrv_lsu_trigger_lsu_match_data[125]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[143]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_8 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6236  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[120]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[119]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_11 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6237  (
+	.A(brqrv_top_brqrv_lsu_trigger_lsu_match_data[124]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[142]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6238  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[141]),
+	.B(brqrv_top_brqrv_lsu_trigger_lsu_match_data[123]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6239  (
+	.A(brqrv_top_brqrv_lsu_trigger_lsu_match_data[102]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[120]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6240  (
+	.A(brqrv_top_brqrv_lsu_trigger_lsu_match_data[116]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[134]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6241  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[126]),
+	.B(brqrv_top_brqrv_trigger_pkt_any[125]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_7 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g6244  (
+	.A(brqrv_top_brqrv_trigger_pkt_any[136]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_1 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 \brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_g2  (
+	.A(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_39 ),
+	.B_N(brqrv_top_brqrv_trigger_pkt_any[118]),
+	.Y(\brqrv_top_brqrv_lsu_trigger_genblk1[3].trigger_match_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_pic_ctrl_inst_IO_CLK_GRP[0].CLK_GRPS.intenable_c1_cgc_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_pic_ctrl_inst_intenable_clk_enable_grp[0]),
+	.GCLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_pic_ctrl_inst_IO_CLK_GRP[1].CLK_GRPS.intenable_c1_cgc_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_pic_ctrl_inst_intenable_clk_enable_grp[1]),
+	.GCLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_pic_ctrl_inst_IO_CLK_GRP[2].CLK_GRPS.intenable_c1_cgc_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_pic_ctrl_inst_intenable_clk_enable_grp[2]),
+	.GCLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_pic_ctrl_inst_IO_CLK_GRP[3].CLK_GRPS.intenable_c1_cgc_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_pic_ctrl_inst_intenable_clk_enable_grp[3]),
+	.GCLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_pic_ctrl_inst_IO_CLK_GRP[4].CLK_GRPS.intenable_c1_cgc_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_pic_ctrl_inst_intenable_clk_enable_grp[4]),
+	.GCLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_pic_ctrl_inst_IO_CLK_GRP[5].CLK_GRPS.intenable_c1_cgc_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_pic_ctrl_inst_intenable_clk_enable_grp[5]),
+	.GCLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_pic_ctrl_inst_IO_CLK_GRP[6].CLK_GRPS.intenable_c1_cgc_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_pic_ctrl_inst_intenable_clk_enable_grp[6]),
+	.GCLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 \brqrv_top_brqrv_pic_ctrl_inst_IO_CLK_GRP[7].LAST_GRP.intenable_c1_cgc_clkhdr  (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_pic_ctrl_inst_intenable_clk_enable_grp[7]),
+	.GCLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.config_gw_inst_g49  (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[3]),
+	.A2(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[2]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.config_gw_inst_g51  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.config_gw_inst_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[1]),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[2]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.config_gw_inst_g52  (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.config_gw_inst_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.config_gw_inst_int_pend_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[0]),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ),
+	.Q(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.gw_config_ff_g56  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[3]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.gw_config_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.gw_config_ff_g57  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[2]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.gw_config_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.gw_config_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.gw_config_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intenable_ff_g30  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[1]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intenable_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intenable_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intenable_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intpriority_ff_g107  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[7]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[1]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intpriority_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intpriority_ff_g108  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[4]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[1]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intpriority_ff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intpriority_ff_g109  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[6]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[1]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intpriority_ff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intpriority_ff_g110  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[5]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[1]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intpriority_ff_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intpriority_ff_g111  (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[1]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intpriority_ff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intpriority_ff_n_6 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intpriority_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intpriority_ff_n_5 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[1].NON_ZERO_INT.intpriority_ff_n_4 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.config_gw_inst_g49  (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[5]),
+	.A2(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[4]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.config_gw_inst_g51  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.config_gw_inst_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[2]),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[4]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.config_gw_inst_g52  (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.config_gw_inst_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.config_gw_inst_int_pend_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[0]),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ),
+	.Q(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.gw_config_ff_g56  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[5]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.gw_config_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.gw_config_ff_g57  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[4]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.gw_config_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.gw_config_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.gw_config_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intenable_ff_g30  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[2]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intenable_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intenable_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intenable_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intpriority_ff_g107  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[11]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[2]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intpriority_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intpriority_ff_g108  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[8]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[2]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intpriority_ff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intpriority_ff_g109  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[10]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[2]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intpriority_ff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intpriority_ff_g110  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[9]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[2]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intpriority_ff_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intpriority_ff_g111  (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[2]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intpriority_ff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intpriority_ff_n_6 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intpriority_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intpriority_ff_n_5 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[2].NON_ZERO_INT.intpriority_ff_n_4 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.config_gw_inst_g49  (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[7]),
+	.A2(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[6]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[3]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.config_gw_inst_g51  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.config_gw_inst_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[3]),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[6]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.config_gw_inst_g52  (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.config_gw_inst_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.config_gw_inst_int_pend_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[0]),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ),
+	.Q(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.gw_config_ff_g56  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[7]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.gw_config_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.gw_config_ff_g57  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[6]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.gw_config_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.gw_config_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.gw_config_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intenable_ff_g30  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[3]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intenable_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intenable_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intenable_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intpriority_ff_g107  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[15]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[3]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intpriority_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intpriority_ff_g108  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[12]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[3]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intpriority_ff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intpriority_ff_g109  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[14]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[3]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intpriority_ff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intpriority_ff_g110  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[13]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[3]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intpriority_ff_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intpriority_ff_g111  (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[3]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intpriority_ff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intpriority_ff_n_6 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intpriority_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intpriority_ff_n_5 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[3].NON_ZERO_INT.intpriority_ff_n_4 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.config_gw_inst_g49  (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[9]),
+	.A2(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[8]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[4]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.config_gw_inst_g51  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.config_gw_inst_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[4]),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[8]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.config_gw_inst_g52  (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.config_gw_inst_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.config_gw_inst_int_pend_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[1]),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ),
+	.Q(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.gw_config_ff_g56  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[9]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[4]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.gw_config_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.gw_config_ff_g57  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[8]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[4]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.gw_config_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.gw_config_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.gw_config_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intenable_ff_g30  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[4]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[4]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intenable_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intenable_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intenable_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intpriority_ff_g107  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[19]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[4]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intpriority_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intpriority_ff_g108  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[16]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[4]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intpriority_ff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intpriority_ff_g109  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[18]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[4]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intpriority_ff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intpriority_ff_g110  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[17]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[4]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intpriority_ff_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intpriority_ff_g111  (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[4]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intpriority_ff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intpriority_ff_n_6 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intpriority_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intpriority_ff_n_5 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[4].NON_ZERO_INT.intpriority_ff_n_4 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.config_gw_inst_g49  (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[11]),
+	.A2(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[10]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.config_gw_inst_g51  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.config_gw_inst_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[5]),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[10]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.config_gw_inst_g52  (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.config_gw_inst_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.config_gw_inst_int_pend_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[1]),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ),
+	.Q(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.gw_config_ff_g56  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[11]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[5]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.gw_config_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.gw_config_ff_g57  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[10]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[5]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.gw_config_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.gw_config_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.gw_config_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intenable_ff_g30  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[5]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[5]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intenable_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intenable_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intenable_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intpriority_ff_g107  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[23]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[5]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intpriority_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intpriority_ff_g108  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[20]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[5]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intpriority_ff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intpriority_ff_g109  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[22]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[5]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intpriority_ff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intpriority_ff_g110  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[21]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[5]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intpriority_ff_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intpriority_ff_g111  (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[5]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intpriority_ff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intpriority_ff_n_6 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intpriority_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intpriority_ff_n_5 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[5].NON_ZERO_INT.intpriority_ff_n_4 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.config_gw_inst_g49  (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[13]),
+	.A2(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[12]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.config_gw_inst_g51  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.config_gw_inst_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[6]),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[12]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.config_gw_inst_g52  (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.config_gw_inst_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.config_gw_inst_int_pend_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[1]),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ),
+	.Q(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.gw_config_ff_g56  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[13]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[6]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.gw_config_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.gw_config_ff_g57  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[12]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[6]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.gw_config_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.gw_config_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.gw_config_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intenable_ff_g30  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[6]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[6]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intenable_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intenable_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intenable_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intpriority_ff_g107  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[27]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[6]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intpriority_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intpriority_ff_g108  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[24]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[6]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intpriority_ff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intpriority_ff_g109  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[26]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[6]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intpriority_ff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intpriority_ff_g110  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[25]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[6]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intpriority_ff_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intpriority_ff_g111  (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[6]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intpriority_ff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intpriority_ff_n_6 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intpriority_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intpriority_ff_n_5 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[6].NON_ZERO_INT.intpriority_ff_n_4 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.config_gw_inst_g49  (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[15]),
+	.A2(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[14]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.config_gw_inst_g51  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.config_gw_inst_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[7]),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[14]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.config_gw_inst_g52  (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.config_gw_inst_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.config_gw_inst_int_pend_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[1]),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ),
+	.Q(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.gw_config_ff_g56  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[15]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[7]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.gw_config_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.gw_config_ff_g57  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[14]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[7]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.gw_config_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.gw_config_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.gw_config_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intenable_ff_g30  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[7]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[7]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intenable_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intenable_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intenable_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intpriority_ff_g107  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[31]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[7]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intpriority_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intpriority_ff_g108  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[28]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[7]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intpriority_ff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intpriority_ff_g109  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[30]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[7]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intpriority_ff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intpriority_ff_g110  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[29]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[7]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intpriority_ff_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intpriority_ff_g111  (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[7]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intpriority_ff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intpriority_ff_n_6 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intpriority_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intpriority_ff_n_5 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[7].NON_ZERO_INT.intpriority_ff_n_4 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.config_gw_inst_g49  (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[17]),
+	.A2(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[16]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.config_gw_inst_g51  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.config_gw_inst_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[8]),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[16]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.config_gw_inst_g52  (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.config_gw_inst_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.config_gw_inst_int_pend_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[2]),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ),
+	.Q(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.gw_config_ff_g56  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[17]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[8]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.gw_config_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.gw_config_ff_g57  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[16]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[8]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.gw_config_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.gw_config_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.gw_config_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intenable_ff_g30  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[8]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[8]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intenable_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intenable_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intenable_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intpriority_ff_g107  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[35]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[8]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intpriority_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intpriority_ff_g108  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[32]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[8]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intpriority_ff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intpriority_ff_g109  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[34]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[8]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intpriority_ff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intpriority_ff_g110  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[33]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[8]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intpriority_ff_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intpriority_ff_g111  (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[8]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intpriority_ff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intpriority_ff_n_6 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[32]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intpriority_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[35]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intpriority_ff_n_5 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[33]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[8].NON_ZERO_INT.intpriority_ff_n_4 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[34]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.config_gw_inst_g49  (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[19]),
+	.A2(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[18]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.config_gw_inst_g51  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.config_gw_inst_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[9]),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[18]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.config_gw_inst_g52  (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.config_gw_inst_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.config_gw_inst_int_pend_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[2]),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ),
+	.Q(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.gw_config_ff_g56  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[19]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[9]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.gw_config_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.gw_config_ff_g57  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[18]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[9]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.gw_config_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.gw_config_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.gw_config_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intenable_ff_g30  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[9]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[9]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intenable_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intenable_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intenable_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intpriority_ff_g107  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[39]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[9]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intpriority_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intpriority_ff_g108  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[36]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[9]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intpriority_ff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intpriority_ff_g109  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[38]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[9]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intpriority_ff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intpriority_ff_g110  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[37]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[9]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intpriority_ff_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intpriority_ff_g111  (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[9]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intpriority_ff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intpriority_ff_n_6 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[36]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intpriority_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[39]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intpriority_ff_n_5 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[37]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[9].NON_ZERO_INT.intpriority_ff_n_4 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[38]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.config_gw_inst_g49  (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[21]),
+	.A2(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[20]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.config_gw_inst_g51  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.config_gw_inst_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[10]),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[20]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.config_gw_inst_g52  (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.config_gw_inst_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.config_gw_inst_int_pend_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[2]),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ),
+	.Q(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.gw_config_ff_g56  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[21]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[10]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.gw_config_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.gw_config_ff_g57  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[20]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[10]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.gw_config_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.gw_config_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.gw_config_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intenable_ff_g30  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[10]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[10]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intenable_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intenable_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intenable_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intpriority_ff_g107  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[43]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[10]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intpriority_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intpriority_ff_g108  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[40]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[10]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intpriority_ff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intpriority_ff_g109  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[42]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[10]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intpriority_ff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intpriority_ff_g110  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[41]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[10]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intpriority_ff_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intpriority_ff_g111  (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[10]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intpriority_ff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intpriority_ff_n_6 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[40]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intpriority_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[43]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intpriority_ff_n_5 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[41]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[10].NON_ZERO_INT.intpriority_ff_n_4 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[42]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.config_gw_inst_g49  (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[23]),
+	.A2(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[22]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.config_gw_inst_g51  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.config_gw_inst_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[11]),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[22]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.config_gw_inst_g52  (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.config_gw_inst_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.config_gw_inst_int_pend_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[2]),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ),
+	.Q(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.gw_config_ff_g56  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[23]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[11]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.gw_config_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.gw_config_ff_g57  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[22]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[11]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.gw_config_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.gw_config_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.gw_config_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intenable_ff_g30  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[11]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[11]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intenable_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intenable_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intenable_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intpriority_ff_g107  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[47]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[11]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intpriority_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intpriority_ff_g108  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[44]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[11]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intpriority_ff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intpriority_ff_g109  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[46]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[11]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intpriority_ff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intpriority_ff_g110  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[45]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[11]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intpriority_ff_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intpriority_ff_g111  (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[11]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intpriority_ff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intpriority_ff_n_6 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[44]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intpriority_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[47]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intpriority_ff_n_5 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[45]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[11].NON_ZERO_INT.intpriority_ff_n_4 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[46]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.config_gw_inst_g49  (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[25]),
+	.A2(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[24]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.config_gw_inst_g51  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.config_gw_inst_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[12]),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[24]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.config_gw_inst_g52  (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.config_gw_inst_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.config_gw_inst_int_pend_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[3]),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ),
+	.Q(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.gw_config_ff_g56  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[25]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[12]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.gw_config_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.gw_config_ff_g57  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[24]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[12]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.gw_config_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.gw_config_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.gw_config_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intenable_ff_g30  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[12]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[12]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intenable_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intenable_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intenable_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intpriority_ff_g107  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[51]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[12]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intpriority_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intpriority_ff_g108  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[48]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[12]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intpriority_ff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intpriority_ff_g109  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[50]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[12]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intpriority_ff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intpriority_ff_g110  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[49]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[12]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intpriority_ff_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intpriority_ff_g111  (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[12]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intpriority_ff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intpriority_ff_n_6 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[48]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intpriority_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[51]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intpriority_ff_n_5 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[49]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[12].NON_ZERO_INT.intpriority_ff_n_4 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[50]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.config_gw_inst_g49  (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[27]),
+	.A2(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[26]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.config_gw_inst_g51  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.config_gw_inst_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[13]),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[26]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.config_gw_inst_g52  (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.config_gw_inst_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.config_gw_inst_int_pend_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[3]),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ),
+	.Q(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.gw_config_ff_g56  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[27]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[13]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.gw_config_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.gw_config_ff_g57  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[26]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[13]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.gw_config_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.gw_config_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.gw_config_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intenable_ff_g30  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[13]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[13]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intenable_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intenable_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intenable_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intpriority_ff_g107  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[55]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[13]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intpriority_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intpriority_ff_g108  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[52]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[13]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intpriority_ff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intpriority_ff_g109  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[54]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[13]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intpriority_ff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intpriority_ff_g110  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[53]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[13]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intpriority_ff_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intpriority_ff_g111  (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[13]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intpriority_ff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intpriority_ff_n_6 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[52]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intpriority_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[55]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intpriority_ff_n_5 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[53]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[13].NON_ZERO_INT.intpriority_ff_n_4 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[54]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.config_gw_inst_g49  (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[29]),
+	.A2(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[28]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.config_gw_inst_g51  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.config_gw_inst_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[14]),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[28]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.config_gw_inst_g52  (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.config_gw_inst_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.config_gw_inst_int_pend_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[3]),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ),
+	.Q(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.gw_config_ff_g56  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[29]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[14]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.gw_config_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.gw_config_ff_g57  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[28]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[14]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.gw_config_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.gw_config_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.gw_config_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intenable_ff_g30  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[14]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[14]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intenable_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intenable_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intenable_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intpriority_ff_g107  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[59]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[14]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intpriority_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intpriority_ff_g108  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[56]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[14]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intpriority_ff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intpriority_ff_g109  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[58]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[14]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intpriority_ff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intpriority_ff_g110  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[57]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[14]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intpriority_ff_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intpriority_ff_g111  (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[14]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intpriority_ff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intpriority_ff_n_6 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[56]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intpriority_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[59]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intpriority_ff_n_5 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[57]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[14].NON_ZERO_INT.intpriority_ff_n_4 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[58]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.config_gw_inst_g49  (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[31]),
+	.A2(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[30]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.config_gw_inst_g51  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.config_gw_inst_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[15]),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[30]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.config_gw_inst_g52  (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.config_gw_inst_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.config_gw_inst_int_pend_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[3]),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ),
+	.Q(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.gw_config_ff_g56  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[31]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[15]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.gw_config_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.gw_config_ff_g57  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[30]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[15]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.gw_config_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.gw_config_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.gw_config_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intenable_ff_g30  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[15]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[15]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intenable_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intenable_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intenable_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intpriority_ff_g107  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[63]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[15]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intpriority_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intpriority_ff_g108  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[60]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[15]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intpriority_ff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intpriority_ff_g109  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[62]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[15]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intpriority_ff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intpriority_ff_g110  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[61]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[15]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intpriority_ff_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intpriority_ff_g111  (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[15]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intpriority_ff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intpriority_ff_n_6 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[60]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intpriority_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[63]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intpriority_ff_n_5 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[61]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[15].NON_ZERO_INT.intpriority_ff_n_4 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[62]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.config_gw_inst_g49  (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[33]),
+	.A2(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[32]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.config_gw_inst_g51  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.config_gw_inst_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[16]),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[32]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.config_gw_inst_g52  (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.config_gw_inst_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.config_gw_inst_int_pend_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[4]),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ),
+	.Q(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.gw_config_ff_g56  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[33]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[16]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.gw_config_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.gw_config_ff_g57  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[32]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[16]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.gw_config_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.gw_config_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[32]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.gw_config_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[33]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intenable_ff_g30  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[16]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[16]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intenable_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intenable_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intenable_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intpriority_ff_g107  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[67]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[16]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intpriority_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intpriority_ff_g108  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[64]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[16]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intpriority_ff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intpriority_ff_g109  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[66]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[16]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intpriority_ff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intpriority_ff_g110  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[65]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[16]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intpriority_ff_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intpriority_ff_g111  (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[16]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intpriority_ff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intpriority_ff_n_6 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[64]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intpriority_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[67]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intpriority_ff_n_5 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[65]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[16].NON_ZERO_INT.intpriority_ff_n_4 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[66]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.config_gw_inst_g49  (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[35]),
+	.A2(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[34]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.config_gw_inst_g51  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.config_gw_inst_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[17]),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[34]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.config_gw_inst_g52  (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.config_gw_inst_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.config_gw_inst_int_pend_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[4]),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ),
+	.Q(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.gw_config_ff_g56  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[35]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[17]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.gw_config_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.gw_config_ff_g57  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[34]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[17]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.gw_config_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.gw_config_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[34]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.gw_config_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[35]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intenable_ff_g30  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[17]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[17]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intenable_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intenable_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intenable_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intpriority_ff_g107  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[71]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[17]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intpriority_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intpriority_ff_g108  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[68]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[17]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intpriority_ff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intpriority_ff_g109  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[70]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[17]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intpriority_ff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intpriority_ff_g110  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[69]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[17]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intpriority_ff_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intpriority_ff_g111  (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[17]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intpriority_ff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intpriority_ff_n_6 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[68]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intpriority_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[71]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intpriority_ff_n_5 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[69]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[17].NON_ZERO_INT.intpriority_ff_n_4 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[70]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.config_gw_inst_g49  (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[37]),
+	.A2(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[36]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[18]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.config_gw_inst_g51  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.config_gw_inst_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[18]),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[36]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.config_gw_inst_g52  (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.config_gw_inst_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.config_gw_inst_int_pend_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[4]),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ),
+	.Q(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.gw_config_ff_g56  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[37]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[18]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.gw_config_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.gw_config_ff_g57  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[36]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[18]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.gw_config_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.gw_config_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[36]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.gw_config_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[37]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intenable_ff_g30  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[18]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[18]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intenable_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intenable_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intenable_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intpriority_ff_g107  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[75]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[18]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intpriority_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intpriority_ff_g108  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[72]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[18]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intpriority_ff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intpriority_ff_g109  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[74]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[18]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intpriority_ff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intpriority_ff_g110  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[73]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[18]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intpriority_ff_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intpriority_ff_g111  (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[18]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intpriority_ff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intpriority_ff_n_6 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[72]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intpriority_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[75]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intpriority_ff_n_5 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[73]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[18].NON_ZERO_INT.intpriority_ff_n_4 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[74]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.config_gw_inst_g49  (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[39]),
+	.A2(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[38]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.config_gw_inst_g51  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.config_gw_inst_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[19]),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[38]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.config_gw_inst_g52  (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.config_gw_inst_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.config_gw_inst_int_pend_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[4]),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ),
+	.Q(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.gw_config_ff_g56  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[39]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[19]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.gw_config_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.gw_config_ff_g57  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[38]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[19]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.gw_config_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.gw_config_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[38]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.gw_config_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[39]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intenable_ff_g30  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[19]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[19]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intenable_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intenable_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intenable_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intpriority_ff_g107  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[79]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[19]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intpriority_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intpriority_ff_g108  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[76]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[19]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intpriority_ff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intpriority_ff_g109  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[78]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[19]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intpriority_ff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intpriority_ff_g110  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[77]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[19]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intpriority_ff_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intpriority_ff_g111  (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[19]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intpriority_ff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intpriority_ff_n_6 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[76]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intpriority_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[79]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intpriority_ff_n_5 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[77]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[19].NON_ZERO_INT.intpriority_ff_n_4 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[78]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.config_gw_inst_g49  (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[41]),
+	.A2(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[40]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.config_gw_inst_g51  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.config_gw_inst_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[20]),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[40]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.config_gw_inst_g52  (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.config_gw_inst_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.config_gw_inst_int_pend_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[5]),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ),
+	.Q(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.gw_config_ff_g56  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[41]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[20]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.gw_config_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.gw_config_ff_g57  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[40]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[20]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.gw_config_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.gw_config_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[40]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.gw_config_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[41]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intenable_ff_g30  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[20]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[20]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intenable_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intenable_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intenable_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intpriority_ff_g107  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[83]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[20]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intpriority_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intpriority_ff_g108  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[80]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[20]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intpriority_ff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intpriority_ff_g109  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[82]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[20]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intpriority_ff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intpriority_ff_g110  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[81]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[20]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intpriority_ff_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intpriority_ff_g111  (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[20]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intpriority_ff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intpriority_ff_n_6 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[80]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intpriority_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[83]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intpriority_ff_n_5 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[81]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[20].NON_ZERO_INT.intpriority_ff_n_4 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[82]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.config_gw_inst_g49  (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[43]),
+	.A2(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[42]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.config_gw_inst_g51  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.config_gw_inst_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[21]),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[42]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.config_gw_inst_g52  (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.config_gw_inst_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.config_gw_inst_int_pend_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[5]),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ),
+	.Q(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.gw_config_ff_g56  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[43]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[21]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.gw_config_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.gw_config_ff_g57  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[42]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[21]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.gw_config_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.gw_config_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[42]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.gw_config_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[43]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intenable_ff_g30  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[21]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[21]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intenable_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intenable_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intenable_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intpriority_ff_g107  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[87]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[21]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intpriority_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intpriority_ff_g108  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[84]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[21]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intpriority_ff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intpriority_ff_g109  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[86]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[21]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intpriority_ff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intpriority_ff_g110  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[85]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[21]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intpriority_ff_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intpriority_ff_g111  (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[21]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intpriority_ff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intpriority_ff_n_6 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[84]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intpriority_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[87]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intpriority_ff_n_5 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[85]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[21].NON_ZERO_INT.intpriority_ff_n_4 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[86]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.config_gw_inst_g49  (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[45]),
+	.A2(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[44]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[22]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.config_gw_inst_g51  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.config_gw_inst_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[22]),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[44]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.config_gw_inst_g52  (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.config_gw_inst_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.config_gw_inst_int_pend_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[5]),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ),
+	.Q(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.gw_config_ff_g56  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[45]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[22]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.gw_config_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.gw_config_ff_g57  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[44]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[22]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.gw_config_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.gw_config_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[44]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.gw_config_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[45]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intenable_ff_g30  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[22]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[22]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intenable_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intenable_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intenable_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intpriority_ff_g107  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[91]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[22]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intpriority_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intpriority_ff_g108  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[88]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[22]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intpriority_ff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intpriority_ff_g109  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[90]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[22]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intpriority_ff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intpriority_ff_g110  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[89]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[22]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intpriority_ff_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intpriority_ff_g111  (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[22]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intpriority_ff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intpriority_ff_n_6 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[88]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intpriority_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[91]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intpriority_ff_n_5 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[89]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[22].NON_ZERO_INT.intpriority_ff_n_4 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[90]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.config_gw_inst_g49  (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[47]),
+	.A2(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[46]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[23]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.config_gw_inst_g51  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.config_gw_inst_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[23]),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[46]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.config_gw_inst_g52  (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.config_gw_inst_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.config_gw_inst_int_pend_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[5]),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ),
+	.Q(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.gw_config_ff_g56  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[47]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[23]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.gw_config_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.gw_config_ff_g57  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[46]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[23]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.gw_config_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.gw_config_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[46]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.gw_config_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[47]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intenable_ff_g30  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[23]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[23]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intenable_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intenable_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intenable_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intpriority_ff_g107  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[95]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[23]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intpriority_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intpriority_ff_g108  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[92]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[23]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intpriority_ff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intpriority_ff_g109  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[94]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[23]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intpriority_ff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intpriority_ff_g110  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[93]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[23]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intpriority_ff_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intpriority_ff_g111  (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[23]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intpriority_ff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intpriority_ff_n_6 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[92]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intpriority_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[95]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intpriority_ff_n_5 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[93]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[23].NON_ZERO_INT.intpriority_ff_n_4 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[94]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.config_gw_inst_g49  (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[49]),
+	.A2(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[48]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[24]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.config_gw_inst_g51  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.config_gw_inst_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[24]),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[48]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.config_gw_inst_g52  (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.config_gw_inst_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.config_gw_inst_int_pend_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[6]),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ),
+	.Q(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.gw_config_ff_g56  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[49]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[24]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.gw_config_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.gw_config_ff_g57  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[48]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[24]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.gw_config_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.gw_config_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[48]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.gw_config_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[49]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intenable_ff_g30  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[24]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[24]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intenable_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intenable_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intenable_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intpriority_ff_g107  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[99]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[24]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intpriority_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intpriority_ff_g108  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[96]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[24]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intpriority_ff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intpriority_ff_g109  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[98]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[24]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intpriority_ff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intpriority_ff_g110  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[97]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[24]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intpriority_ff_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intpriority_ff_g111  (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[24]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intpriority_ff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intpriority_ff_n_6 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[96]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intpriority_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[99]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intpriority_ff_n_5 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[97]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[24].NON_ZERO_INT.intpriority_ff_n_4 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[98]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.config_gw_inst_g49  (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[51]),
+	.A2(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[50]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.config_gw_inst_g51  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.config_gw_inst_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[25]),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[50]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.config_gw_inst_g52  (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.config_gw_inst_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.config_gw_inst_int_pend_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[6]),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ),
+	.Q(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.gw_config_ff_g56  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[51]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[25]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.gw_config_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.gw_config_ff_g57  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[50]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[25]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.gw_config_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.gw_config_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[50]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.gw_config_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[51]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intenable_ff_g30  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[25]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[25]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intenable_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intenable_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intenable_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intpriority_ff_g107  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[103]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[25]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intpriority_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intpriority_ff_g108  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[100]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[25]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intpriority_ff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intpriority_ff_g109  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[102]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[25]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intpriority_ff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intpriority_ff_g110  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[101]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[25]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intpriority_ff_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intpriority_ff_g111  (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[25]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intpriority_ff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intpriority_ff_n_6 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[100]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intpriority_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[103]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intpriority_ff_n_5 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[101]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[25].NON_ZERO_INT.intpriority_ff_n_4 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[102]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.config_gw_inst_g49  (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[53]),
+	.A2(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[52]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[26]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.config_gw_inst_g51  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.config_gw_inst_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[26]),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[52]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.config_gw_inst_g52  (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.config_gw_inst_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.config_gw_inst_int_pend_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[6]),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ),
+	.Q(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.gw_config_ff_g56  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[53]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[26]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.gw_config_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.gw_config_ff_g57  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[52]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[26]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.gw_config_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.gw_config_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[52]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.gw_config_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[53]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intenable_ff_g30  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[26]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[26]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intenable_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intenable_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intenable_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intpriority_ff_g107  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[107]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[26]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intpriority_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intpriority_ff_g108  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[104]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[26]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intpriority_ff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intpriority_ff_g109  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[106]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[26]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intpriority_ff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intpriority_ff_g110  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[105]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[26]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intpriority_ff_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intpriority_ff_g111  (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[26]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intpriority_ff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intpriority_ff_n_6 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[104]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intpriority_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[107]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intpriority_ff_n_5 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[105]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[26].NON_ZERO_INT.intpriority_ff_n_4 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[106]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.config_gw_inst_g49  (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[55]),
+	.A2(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[54]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.config_gw_inst_g51  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.config_gw_inst_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[27]),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[54]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.config_gw_inst_g52  (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.config_gw_inst_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.config_gw_inst_int_pend_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[6]),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ),
+	.Q(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.gw_config_ff_g56  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[55]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[27]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.gw_config_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.gw_config_ff_g57  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[54]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[27]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.gw_config_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.gw_config_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[54]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.gw_config_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[55]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intenable_ff_g30  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[27]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[27]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intenable_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intenable_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intenable_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intpriority_ff_g107  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[111]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[27]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intpriority_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intpriority_ff_g108  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[108]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[27]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intpriority_ff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intpriority_ff_g109  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[110]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[27]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intpriority_ff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intpriority_ff_g110  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[109]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[27]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intpriority_ff_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intpriority_ff_g111  (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[27]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intpriority_ff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intpriority_ff_n_6 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[108]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intpriority_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[111]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intpriority_ff_n_5 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[109]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[27].NON_ZERO_INT.intpriority_ff_n_4 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[110]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.config_gw_inst_g49  (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[57]),
+	.A2(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[56]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.config_gw_inst_g51  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.config_gw_inst_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[28]),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[56]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.config_gw_inst_g52  (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.config_gw_inst_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.config_gw_inst_int_pend_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[7]),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ),
+	.Q(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.gw_config_ff_g56  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[57]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[28]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.gw_config_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.gw_config_ff_g57  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[56]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[28]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.gw_config_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.gw_config_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[56]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.gw_config_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[57]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intenable_ff_g30  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[28]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[28]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intenable_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intenable_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intenable_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intpriority_ff_g107  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[115]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[28]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intpriority_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intpriority_ff_g108  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[112]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[28]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intpriority_ff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intpriority_ff_g109  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[114]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[28]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intpriority_ff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intpriority_ff_g110  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[113]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[28]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intpriority_ff_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intpriority_ff_g111  (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[28]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intpriority_ff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intpriority_ff_n_6 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[112]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intpriority_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[115]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intpriority_ff_n_5 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[113]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[28].NON_ZERO_INT.intpriority_ff_n_4 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[114]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.config_gw_inst_g49  (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[59]),
+	.A2(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[58]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.config_gw_inst_g51  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.config_gw_inst_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[29]),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[58]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.config_gw_inst_g52  (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.config_gw_inst_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.config_gw_inst_int_pend_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[7]),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ),
+	.Q(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.gw_config_ff_g56  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[59]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[29]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.gw_config_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.gw_config_ff_g57  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[58]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[29]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.gw_config_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.gw_config_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[58]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.gw_config_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[59]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intenable_ff_g30  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[29]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[29]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intenable_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intenable_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intenable_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intpriority_ff_g107  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[119]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[29]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intpriority_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intpriority_ff_g108  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[116]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[29]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intpriority_ff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intpriority_ff_g109  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[118]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[29]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intpriority_ff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intpriority_ff_g110  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[117]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[29]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intpriority_ff_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intpriority_ff_g111  (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[29]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intpriority_ff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intpriority_ff_n_6 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[116]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intpriority_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[119]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intpriority_ff_n_5 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[117]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[29].NON_ZERO_INT.intpriority_ff_n_4 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[118]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.config_gw_inst_g49  (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[61]),
+	.A2(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[60]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[30]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.config_gw_inst_g51  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.config_gw_inst_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[30]),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[60]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.config_gw_inst_g52  (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.config_gw_inst_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.config_gw_inst_int_pend_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[7]),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ),
+	.Q(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.gw_config_ff_g56  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[61]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[30]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.gw_config_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.gw_config_ff_g57  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[60]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[30]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.gw_config_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.gw_config_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[60]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.gw_config_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[61]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intenable_ff_g30  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[30]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[30]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intenable_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intenable_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intenable_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intpriority_ff_g107  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[123]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[30]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intpriority_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intpriority_ff_g108  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[120]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[30]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intpriority_ff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intpriority_ff_g109  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[122]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[30]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intpriority_ff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intpriority_ff_g110  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[121]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[30]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intpriority_ff_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intpriority_ff_g111  (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[30]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intpriority_ff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intpriority_ff_n_6 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[120]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intpriority_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[123]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intpriority_ff_n_5 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[121]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[30].NON_ZERO_INT.intpriority_ff_n_4 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[122]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.config_gw_inst_g49  (
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[63]),
+	.A2(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[62]),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_extintsrc_req_gw[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.config_gw_inst_g51  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.config_gw_inst_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_gw_clear_reg_we[31]),
+	.B1_N(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[62]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.config_gw_inst_g52  (
+	.A(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.config_gw_inst_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.config_gw_inst_int_pend_ff_genblk1.dff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_clk[7]),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.config_gw_inst_gw_int_pending_in ),
+	.Q(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.config_gw_inst_gw_int_pending ),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.gw_config_ff_g56  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[63]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[31]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.gw_config_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.gw_config_ff_g57  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[62]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg_we[31]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.gw_config_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.gw_config_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[62]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.gw_config_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.gw_config_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_gw_config_reg[63]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intenable_ff_g30  (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[31]),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg_we[31]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intenable_ff_n_2 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intenable_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intenable_ff_n_2 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intenable_reg[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intpriority_ff_g107  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[127]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[31]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intpriority_ff_n_3 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intpriority_ff_g108  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[124]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[31]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intpriority_ff_n_6 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intpriority_ff_g109  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[126]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[31]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intpriority_ff_n_4 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intpriority_ff_g110  (
+	.A1(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intpriority_ff_n_0 ),
+	.A2(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[125]),
+	.B1(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[31]),
+	.B2(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.X(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intpriority_ff_n_5 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intpriority_ff_g111  (
+	.A(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg_we[31]),
+	.Y(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intpriority_ff_n_0 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intpriority_ff_n_6 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[124]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intpriority_ff_n_3 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[127]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intpriority_ff_n_5 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[125]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intpriority_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_SETREG[31].NON_ZERO_INT.intpriority_ff_n_4 ),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_intpriority_reg[126]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_claimid_ff_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_free_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_genblock.level_intpend_id [1364]),
+	.Q(brqrv_top_brqrv_pic_claimid[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_claimid_ff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_free_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_genblock.level_intpend_id [1362]),
+	.Q(brqrv_top_brqrv_pic_claimid[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_claimid_ff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_free_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_genblock.level_intpend_id [1361]),
+	.Q(brqrv_top_brqrv_pic_claimid[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_claimid_ff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_free_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_genblock.level_intpend_id [1363]),
+	.Q(brqrv_top_brqrv_pic_claimid[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_claimid_ff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_free_clk),
+	.D(\brqrv_top_brqrv_pic_ctrl_inst_genblock.level_intpend_id [1360]),
+	.Q(brqrv_top_brqrv_pic_claimid[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__mux2_1 brqrv_top_brqrv_pic_ctrl_inst_config_reg_ff_g30 (
+	.A0(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.A1(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.S(brqrv_top_brqrv_pic_ctrl_inst_config_reg_we),
+	.X(brqrv_top_brqrv_pic_ctrl_inst_config_reg_ff_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_config_reg_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_free_clk),
+	.D(brqrv_top_brqrv_pic_ctrl_inst_config_reg_ff_n_2),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_config_reg),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_cgc_clkhdr (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clken),
+	.GCLK(brqrv_top_brqrv_pic_ctrl_inst_gw_config_c1_clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_mexintpend_ff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_free_clk),
+	.D(brqrv_top_brqrv_pic_ctrl_inst_mexintpend_in),
+	.Q(brqrv_top_brqrv_mexintpend),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_pic_ctrl_inst_pic_addr_c1_cgc_clkhdr (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_pic_ctrl_inst_pic_raddr_c1_clken),
+	.GCLK(brqrv_top_brqrv_pic_ctrl_inst_pic_raddr_c1_clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_cgc_clkhdr (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clken),
+	.GCLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_cgc_clkhdr (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clken),
+	.GCLK(brqrv_top_brqrv_pic_ctrl_inst_pic_int_c1_clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_cgc_clkhdr (
+	.CLK(brqrv_top_brqrv_n_7),
+	.GATE(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clken),
+	.GCLK(brqrv_top_brqrv_pic_ctrl_inst_pic_pri_c1_clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wr_data[12]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wr_data[1]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wr_data[2]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wr_data[3]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wr_data[4]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[27]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wr_data[27]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[27]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wr_data[5]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wr_data[6]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wr_data[7]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[23]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wr_data[23]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[23]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wr_data[8]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wr_data[9]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wr_data[10]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[19]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wr_data[19]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[19]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wr_data[11]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_2 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wr_data[0]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[31]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wr_data[31]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wr_data[14]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[15]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wr_data[15]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[15]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[16]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wr_data[16]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[16]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[17]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wr_data[17]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[17]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wr_data[18]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[18]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[20]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wr_data[20]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[20]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[21]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wr_data[21]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[21]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[22]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wr_data[22]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[22]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[24]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wr_data[24]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[24]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[25]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wr_data[25]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[25]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[26]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wr_data[26]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[26]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[28]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wr_data[28]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[28]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[29]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wr_data[29]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[29]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[30]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wr_data[30]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_dat_flop_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wr_data[13]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wr_data_ff[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_mke_flop_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_free_clk),
+	.D(brqrv_top_brqrv_picm_mken),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_mken_ff),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_radd_flop_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_raddr_c1_clk),
+	.D(brqrv_top_brqrv_pic_ctrl_inst_picm_radd_flop_logic_1_1_net),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[31]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_radd_flop_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_raddr_c1_clk),
+	.D(brqrv_top_dccm_rd_addr_lo[9]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_radd_flop_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_raddr_c1_clk),
+	.D(brqrv_top_brqrv_picm_rdaddr[1]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_radd_flop_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_raddr_c1_clk),
+	.D(brqrv_top_brqrv_picm_rdaddr[13]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_radd_flop_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_raddr_c1_clk),
+	.D(brqrv_top_dccm_rd_addr_lo[2]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_radd_flop_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_raddr_c1_clk),
+	.D(brqrv_top_dccm_rd_addr_lo[3]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_radd_flop_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_raddr_c1_clk),
+	.D(brqrv_top_dccm_rd_addr_lo[4]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_radd_flop_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_raddr_c1_clk),
+	.D(brqrv_top_brqrv_picm_rdaddr[0]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_radd_flop_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_raddr_c1_clk),
+	.D(brqrv_top_dccm_rd_addr_lo[10]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_radd_flop_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_raddr_c1_clk),
+	.D(brqrv_top_dccm_rd_addr_lo[6]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_radd_flop_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_raddr_c1_clk),
+	.D(brqrv_top_dccm_rd_addr_lo[7]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_radd_flop_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_raddr_c1_clk),
+	.D(brqrv_top_dccm_rd_addr_lo[8]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_radd_flop_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_raddr_c1_clk),
+	.D(brqrv_top_dccm_rd_addr_lo[5]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_radd_flop_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_raddr_c1_clk),
+	.D(brqrv_top_dccm_rd_addr_lo[11]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_radd_flop_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_raddr_c1_clk),
+	.D(brqrv_top_brqrv_picm_rdaddr[12]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_radd_flop_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_raddr_c1_clk),
+	.D(brqrv_top_brqrv_picm_rdaddr[14]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_raddr_ff[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 brqrv_top_brqrv_pic_ctrl_inst_picm_radd_flop_tie_1_cell (
+	.HI(brqrv_top_brqrv_pic_ctrl_inst_picm_radd_flop_logic_1_1_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_rde_flop_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_free_clk),
+	.D(brqrv_top_brqrv_picm_rden),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_rden_ff),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_wadd_flop_dout_reg[18]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_pic_ctrl_inst_picm_wadd_flop_logic_1_1_net),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[30]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_wadd_flop_dout_reg[9]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wraddr[9]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[9]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_wadd_flop_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wraddr[1]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_wadd_flop_dout_reg[13]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wraddr[13]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[13]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_wadd_flop_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wraddr[2]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_wadd_flop_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wraddr[3]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_wadd_flop_dout_reg[4]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wraddr[4]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[4]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_wadd_flop_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wraddr[0]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_wadd_flop_dout_reg[10]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wraddr[10]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[10]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_wadd_flop_dout_reg[6]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wraddr[6]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[6]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_wadd_flop_dout_reg[7]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wraddr[7]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[7]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_wadd_flop_dout_reg[8]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wraddr[8]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[8]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_wadd_flop_dout_reg[5]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wraddr[5]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[5]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_wadd_flop_dout_reg[11]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wraddr[11]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[11]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_wadd_flop_dout_reg[12]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wraddr[12]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[12]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_wadd_flop_dout_reg[14]  (
+	.CLK(brqrv_top_brqrv_pic_ctrl_inst_pic_data_c1_clk),
+	.D(brqrv_top_brqrv_picm_wraddr[14]),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_waddr_ff[14]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 brqrv_top_brqrv_pic_ctrl_inst_picm_wadd_flop_tie_1_cell (
+	.HI(brqrv_top_brqrv_pic_ctrl_inst_picm_wadd_flop_logic_1_1_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_picm_wre_flop_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_free_clk),
+	.D(brqrv_top_brqrv_picm_wren),
+	.Q(brqrv_top_brqrv_pic_ctrl_inst_picm_wren_ff),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_pl_ff_dout_reg[3]  (
+	.CLK(brqrv_top_brqrv_free_clk),
+	.D(brqrv_top_brqrv_pic_ctrl_inst_pl_in_q[3]),
+	.Q(brqrv_top_brqrv_pic_pl[3]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_pl_ff_dout_reg[2]  (
+	.CLK(brqrv_top_brqrv_free_clk),
+	.D(brqrv_top_brqrv_pic_ctrl_inst_pl_in_q[2]),
+	.Q(brqrv_top_brqrv_pic_pl[2]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_pl_ff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_free_clk),
+	.D(brqrv_top_brqrv_pic_ctrl_inst_pl_in_q[0]),
+	.Q(brqrv_top_brqrv_pic_pl[0]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_pl_ff_dout_reg[1]  (
+	.CLK(brqrv_top_brqrv_free_clk),
+	.D(brqrv_top_brqrv_pic_ctrl_inst_pl_in_q[1]),
+	.Q(brqrv_top_brqrv_pic_pl[1]),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_brqrv_pic_ctrl_inst_wake_up_ff_dout_reg[0]  (
+	.CLK(brqrv_top_brqrv_free_clk),
+	.D(brqrv_top_brqrv_pic_ctrl_inst_mhwakeup_in),
+	.Q(brqrv_top_brqrv_mhwakeup),
+	.RESET_B(brqrv_top_brqrv_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 brqrv_top_dmi_wrapper_i_dmi_jtag_to_core_sync_g57 (
+	.A1(brqrv_top_dmi_wrapper_i_dmi_jtag_to_core_sync_rden[2]),
+	.A2(brqrv_top_dmi_wrapper_i_dmi_jtag_to_core_sync_rden[1]),
+	.B1(brqrv_top_dmi_reg_wr_en),
+	.X(brqrv_top_dmi_reg_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_dmi_wrapper_i_dmi_jtag_to_core_sync_g58 (
+	.A(brqrv_top_dmi_wrapper_i_dmi_jtag_to_core_sync_wren[2]),
+	.B_N(brqrv_top_dmi_wrapper_i_dmi_jtag_to_core_sync_wren[1]),
+	.Y(brqrv_top_dmi_reg_wr_en), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_dmi_jtag_to_core_sync_wren_reg[2]  (
+	.CLK(clk),
+	.D(brqrv_top_dmi_wrapper_i_dmi_jtag_to_core_sync_wren[1]),
+	.Q(brqrv_top_dmi_wrapper_i_dmi_jtag_to_core_sync_wren[2]),
+	.RESET_B(n_35010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \brqrv_top_dmi_wrapper_i_dmi_jtag_to_core_sync_rden_reg[2]  (
+	.CLK(clk),
+	.D(brqrv_top_dmi_wrapper_i_dmi_jtag_to_core_sync_rden[1]),
+	.Q_N(brqrv_top_dmi_wrapper_i_dmi_jtag_to_core_sync_rden[2]),
+	.RESET_B(n_35010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_dmi_jtag_to_core_sync_wren_reg[1]  (
+	.CLK(clk),
+	.D(brqrv_top_dmi_wrapper_i_dmi_jtag_to_core_sync_wren[0]),
+	.Q(brqrv_top_dmi_wrapper_i_dmi_jtag_to_core_sync_wren[1]),
+	.RESET_B(n_35010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_dmi_jtag_to_core_sync_wren_reg[0]  (
+	.CLK(clk),
+	.D(brqrv_top_dmi_wrapper_wr_en),
+	.Q(brqrv_top_dmi_wrapper_i_dmi_jtag_to_core_sync_wren[0]),
+	.RESET_B(n_35010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_dmi_jtag_to_core_sync_rden_reg[1]  (
+	.CLK(clk),
+	.D(brqrv_top_dmi_wrapper_i_dmi_jtag_to_core_sync_rden[0]),
+	.Q(brqrv_top_dmi_wrapper_i_dmi_jtag_to_core_sync_rden[1]),
+	.RESET_B(n_35010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_dmi_jtag_to_core_sync_rden_reg[0]  (
+	.CLK(clk),
+	.D(brqrv_top_dmi_wrapper_rd_en),
+	.Q(brqrv_top_dmi_wrapper_i_dmi_jtag_to_core_sync_rden[0]),
+	.RESET_B(n_35010), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[1]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_n_138),
+	.Q(brqrv_top_dmi_wrapper_wr_en),
+	.RESET_B(io_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[0]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_n_139),
+	.Q(brqrv_top_dmi_wrapper_rd_en),
+	.RESET_B(io_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_dmi_wrapper_i_jtag_tap_g3379 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_182),
+	.B_N(brqrv_top_dmi_wrapper_i_jtag_tap_sr[0]),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_dmi_wrapper_i_jtag_tap_g3380 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_182),
+	.B_N(brqrv_top_dmi_wrapper_i_jtag_tap_sr[1]),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 brqrv_top_dmi_wrapper_i_jtag_tap_g3381 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_141),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_n_146),
+	.X(brqrv_top_dmi_wrapper_i_jtag_tap_n_182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_dmi_wrapper_i_jtag_tap_g3382 (
+	.A_N(brqrv_top_dmi_wrapper_i_jtag_tap_n_142),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_ir[0]),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_dmi_wrapper_i_jtag_tap_g3383 (
+	.A_N(brqrv_top_dmi_wrapper_i_jtag_tap_n_145),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_ir[4]),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 brqrv_top_dmi_wrapper_i_jtag_tap_g3384 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_143),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_n_144),
+	.X(brqrv_top_dmi_wrapper_i_jtag_tap_n_141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 brqrv_top_dmi_wrapper_i_jtag_tap_g3385 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_ir[1]),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_ir[2]),
+	.C(brqrv_top_dmi_wrapper_i_jtag_tap_ir[3]),
+	.X(brqrv_top_dmi_wrapper_i_jtag_tap_n_145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 brqrv_top_dmi_wrapper_i_jtag_tap_tdo_reg (
+	.CLK_N(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[0]),
+	.Q(io_out[4]),
+	.RESET_B(brqrv_top_dmi_wrapper_i_jtag_tap_logic_1_2_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 brqrv_top_dmi_wrapper_i_jtag_tap_g3387 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_state[0]),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_state[1]),
+	.X(brqrv_top_dmi_wrapper_i_jtag_tap_n_144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_dmi_wrapper_i_jtag_tap_g3388 (
+	.A_N(brqrv_top_dmi_wrapper_i_jtag_tap_state[2]),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_state[3]),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[2]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[2]),
+	.Q(brqrv_top_dmi_reg_wdata[0]),
+	.RESET_B(io_in[2]),
+	.SCD(brqrv_top_dmi_reg_wdata[0]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[3]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[3]),
+	.Q(brqrv_top_dmi_reg_wdata[1]),
+	.RESET_B(io_in[2]),
+	.SCD(brqrv_top_dmi_reg_wdata[1]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[4]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[4]),
+	.Q(brqrv_top_dmi_reg_wdata[2]),
+	.RESET_B(io_in[2]),
+	.SCD(brqrv_top_dmi_reg_wdata[2]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[5]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[5]),
+	.Q(brqrv_top_dmi_reg_wdata[3]),
+	.RESET_B(io_in[2]),
+	.SCD(brqrv_top_dmi_reg_wdata[3]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[6]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[6]),
+	.Q(brqrv_top_dmi_reg_wdata[4]),
+	.RESET_B(io_in[2]),
+	.SCD(brqrv_top_dmi_reg_wdata[4]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[7]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[7]),
+	.Q(brqrv_top_dmi_reg_wdata[5]),
+	.RESET_B(io_in[2]),
+	.SCD(brqrv_top_dmi_reg_wdata[5]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[8]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[8]),
+	.Q(brqrv_top_dmi_reg_wdata[6]),
+	.RESET_B(io_in[2]),
+	.SCD(brqrv_top_dmi_reg_wdata[6]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[9]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[9]),
+	.Q(brqrv_top_dmi_reg_wdata[7]),
+	.RESET_B(io_in[2]),
+	.SCD(brqrv_top_dmi_reg_wdata[7]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[10]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[10]),
+	.Q(brqrv_top_dmi_reg_wdata[8]),
+	.RESET_B(io_in[2]),
+	.SCD(brqrv_top_dmi_reg_wdata[8]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[11]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[11]),
+	.Q(brqrv_top_dmi_reg_wdata[9]),
+	.RESET_B(io_in[2]),
+	.SCD(brqrv_top_dmi_reg_wdata[9]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[12]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[12]),
+	.Q(brqrv_top_dmi_reg_wdata[10]),
+	.RESET_B(io_in[2]),
+	.SCD(brqrv_top_dmi_reg_wdata[10]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[13]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[13]),
+	.Q(brqrv_top_dmi_reg_wdata[11]),
+	.RESET_B(io_in[2]),
+	.SCD(brqrv_top_dmi_reg_wdata[11]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[14]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[14]),
+	.Q(brqrv_top_dmi_reg_wdata[12]),
+	.RESET_B(io_in[2]),
+	.SCD(brqrv_top_dmi_reg_wdata[12]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[15]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[15]),
+	.Q(brqrv_top_dmi_reg_wdata[13]),
+	.RESET_B(io_in[2]),
+	.SCD(brqrv_top_dmi_reg_wdata[13]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[16]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[16]),
+	.Q(brqrv_top_dmi_reg_wdata[14]),
+	.RESET_B(io_in[2]),
+	.SCD(brqrv_top_dmi_reg_wdata[14]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[17]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[17]),
+	.Q(brqrv_top_dmi_reg_wdata[15]),
+	.RESET_B(io_in[2]),
+	.SCD(brqrv_top_dmi_reg_wdata[15]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[18]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[18]),
+	.Q(brqrv_top_dmi_reg_wdata[16]),
+	.RESET_B(io_in[2]),
+	.SCD(brqrv_top_dmi_reg_wdata[16]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[19]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[19]),
+	.Q(brqrv_top_dmi_reg_wdata[17]),
+	.RESET_B(io_in[2]),
+	.SCD(brqrv_top_dmi_reg_wdata[17]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[20]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[20]),
+	.Q(brqrv_top_dmi_reg_wdata[18]),
+	.RESET_B(io_in[2]),
+	.SCD(brqrv_top_dmi_reg_wdata[18]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[21]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[21]),
+	.Q(brqrv_top_dmi_reg_wdata[19]),
+	.RESET_B(io_in[2]),
+	.SCD(brqrv_top_dmi_reg_wdata[19]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[22]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[22]),
+	.Q(brqrv_top_dmi_reg_wdata[20]),
+	.RESET_B(io_in[2]),
+	.SCD(brqrv_top_dmi_reg_wdata[20]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[23]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[23]),
+	.Q(brqrv_top_dmi_reg_wdata[21]),
+	.RESET_B(io_in[2]),
+	.SCD(brqrv_top_dmi_reg_wdata[21]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[24]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[24]),
+	.Q(brqrv_top_dmi_reg_wdata[22]),
+	.RESET_B(io_in[2]),
+	.SCD(brqrv_top_dmi_reg_wdata[22]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[25]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[25]),
+	.Q(brqrv_top_dmi_reg_wdata[23]),
+	.RESET_B(io_in[2]),
+	.SCD(brqrv_top_dmi_reg_wdata[23]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[26]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[26]),
+	.Q(brqrv_top_dmi_reg_wdata[24]),
+	.RESET_B(io_in[2]),
+	.SCD(brqrv_top_dmi_reg_wdata[24]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[27]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[27]),
+	.Q(brqrv_top_dmi_reg_wdata[25]),
+	.RESET_B(io_in[2]),
+	.SCD(brqrv_top_dmi_reg_wdata[25]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[28]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[28]),
+	.Q(brqrv_top_dmi_reg_wdata[26]),
+	.RESET_B(io_in[2]),
+	.SCD(brqrv_top_dmi_reg_wdata[26]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[29]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[29]),
+	.Q(brqrv_top_dmi_reg_wdata[27]),
+	.RESET_B(io_in[2]),
+	.SCD(brqrv_top_dmi_reg_wdata[27]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[30]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[30]),
+	.Q(brqrv_top_dmi_reg_wdata[28]),
+	.RESET_B(io_in[2]),
+	.SCD(brqrv_top_dmi_reg_wdata[28]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[31]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[31]),
+	.Q(brqrv_top_dmi_reg_wdata[29]),
+	.RESET_B(io_in[2]),
+	.SCD(brqrv_top_dmi_reg_wdata[29]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[32]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[32]),
+	.Q(brqrv_top_dmi_reg_wdata[30]),
+	.RESET_B(io_in[2]),
+	.SCD(brqrv_top_dmi_reg_wdata[30]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[33]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[33]),
+	.Q(brqrv_top_dmi_reg_wdata[31]),
+	.RESET_B(io_in[2]),
+	.SCD(brqrv_top_dmi_reg_wdata[31]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[34]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[34]),
+	.Q(brqrv_top_dmi_reg_addr[0]),
+	.RESET_B(io_in[2]),
+	.SCD(brqrv_top_dmi_reg_addr[0]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[35]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[35]),
+	.Q(brqrv_top_dmi_reg_addr[1]),
+	.RESET_B(io_in[2]),
+	.SCD(brqrv_top_dmi_reg_addr[1]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[36]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[36]),
+	.Q(brqrv_top_dmi_reg_addr[2]),
+	.RESET_B(io_in[2]),
+	.SCD(brqrv_top_dmi_reg_addr[2]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[37]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[37]),
+	.Q(brqrv_top_dmi_reg_addr[3]),
+	.RESET_B(io_in[2]),
+	.SCD(brqrv_top_dmi_reg_addr[3]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[38]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[38]),
+	.Q(brqrv_top_dmi_reg_addr[4]),
+	.RESET_B(io_in[2]),
+	.SCD(brqrv_top_dmi_reg_addr[4]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[39]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[39]),
+	.Q(brqrv_top_dmi_reg_addr[5]),
+	.RESET_B(io_in[2]),
+	.SCD(brqrv_top_dmi_reg_addr[5]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_dr_reg[40]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[40]),
+	.Q(brqrv_top_dmi_reg_addr[6]),
+	.RESET_B(io_in[2]),
+	.SCD(brqrv_top_dmi_reg_addr[6]),
+	.SCE(brqrv_top_dmi_wrapper_i_jtag_tap_n_182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfbbn_1 \brqrv_top_dmi_wrapper_i_jtag_tap_ir_reg[0]  (
+	.CLK_N(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_n_69),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_ir[0]),
+	.RESET_B(brqrv_top_dmi_wrapper_i_jtag_tap_logic_1_1_net),
+	.SET_B(io_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \brqrv_top_dmi_wrapper_i_jtag_tap_ir_reg[1]  (
+	.CLK_N(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_n_65),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_ir[1]),
+	.RESET_B(io_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \brqrv_top_dmi_wrapper_i_jtag_tap_ir_reg[2]  (
+	.CLK_N(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_n_64),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_ir[2]),
+	.RESET_B(io_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \brqrv_top_dmi_wrapper_i_jtag_tap_ir_reg[3]  (
+	.CLK_N(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_n_63),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_ir[3]),
+	.RESET_B(io_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtn_1 \brqrv_top_dmi_wrapper_i_jtag_tap_ir_reg[4]  (
+	.CLK_N(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_n_62),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_ir[4]),
+	.RESET_B(io_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[0]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_n_136),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[0]),
+	.RESET_B(io_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[1]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_n_126),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[1]),
+	.RESET_B(io_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[2]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_n_134),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[2]),
+	.RESET_B(io_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[3]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_n_135),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[3]),
+	.RESET_B(io_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[4]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_n_127),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[4]),
+	.RESET_B(io_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[5]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_n_129),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[5]),
+	.RESET_B(io_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[6]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_n_131),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[6]),
+	.RESET_B(io_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[7]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_n_130),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[7]),
+	.RESET_B(io_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[8]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_n_112),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[8]),
+	.RESET_B(io_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[9]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_n_111),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[9]),
+	.RESET_B(io_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[10]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_n_110),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[10]),
+	.RESET_B(io_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[11]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_n_109),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[11]),
+	.RESET_B(io_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[12]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_n_108),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[12]),
+	.RESET_B(io_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[13]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_n_107),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[13]),
+	.RESET_B(io_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[14]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_n_106),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[14]),
+	.RESET_B(io_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[15]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_n_105),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[15]),
+	.RESET_B(io_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[16]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_n_104),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[16]),
+	.RESET_B(io_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[17]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_n_103),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[17]),
+	.RESET_B(io_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[18]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_n_102),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[18]),
+	.RESET_B(io_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[19]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_n_101),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[19]),
+	.RESET_B(io_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[20]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_n_100),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[20]),
+	.RESET_B(io_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[21]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_n_99),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[21]),
+	.RESET_B(io_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[22]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_n_98),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[22]),
+	.RESET_B(io_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[23]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_n_97),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[23]),
+	.RESET_B(io_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[24]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_n_96),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[24]),
+	.RESET_B(io_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[25]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_n_95),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[25]),
+	.RESET_B(io_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[26]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_n_121),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[26]),
+	.RESET_B(io_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[27]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_n_122),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[27]),
+	.RESET_B(io_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[28]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_n_132),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[28]),
+	.RESET_B(io_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[29]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_n_124),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[29]),
+	.RESET_B(io_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[30]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_n_123),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[30]),
+	.RESET_B(io_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[31]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_n_114),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[31]),
+	.RESET_B(io_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[32]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_n_71),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[32]),
+	.RESET_B(io_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[33]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_n_70),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[33]),
+	.RESET_B(io_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[34]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_n_53),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[34]),
+	.RESET_B(io_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[35]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_n_60),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[35]),
+	.RESET_B(io_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[36]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_n_59),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[36]),
+	.RESET_B(io_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[37]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_n_58),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[37]),
+	.RESET_B(io_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[38]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_n_57),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[38]),
+	.RESET_B(io_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[39]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_n_56),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[39]),
+	.RESET_B(io_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_sr_reg[40]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_n_55),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_sr[40]),
+	.RESET_B(io_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_state_reg[2]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_n_50),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_state[2]),
+	.RESET_B(io_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_state_reg[3]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_n_116),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_state[3]),
+	.RESET_B(io_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6173 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_state[0]),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_n_10),
+	.B1(brqrv_top_dmi_wrapper_i_jtag_tap_n_31),
+	.C1(brqrv_top_dmi_wrapper_i_jtag_tap_n_35),
+	.D1(brqrv_top_dmi_wrapper_i_jtag_tap_n_120),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6174 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_73),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_n_47),
+	.C(brqrv_top_dmi_wrapper_i_jtag_tap_n_29),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_n_30),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6179 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_125),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_n_29),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6200 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_133),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6201 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_sr[3]),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_n_52),
+	.B1(brqrv_top_dmi_wrapper_i_jtag_tap_n_25),
+	.B2(brqrv_top_dmi_reg_rdata[0]),
+	.C1(brqrv_top_dmi_wrapper_i_jtag_tap_n_42),
+	.C2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[2]),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6202 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_118),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_n_29),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6203 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_93),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_n_30),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6204 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_92),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_n_29),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6205 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_72),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_n_30),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6206 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_n_2),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_n_4),
+	.B1(brqrv_top_dmi_wrapper_i_jtag_tap_n_17),
+	.C1(brqrv_top_dmi_wrapper_i_jtag_tap_n_51),
+	.D1(brqrv_top_dmi_wrapper_i_jtag_tap_n_68),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6207 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_61),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_n_54),
+	.C(brqrv_top_dmi_wrapper_i_jtag_tap_n_30),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6208 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_94),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_n_29),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6209 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_sr[4]),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_n_52),
+	.B1(brqrv_top_dmi_wrapper_i_jtag_tap_n_25),
+	.B2(brqrv_top_dmi_reg_rdata[1]),
+	.C1(brqrv_top_dmi_wrapper_i_jtag_tap_n_42),
+	.C2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[3]),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6218 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_119),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6219 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_117),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6220 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_115),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6221 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_113),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6222 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_n_8),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_n_22),
+	.A3(brqrv_top_dmi_wrapper_i_jtag_tap_n_44),
+	.B1(brqrv_top_dmi_wrapper_i_jtag_tap_n_1),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6223 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_sr[30]),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_n_46),
+	.B1(brqrv_top_dmi_wrapper_i_jtag_tap_n_25),
+	.B2(brqrv_top_dmi_reg_rdata[27]),
+	.C1(brqrv_top_dmi_wrapper_i_jtag_tap_n_42),
+	.C2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[29]),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_119), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6224 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_sr[29]),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_n_46),
+	.B1(brqrv_top_dmi_wrapper_i_jtag_tap_n_25),
+	.B2(brqrv_top_dmi_reg_rdata[26]),
+	.C1(brqrv_top_dmi_wrapper_i_jtag_tap_n_42),
+	.C2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[28]),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6225 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_sr[31]),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_n_46),
+	.B1(brqrv_top_dmi_wrapper_i_jtag_tap_n_25),
+	.B2(brqrv_top_dmi_reg_rdata[28]),
+	.C1(brqrv_top_dmi_wrapper_i_jtag_tap_n_42),
+	.C2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[30]),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6226 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_49),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_n_7),
+	.C(brqrv_top_dmi_wrapper_i_jtag_tap_n_17),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6227 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_sr[28]),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_n_46),
+	.B1(brqrv_top_dmi_wrapper_i_jtag_tap_n_25),
+	.B2(brqrv_top_dmi_reg_rdata[25]),
+	.C1(brqrv_top_dmi_wrapper_i_jtag_tap_n_42),
+	.C2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[27]),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6228 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_n_42),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[31]),
+	.B1(brqrv_top_dmi_wrapper_i_jtag_tap_n_25),
+	.B2(brqrv_top_dmi_reg_rdata[29]),
+	.C1(brqrv_top_dmi_wrapper_i_jtag_tap_n_48),
+	.X(brqrv_top_dmi_wrapper_i_jtag_tap_n_114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6229 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_sr[27]),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_n_46),
+	.B1(brqrv_top_dmi_wrapper_i_jtag_tap_n_25),
+	.B2(brqrv_top_dmi_reg_rdata[24]),
+	.C1(brqrv_top_dmi_wrapper_i_jtag_tap_n_42),
+	.C2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[26]),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6244 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_91),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6245 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_90),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6246 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_89),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6247 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_88),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6248 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_87),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6249 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_86),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6250 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_85),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6251 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_84),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6252 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_83),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6253 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_82),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6254 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_81),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6255 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_80),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6256 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_79),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6257 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_78),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_99), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6258 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_77),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_98), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6259 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_76),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_97), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6260 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_75),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_96), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6261 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_74),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_95), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6262 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_n_42),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[1]),
+	.B1(brqrv_top_dmi_wrapper_i_jtag_tap_n_52),
+	.B2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[2]),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_94), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6263 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_sr[7]),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_n_46),
+	.B1(brqrv_top_dmi_wrapper_i_jtag_tap_n_25),
+	.B2(brqrv_top_dmi_reg_rdata[4]),
+	.C1(brqrv_top_dmi_wrapper_i_jtag_tap_n_42),
+	.C2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[6]),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_93), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6264 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_sr[8]),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_n_46),
+	.B1(brqrv_top_dmi_wrapper_i_jtag_tap_n_25),
+	.B2(brqrv_top_dmi_reg_rdata[5]),
+	.C1(brqrv_top_dmi_wrapper_i_jtag_tap_n_42),
+	.C2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[7]),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_92), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6265 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_sr[9]),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_n_46),
+	.B1(brqrv_top_dmi_wrapper_i_jtag_tap_n_25),
+	.B2(brqrv_top_dmi_reg_rdata[6]),
+	.C1(brqrv_top_dmi_wrapper_i_jtag_tap_n_42),
+	.C2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[8]),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_91), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6266 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_sr[10]),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_n_46),
+	.B1(brqrv_top_dmi_wrapper_i_jtag_tap_n_25),
+	.B2(brqrv_top_dmi_reg_rdata[7]),
+	.C1(brqrv_top_dmi_wrapper_i_jtag_tap_n_42),
+	.C2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[9]),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_90), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6267 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_sr[11]),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_n_46),
+	.B1(brqrv_top_dmi_wrapper_i_jtag_tap_n_25),
+	.B2(brqrv_top_dmi_reg_rdata[8]),
+	.C1(brqrv_top_dmi_wrapper_i_jtag_tap_n_42),
+	.C2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[10]),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_89), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6268 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_sr[12]),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_n_46),
+	.B1(brqrv_top_dmi_wrapper_i_jtag_tap_n_25),
+	.B2(brqrv_top_dmi_reg_rdata[9]),
+	.C1(brqrv_top_dmi_wrapper_i_jtag_tap_n_42),
+	.C2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[11]),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_88), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6269 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_sr[13]),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_n_46),
+	.B1(brqrv_top_dmi_wrapper_i_jtag_tap_n_25),
+	.B2(brqrv_top_dmi_reg_rdata[10]),
+	.C1(brqrv_top_dmi_wrapper_i_jtag_tap_n_42),
+	.C2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[12]),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_87), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6270 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_sr[14]),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_n_46),
+	.B1(brqrv_top_dmi_wrapper_i_jtag_tap_n_25),
+	.B2(brqrv_top_dmi_reg_rdata[11]),
+	.C1(brqrv_top_dmi_wrapper_i_jtag_tap_n_42),
+	.C2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[13]),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_86), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6271 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_sr[15]),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_n_46),
+	.B1(brqrv_top_dmi_wrapper_i_jtag_tap_n_25),
+	.B2(brqrv_top_dmi_reg_rdata[12]),
+	.C1(brqrv_top_dmi_wrapper_i_jtag_tap_n_42),
+	.C2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[14]),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_85), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6272 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_sr[16]),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_n_46),
+	.B1(brqrv_top_dmi_wrapper_i_jtag_tap_n_25),
+	.B2(brqrv_top_dmi_reg_rdata[13]),
+	.C1(brqrv_top_dmi_wrapper_i_jtag_tap_n_42),
+	.C2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[15]),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_84), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6273 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_sr[17]),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_n_46),
+	.B1(brqrv_top_dmi_wrapper_i_jtag_tap_n_25),
+	.B2(brqrv_top_dmi_reg_rdata[14]),
+	.C1(brqrv_top_dmi_wrapper_i_jtag_tap_n_42),
+	.C2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[16]),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_83), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6274 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_sr[18]),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_n_46),
+	.B1(brqrv_top_dmi_wrapper_i_jtag_tap_n_25),
+	.B2(brqrv_top_dmi_reg_rdata[15]),
+	.C1(brqrv_top_dmi_wrapper_i_jtag_tap_n_42),
+	.C2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[17]),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_82), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6275 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_sr[19]),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_n_46),
+	.B1(brqrv_top_dmi_wrapper_i_jtag_tap_n_25),
+	.B2(brqrv_top_dmi_reg_rdata[16]),
+	.C1(brqrv_top_dmi_wrapper_i_jtag_tap_n_42),
+	.C2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[18]),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_81), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6276 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_sr[20]),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_n_46),
+	.B1(brqrv_top_dmi_wrapper_i_jtag_tap_n_25),
+	.B2(brqrv_top_dmi_reg_rdata[17]),
+	.C1(brqrv_top_dmi_wrapper_i_jtag_tap_n_42),
+	.C2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[19]),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_80), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6277 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_sr[21]),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_n_46),
+	.B1(brqrv_top_dmi_wrapper_i_jtag_tap_n_25),
+	.B2(brqrv_top_dmi_reg_rdata[18]),
+	.C1(brqrv_top_dmi_wrapper_i_jtag_tap_n_42),
+	.C2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[20]),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_79), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6278 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_sr[22]),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_n_46),
+	.B1(brqrv_top_dmi_wrapper_i_jtag_tap_n_25),
+	.B2(brqrv_top_dmi_reg_rdata[19]),
+	.C1(brqrv_top_dmi_wrapper_i_jtag_tap_n_42),
+	.C2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[21]),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_78), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6279 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_sr[23]),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_n_46),
+	.B1(brqrv_top_dmi_wrapper_i_jtag_tap_n_25),
+	.B2(brqrv_top_dmi_reg_rdata[20]),
+	.C1(brqrv_top_dmi_wrapper_i_jtag_tap_n_42),
+	.C2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[22]),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_77), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6280 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_sr[24]),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_n_46),
+	.B1(brqrv_top_dmi_wrapper_i_jtag_tap_n_25),
+	.B2(brqrv_top_dmi_reg_rdata[21]),
+	.C1(brqrv_top_dmi_wrapper_i_jtag_tap_n_42),
+	.C2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[23]),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_76), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6281 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_sr[25]),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_n_46),
+	.B1(brqrv_top_dmi_wrapper_i_jtag_tap_n_25),
+	.B2(brqrv_top_dmi_reg_rdata[22]),
+	.C1(brqrv_top_dmi_wrapper_i_jtag_tap_n_42),
+	.C2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[24]),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_75), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6282 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_sr[26]),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_n_46),
+	.B1(brqrv_top_dmi_wrapper_i_jtag_tap_n_25),
+	.B2(brqrv_top_dmi_reg_rdata[23]),
+	.C1(brqrv_top_dmi_wrapper_i_jtag_tap_n_42),
+	.C2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[25]),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_74), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32oi_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6283 (
+	.A1(io_in[3]),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_n_32),
+	.A3(brqrv_top_dmi_wrapper_i_jtag_tap_n_18),
+	.B1(brqrv_top_dmi_wrapper_i_jtag_tap_n_52),
+	.B2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[1]),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_73), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6284 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_sr[6]),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_n_46),
+	.B1(brqrv_top_dmi_wrapper_i_jtag_tap_n_25),
+	.B2(brqrv_top_dmi_reg_rdata[3]),
+	.C1(brqrv_top_dmi_wrapper_i_jtag_tap_n_42),
+	.C2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[5]),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_72), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6285 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_67),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_71), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6286 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_66),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_70), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6287 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_n_28),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_ir[0]),
+	.B1(brqrv_top_dmi_wrapper_i_jtag_tap_n_41),
+	.B2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[0]),
+	.C1(brqrv_top_dmi_wrapper_i_jtag_tap_n_45),
+	.X(brqrv_top_dmi_wrapper_i_jtag_tap_n_69), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 brqrv_top_dmi_wrapper_i_jtag_tap_g6288 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_n_21),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_n_44),
+	.B1(io_in[1]),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_68), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6289 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_sr[32]),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_n_42),
+	.B1(brqrv_top_dmi_wrapper_i_jtag_tap_n_25),
+	.B2(brqrv_top_dmi_reg_rdata[30]),
+	.C1(brqrv_top_dmi_wrapper_i_jtag_tap_n_27),
+	.C2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[33]),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_67), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6290 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_sr[33]),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_n_42),
+	.B1(brqrv_top_dmi_wrapper_i_jtag_tap_n_25),
+	.B2(brqrv_top_dmi_reg_rdata[31]),
+	.C1(brqrv_top_dmi_wrapper_i_jtag_tap_n_27),
+	.C2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[34]),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_66), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6291 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_n_41),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[1]),
+	.B1(brqrv_top_dmi_wrapper_i_jtag_tap_n_28),
+	.B2(brqrv_top_dmi_wrapper_i_jtag_tap_ir[1]),
+	.C1(brqrv_top_dmi_wrapper_i_jtag_tap_n_43),
+	.X(brqrv_top_dmi_wrapper_i_jtag_tap_n_65), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6292 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_n_41),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[2]),
+	.B1(brqrv_top_dmi_wrapper_i_jtag_tap_n_28),
+	.B2(brqrv_top_dmi_wrapper_i_jtag_tap_ir[2]),
+	.C1(brqrv_top_dmi_wrapper_i_jtag_tap_n_43),
+	.X(brqrv_top_dmi_wrapper_i_jtag_tap_n_64), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6293 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_n_41),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[3]),
+	.B1(brqrv_top_dmi_wrapper_i_jtag_tap_n_28),
+	.B2(brqrv_top_dmi_wrapper_i_jtag_tap_ir[3]),
+	.C1(brqrv_top_dmi_wrapper_i_jtag_tap_n_43),
+	.X(brqrv_top_dmi_wrapper_i_jtag_tap_n_63), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221o_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6294 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_n_41),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[4]),
+	.B1(brqrv_top_dmi_wrapper_i_jtag_tap_n_28),
+	.B2(brqrv_top_dmi_wrapper_i_jtag_tap_ir[4]),
+	.C1(brqrv_top_dmi_wrapper_i_jtag_tap_n_43),
+	.X(brqrv_top_dmi_wrapper_i_jtag_tap_n_62), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6296 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_n_42),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[4]),
+	.B1(brqrv_top_dmi_wrapper_i_jtag_tap_n_25),
+	.B2(brqrv_top_dmi_reg_rdata[2]),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_61), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6297 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_n_42),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[35]),
+	.B1(brqrv_top_dmi_wrapper_i_jtag_tap_n_27),
+	.B2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[36]),
+	.X(brqrv_top_dmi_wrapper_i_jtag_tap_n_60), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6298 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_n_42),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[36]),
+	.B1(brqrv_top_dmi_wrapper_i_jtag_tap_n_27),
+	.B2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[37]),
+	.X(brqrv_top_dmi_wrapper_i_jtag_tap_n_59), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6299 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_n_42),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[37]),
+	.B1(brqrv_top_dmi_wrapper_i_jtag_tap_n_27),
+	.B2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[38]),
+	.X(brqrv_top_dmi_wrapper_i_jtag_tap_n_58), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6300 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_n_42),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[38]),
+	.B1(brqrv_top_dmi_wrapper_i_jtag_tap_n_27),
+	.B2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[39]),
+	.X(brqrv_top_dmi_wrapper_i_jtag_tap_n_57), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6301 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_n_42),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[39]),
+	.B1(brqrv_top_dmi_wrapper_i_jtag_tap_n_27),
+	.B2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[40]),
+	.X(brqrv_top_dmi_wrapper_i_jtag_tap_n_56), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6302 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_n_42),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[40]),
+	.B1(io_in[3]),
+	.B2(brqrv_top_dmi_wrapper_i_jtag_tap_n_27),
+	.X(brqrv_top_dmi_wrapper_i_jtag_tap_n_55), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6303 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_n_46),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[5]),
+	.B1(io_in[3]),
+	.B2(brqrv_top_dmi_wrapper_i_jtag_tap_n_16),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_54), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6304 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_n_42),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[34]),
+	.B1(brqrv_top_dmi_wrapper_i_jtag_tap_n_27),
+	.B2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[35]),
+	.X(brqrv_top_dmi_wrapper_i_jtag_tap_n_53), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 brqrv_top_dmi_wrapper_i_jtag_tap_g6305 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_n_8),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_n_39),
+	.B1(brqrv_top_dmi_wrapper_i_jtag_tap_n_1),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6306 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_21),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_n_13),
+	.C(brqrv_top_dmi_wrapper_i_jtag_tap_n_34),
+	.X(brqrv_top_dmi_wrapper_i_jtag_tap_n_50), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 brqrv_top_dmi_wrapper_i_jtag_tap_g6307 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_16),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_n_46),
+	.X(brqrv_top_dmi_wrapper_i_jtag_tap_n_52), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6308 (
+	.A1(io_in[1]),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_n_40),
+	.B1(brqrv_top_dmi_wrapper_i_jtag_tap_n_6),
+	.B2(brqrv_top_dmi_wrapper_i_jtag_tap_n_9),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_49), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6309 (
+	.A1(io_in[3]),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_n_37),
+	.B1(brqrv_top_dmi_wrapper_i_jtag_tap_n_27),
+	.B2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[32]),
+	.X(brqrv_top_dmi_wrapper_i_jtag_tap_n_48), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6310 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_n_38),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_sr[0]),
+	.B1(brqrv_top_dmi_wrapper_i_jtag_tap_n_8),
+	.B2(brqrv_top_dmi_wrapper_i_jtag_tap_n_0),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_47), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6311 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_28),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_n_41),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_45), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6312 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_26),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_n_36),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_46), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 brqrv_top_dmi_wrapper_i_jtag_tap_g6313 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_n_0),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_n_24),
+	.B1(brqrv_top_dmi_wrapper_i_jtag_tap_n_141),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_44), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6314 (
+	.A_N(brqrv_top_dmi_wrapper_i_jtag_tap_sr[0]),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_n_19),
+	.C(brqrv_top_dmi_wrapper_i_jtag_tap_n_33),
+	.X(brqrv_top_dmi_wrapper_i_jtag_tap_n_43), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6315 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_n_32),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_n_13),
+	.B1(brqrv_top_dmi_wrapper_i_jtag_tap_n_38),
+	.X(brqrv_top_dmi_wrapper_i_jtag_tap_n_42), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6316 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_31),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_n_20),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_40), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 brqrv_top_dmi_wrapper_i_jtag_tap_g6317 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_state[1]),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_n_20),
+	.B1(brqrv_top_dmi_wrapper_i_jtag_tap_n_31),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_39), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6318 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_33),
+	.B_N(brqrv_top_dmi_wrapper_i_jtag_tap_n_19),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_41), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6319 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_36),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6320 (
+	.A(io_in[1]),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_n_23),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_35), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6321 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_n_12),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_n_1),
+	.B1(brqrv_top_dmi_wrapper_i_jtag_tap_n_5),
+	.B2(io_in[1]),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_34), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6322 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_8),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_n_18),
+	.C(brqrv_top_dmi_wrapper_i_jtag_tap_n_13),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_38), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_0 brqrv_top_dmi_wrapper_i_jtag_tap_g6323 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_n_15),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_n_11),
+	.B1(brqrv_top_dmi_wrapper_i_jtag_tap_n_18),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_36), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6348 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_27),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6349 (
+	.A1(brqrv_top_dmi_wrapper_i_jtag_tap_n_3),
+	.A2(brqrv_top_dmi_wrapper_i_jtag_tap_n_2),
+	.B1(brqrv_top_dmi_wrapper_i_jtag_tap_n_9),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6350 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_14),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_n_4),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6364 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_sr[2]),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_sr[1]),
+	.C(brqrv_top_dmi_wrapper_i_jtag_tap_sr[4]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_sr[3]),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_33), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6365 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_15),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_n_11),
+	.C_N(brqrv_top_dmi_wrapper_i_jtag_tap_n_146),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_32), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6368 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_3),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_n_0),
+	.C(brqrv_top_dmi_wrapper_i_jtag_tap_state[1]),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6369 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_11),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_n_13),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6370 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_13),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_n_15),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6371 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_22),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_n_19),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6372 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_146),
+	.B_N(brqrv_top_dmi_wrapper_i_jtag_tap_n_18),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6373 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_146),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_n_14),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6374 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_144),
+	.B_N(brqrv_top_dmi_wrapper_i_jtag_tap_n_3),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6375 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_state[1]),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_n_10),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6376 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_0),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_n_5),
+	.X(brqrv_top_dmi_wrapper_i_jtag_tap_n_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6377 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_10),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_n_6),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6378 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_144),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_n_5),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_dmi_wrapper_i_jtag_tap_g6379 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_14),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6380 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_7),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_n_4),
+	.X(brqrv_top_dmi_wrapper_i_jtag_tap_n_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6381 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_143),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_n_0),
+	.C(io_in[1]),
+	.X(brqrv_top_dmi_wrapper_i_jtag_tap_n_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6382 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_0),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_n_7),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6383 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_ir[4]),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_n_145),
+	.C_N(brqrv_top_dmi_wrapper_i_jtag_tap_ir[0]),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6384 (
+	.A_N(brqrv_top_dmi_wrapper_i_jtag_tap_n_6),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_n_3),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6385 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_10),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6386 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_8),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6387 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_ir[0]),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_n_142),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6388 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_state[2]),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_state[3]),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6389 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_143),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_n_2),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6390 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_state[0]),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_state[1]),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6391 (
+	.A_N(brqrv_top_dmi_wrapper_i_jtag_tap_state[3]),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_state[2]),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6392 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_n_0),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_state[2]),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6393 (
+	.A(brqrv_top_dmi_wrapper_i_jtag_tap_state[3]),
+	.B(brqrv_top_dmi_wrapper_i_jtag_tap_state[2]),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_dmi_wrapper_i_jtag_tap_g6395 (
+	.A(io_in[1]),
+	.Y(brqrv_top_dmi_wrapper_i_jtag_tap_n_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_state_reg[1]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_n_128),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_state[1]),
+	.Q_N(brqrv_top_dmi_wrapper_i_jtag_tap_n_2),
+	.RESET_B(io_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \brqrv_top_dmi_wrapper_i_jtag_tap_state_reg[0]  (
+	.CLK(io_in[0]),
+	.D(brqrv_top_dmi_wrapper_i_jtag_tap_n_137),
+	.Q(brqrv_top_dmi_wrapper_i_jtag_tap_state[0]),
+	.Q_N(brqrv_top_dmi_wrapper_i_jtag_tap_n_0),
+	.RESET_B(io_in[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 brqrv_top_dmi_wrapper_i_jtag_tap_tie_1_cell (
+	.HI(brqrv_top_dmi_wrapper_i_jtag_tap_logic_1_1_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 brqrv_top_dmi_wrapper_i_jtag_tap_tie_1_cell1 (
+	.HI(brqrv_top_dmi_wrapper_i_jtag_tap_logic_1_2_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_addr_q_reg[1]  (
+	.CLK(clk),
+	.D(brqrv_top_iccm_instr_addr[1]),
+	.Q(brqrv_top_iccm_instr_addr[1]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_iccm_controller_n_19),
+	.SCE(brqrv_top_iccm_controller_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_addr_q_reg[2]  (
+	.CLK(clk),
+	.D(brqrv_top_iccm_instr_addr[2]),
+	.Q(brqrv_top_iccm_instr_addr[2]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_iccm_controller_n_25),
+	.SCE(brqrv_top_iccm_controller_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_addr_q_reg[3]  (
+	.CLK(clk),
+	.D(brqrv_top_iccm_instr_addr[3]),
+	.Q(brqrv_top_iccm_instr_addr[3]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_iccm_controller_n_30),
+	.SCE(brqrv_top_iccm_controller_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_addr_q_reg[4]  (
+	.CLK(clk),
+	.D(brqrv_top_iccm_instr_addr[4]),
+	.Q(brqrv_top_iccm_instr_addr[4]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_iccm_controller_n_35),
+	.SCE(brqrv_top_iccm_controller_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_addr_q_reg[5]  (
+	.CLK(clk),
+	.D(brqrv_top_iccm_instr_addr[5]),
+	.Q(brqrv_top_iccm_instr_addr[5]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_iccm_controller_n_38),
+	.SCE(brqrv_top_iccm_controller_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_addr_q_reg[6]  (
+	.CLK(clk),
+	.D(brqrv_top_iccm_instr_addr[6]),
+	.Q(brqrv_top_iccm_instr_addr[6]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_iccm_controller_n_41),
+	.SCE(brqrv_top_iccm_controller_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_addr_q_reg[7]  (
+	.CLK(clk),
+	.D(brqrv_top_iccm_instr_addr[7]),
+	.Q(brqrv_top_iccm_instr_addr[7]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_iccm_controller_n_44),
+	.SCE(brqrv_top_iccm_controller_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_addr_q_reg[8]  (
+	.CLK(clk),
+	.D(brqrv_top_iccm_instr_addr[8]),
+	.Q(brqrv_top_iccm_instr_addr[8]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_iccm_controller_n_47),
+	.SCE(brqrv_top_iccm_controller_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_addr_q_reg[9]  (
+	.CLK(clk),
+	.D(brqrv_top_iccm_instr_addr[9]),
+	.Q(brqrv_top_iccm_instr_addr[9]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_iccm_controller_n_50),
+	.SCE(brqrv_top_iccm_controller_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_addr_q_reg[10]  (
+	.CLK(clk),
+	.D(brqrv_top_iccm_instr_addr[10]),
+	.Q(brqrv_top_iccm_instr_addr[10]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_iccm_controller_n_53),
+	.SCE(brqrv_top_iccm_controller_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_byte_count_reg[0]  (
+	.CLK(clk),
+	.D(brqrv_top_iccm_controller_n_3),
+	.Q(brqrv_top_iccm_controller_byte_count[0]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_iccm_controller_n_4),
+	.SCE(brqrv_top_iccm_controller_byte_count[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_byte_count_reg[1]  (
+	.CLK(clk),
+	.D(brqrv_top_iccm_controller_n_12),
+	.Q(brqrv_top_iccm_controller_byte_count[1]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_iccm_controller_byte_count[1]),
+	.SCE(brqrv_top_iccm_controller_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 brqrv_top_iccm_controller_reset_q_reg (
+	.CLK(clk),
+	.D(brqrv_top_iccm_controller_n_51),
+	.Q(brqrv_top_core_rst),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q0_reg[0]  (
+	.CLK(clk),
+	.D(brqrv_top_rx_byte_i[0]),
+	.Q(brqrv_top_iccm_instr_wdata[24]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_iccm_instr_wdata[24]),
+	.SCE(brqrv_top_iccm_controller_n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q0_reg[1]  (
+	.CLK(clk),
+	.D(brqrv_top_rx_byte_i[1]),
+	.Q(brqrv_top_iccm_instr_wdata[25]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_iccm_instr_wdata[25]),
+	.SCE(brqrv_top_iccm_controller_n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q0_reg[2]  (
+	.CLK(clk),
+	.D(brqrv_top_rx_byte_i[2]),
+	.Q(brqrv_top_iccm_instr_wdata[26]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_iccm_instr_wdata[26]),
+	.SCE(brqrv_top_iccm_controller_n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q0_reg[3]  (
+	.CLK(clk),
+	.D(brqrv_top_rx_byte_i[3]),
+	.Q(brqrv_top_iccm_instr_wdata[27]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_iccm_instr_wdata[27]),
+	.SCE(brqrv_top_iccm_controller_n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q0_reg[4]  (
+	.CLK(clk),
+	.D(brqrv_top_rx_byte_i[4]),
+	.Q(brqrv_top_iccm_instr_wdata[28]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_iccm_instr_wdata[28]),
+	.SCE(brqrv_top_iccm_controller_n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q0_reg[5]  (
+	.CLK(clk),
+	.D(brqrv_top_rx_byte_i[5]),
+	.Q(brqrv_top_iccm_instr_wdata[29]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_iccm_instr_wdata[29]),
+	.SCE(brqrv_top_iccm_controller_n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q0_reg[6]  (
+	.CLK(clk),
+	.D(brqrv_top_rx_byte_i[6]),
+	.Q(brqrv_top_iccm_instr_wdata[30]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_iccm_instr_wdata[30]),
+	.SCE(brqrv_top_iccm_controller_n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q0_reg[7]  (
+	.CLK(clk),
+	.D(brqrv_top_rx_byte_i[7]),
+	.Q(brqrv_top_iccm_instr_wdata[31]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_iccm_instr_wdata[31]),
+	.SCE(brqrv_top_iccm_controller_n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q1_reg[0]  (
+	.CLK(clk),
+	.D(brqrv_top_iccm_instr_wdata[16]),
+	.Q(brqrv_top_iccm_instr_wdata[16]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_rx_byte_i[0]),
+	.SCE(brqrv_top_iccm_controller_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q1_reg[1]  (
+	.CLK(clk),
+	.D(brqrv_top_iccm_instr_wdata[17]),
+	.Q(brqrv_top_iccm_instr_wdata[17]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_rx_byte_i[1]),
+	.SCE(brqrv_top_iccm_controller_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q1_reg[2]  (
+	.CLK(clk),
+	.D(brqrv_top_iccm_instr_wdata[18]),
+	.Q(brqrv_top_iccm_instr_wdata[18]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_rx_byte_i[2]),
+	.SCE(brqrv_top_iccm_controller_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q1_reg[3]  (
+	.CLK(clk),
+	.D(brqrv_top_iccm_instr_wdata[19]),
+	.Q(brqrv_top_iccm_instr_wdata[19]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_rx_byte_i[3]),
+	.SCE(brqrv_top_iccm_controller_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q1_reg[4]  (
+	.CLK(clk),
+	.D(brqrv_top_iccm_instr_wdata[20]),
+	.Q(brqrv_top_iccm_instr_wdata[20]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_rx_byte_i[4]),
+	.SCE(brqrv_top_iccm_controller_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q1_reg[5]  (
+	.CLK(clk),
+	.D(brqrv_top_iccm_instr_wdata[21]),
+	.Q(brqrv_top_iccm_instr_wdata[21]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_rx_byte_i[5]),
+	.SCE(brqrv_top_iccm_controller_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q1_reg[6]  (
+	.CLK(clk),
+	.D(brqrv_top_iccm_instr_wdata[22]),
+	.Q(brqrv_top_iccm_instr_wdata[22]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_rx_byte_i[6]),
+	.SCE(brqrv_top_iccm_controller_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q1_reg[7]  (
+	.CLK(clk),
+	.D(brqrv_top_iccm_instr_wdata[23]),
+	.Q(brqrv_top_iccm_instr_wdata[23]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_rx_byte_i[7]),
+	.SCE(brqrv_top_iccm_controller_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q2_reg[0]  (
+	.CLK(clk),
+	.D(brqrv_top_rx_byte_i[0]),
+	.Q(brqrv_top_iccm_instr_wdata[8]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_iccm_instr_wdata[8]),
+	.SCE(brqrv_top_iccm_controller_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q2_reg[1]  (
+	.CLK(clk),
+	.D(brqrv_top_rx_byte_i[1]),
+	.Q(brqrv_top_iccm_instr_wdata[9]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_iccm_instr_wdata[9]),
+	.SCE(brqrv_top_iccm_controller_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q2_reg[2]  (
+	.CLK(clk),
+	.D(brqrv_top_rx_byte_i[2]),
+	.Q(brqrv_top_iccm_instr_wdata[10]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_iccm_instr_wdata[10]),
+	.SCE(brqrv_top_iccm_controller_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q2_reg[3]  (
+	.CLK(clk),
+	.D(brqrv_top_rx_byte_i[3]),
+	.Q(brqrv_top_iccm_instr_wdata[11]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_iccm_instr_wdata[11]),
+	.SCE(brqrv_top_iccm_controller_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q2_reg[4]  (
+	.CLK(clk),
+	.D(brqrv_top_rx_byte_i[4]),
+	.Q(brqrv_top_iccm_instr_wdata[12]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_iccm_instr_wdata[12]),
+	.SCE(brqrv_top_iccm_controller_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q2_reg[5]  (
+	.CLK(clk),
+	.D(brqrv_top_rx_byte_i[5]),
+	.Q(brqrv_top_iccm_instr_wdata[13]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_iccm_instr_wdata[13]),
+	.SCE(brqrv_top_iccm_controller_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q2_reg[6]  (
+	.CLK(clk),
+	.D(brqrv_top_rx_byte_i[6]),
+	.Q(brqrv_top_iccm_instr_wdata[14]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_iccm_instr_wdata[14]),
+	.SCE(brqrv_top_iccm_controller_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q2_reg[7]  (
+	.CLK(clk),
+	.D(brqrv_top_rx_byte_i[7]),
+	.Q(brqrv_top_iccm_instr_wdata[15]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_iccm_instr_wdata[15]),
+	.SCE(brqrv_top_iccm_controller_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q3_reg[0]  (
+	.CLK(clk),
+	.D(brqrv_top_iccm_instr_wdata[0]),
+	.Q(brqrv_top_iccm_instr_wdata[0]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_rx_byte_i[0]),
+	.SCE(brqrv_top_iccm_controller_n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q3_reg[1]  (
+	.CLK(clk),
+	.D(brqrv_top_iccm_instr_wdata[1]),
+	.Q(brqrv_top_iccm_instr_wdata[1]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_rx_byte_i[1]),
+	.SCE(brqrv_top_iccm_controller_n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q3_reg[2]  (
+	.CLK(clk),
+	.D(brqrv_top_iccm_instr_wdata[2]),
+	.Q(brqrv_top_iccm_instr_wdata[2]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_rx_byte_i[2]),
+	.SCE(brqrv_top_iccm_controller_n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q3_reg[3]  (
+	.CLK(clk),
+	.D(brqrv_top_iccm_instr_wdata[3]),
+	.Q(brqrv_top_iccm_instr_wdata[3]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_rx_byte_i[3]),
+	.SCE(brqrv_top_iccm_controller_n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q3_reg[4]  (
+	.CLK(clk),
+	.D(brqrv_top_iccm_instr_wdata[4]),
+	.Q(brqrv_top_iccm_instr_wdata[4]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_rx_byte_i[4]),
+	.SCE(brqrv_top_iccm_controller_n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q3_reg[5]  (
+	.CLK(clk),
+	.D(brqrv_top_iccm_instr_wdata[5]),
+	.Q(brqrv_top_iccm_instr_wdata[5]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_rx_byte_i[5]),
+	.SCE(brqrv_top_iccm_controller_n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q3_reg[6]  (
+	.CLK(clk),
+	.D(brqrv_top_iccm_instr_wdata[6]),
+	.Q(brqrv_top_iccm_instr_wdata[6]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_rx_byte_i[6]),
+	.SCE(brqrv_top_iccm_controller_n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_iccm_controller_rx_byte_q3_reg[7]  (
+	.CLK(clk),
+	.D(brqrv_top_iccm_instr_wdata[7]),
+	.Q(brqrv_top_iccm_instr_wdata[7]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_rx_byte_i[7]),
+	.SCE(brqrv_top_iccm_controller_n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 brqrv_top_iccm_controller_we_q_reg (
+	.CLK(clk),
+	.D(brqrv_top_iccm_controller_n_31),
+	.Q(brqrv_top_iccm_instr_we),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_iccm_controller_g2544 (
+	.A1_N(brqrv_top_iccm_instr_addr[10]),
+	.A2_N(brqrv_top_iccm_controller_n_49),
+	.B1(brqrv_top_iccm_instr_addr[10]),
+	.B2(brqrv_top_iccm_controller_n_49),
+	.Y(brqrv_top_iccm_controller_n_53), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 brqrv_top_iccm_controller_g2548 (
+	.A1(brqrv_top_iccm_controller_n_46),
+	.A2(brqrv_top_iccm_controller_ctrl_fsm_cs[0]),
+	.B1(brqrv_top_iccm_controller_n_13),
+	.X(brqrv_top_iccm_controller_n_52), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 brqrv_top_iccm_controller_g2549 (
+	.A1(brqrv_top_iccm_controller_n_0),
+	.A2(brqrv_top_iccm_controller_n_1),
+	.A3(brqrv_top_iccm_controller_n_45),
+	.B1(brqrv_top_iccm_controller_n_8),
+	.Y(brqrv_top_iccm_controller_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 brqrv_top_iccm_controller_g2550 (
+	.A1(brqrv_top_iccm_instr_addr[9]),
+	.A2(brqrv_top_iccm_controller_n_48),
+	.B1(brqrv_top_iccm_controller_n_49),
+	.X(brqrv_top_iccm_controller_n_50), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_iccm_controller_g2551 (
+	.A(brqrv_top_iccm_controller_n_48),
+	.B(brqrv_top_iccm_instr_addr[9]),
+	.Y(brqrv_top_iccm_controller_n_49), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_iccm_controller_g2552 (
+	.A(brqrv_top_iccm_instr_addr[8]),
+	.B(brqrv_top_iccm_controller_n_43),
+	.COUT(brqrv_top_iccm_controller_n_48),
+	.SUM(brqrv_top_iccm_controller_n_47), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_iccm_controller_g2554 (
+	.A(brqrv_top_iccm_controller_n_45),
+	.B(brqrv_top_rx_dv_i),
+	.Y(brqrv_top_iccm_controller_n_46), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 brqrv_top_iccm_controller_g2555 (
+	.A(brqrv_top_iccm_controller_n_42),
+	.B(brqrv_top_iccm_controller_n_23),
+	.C(brqrv_top_iccm_instr_wdata[6]),
+	.D(brqrv_top_iccm_instr_wdata[7]),
+	.Y(brqrv_top_iccm_controller_n_45), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_iccm_controller_g2556 (
+	.A(brqrv_top_iccm_instr_addr[7]),
+	.B(brqrv_top_iccm_controller_n_40),
+	.COUT(brqrv_top_iccm_controller_n_43),
+	.SUM(brqrv_top_iccm_controller_n_44), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_1 brqrv_top_iccm_controller_g2558 (
+	.A_N(brqrv_top_iccm_controller_n_39),
+	.B(brqrv_top_iccm_instr_wdata[3]),
+	.C(brqrv_top_iccm_instr_wdata[4]),
+	.D(brqrv_top_iccm_instr_wdata[5]),
+	.X(brqrv_top_iccm_controller_n_42), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_iccm_controller_g2559 (
+	.A(brqrv_top_iccm_instr_addr[6]),
+	.B(brqrv_top_iccm_controller_n_37),
+	.COUT(brqrv_top_iccm_controller_n_40),
+	.SUM(brqrv_top_iccm_controller_n_41), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 brqrv_top_iccm_controller_g2561 (
+	.A(brqrv_top_iccm_controller_n_36),
+	.B(brqrv_top_iccm_instr_wdata[1]),
+	.C(brqrv_top_iccm_instr_wdata[2]),
+	.D(brqrv_top_iccm_instr_wdata[0]),
+	.Y(brqrv_top_iccm_controller_n_39), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_iccm_controller_g2562 (
+	.A(brqrv_top_iccm_instr_addr[5]),
+	.B(brqrv_top_iccm_controller_n_34),
+	.COUT(brqrv_top_iccm_controller_n_37),
+	.SUM(brqrv_top_iccm_controller_n_38), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 brqrv_top_iccm_controller_g2564 (
+	.A(brqrv_top_iccm_instr_wdata[17]),
+	.B(brqrv_top_iccm_instr_wdata[16]),
+	.C(brqrv_top_iccm_instr_wdata[27]),
+	.D(brqrv_top_iccm_controller_n_32),
+	.Y(brqrv_top_iccm_controller_n_36), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_iccm_controller_g2565 (
+	.A(brqrv_top_iccm_instr_addr[4]),
+	.B(brqrv_top_iccm_controller_n_29),
+	.COUT(brqrv_top_iccm_controller_n_34),
+	.SUM(brqrv_top_iccm_controller_n_35), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211o_1 brqrv_top_iccm_controller_g2569 (
+	.A1(brqrv_top_iccm_controller_n_0),
+	.A2(brqrv_top_rx_dv_i),
+	.B1(brqrv_top_iccm_controller_ctrl_fsm_cs[1]),
+	.C1(brqrv_top_iccm_controller_n_28),
+	.X(brqrv_top_iccm_controller_n_33), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 brqrv_top_iccm_controller_g2570 (
+	.A(brqrv_top_iccm_instr_wdata[26]),
+	.B(brqrv_top_iccm_instr_wdata[24]),
+	.C(brqrv_top_iccm_instr_wdata[25]),
+	.D(brqrv_top_iccm_controller_n_26),
+	.X(brqrv_top_iccm_controller_n_32), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_iccm_controller_g2571 (
+	.A1_N(brqrv_top_iccm_controller_ctrl_fsm_cs[0]),
+	.A2_N(brqrv_top_iccm_instr_we),
+	.B1(brqrv_top_iccm_controller_n_4),
+	.B2(brqrv_top_iccm_controller_n_28),
+	.Y(brqrv_top_iccm_controller_n_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_iccm_controller_g2572 (
+	.A(brqrv_top_iccm_instr_addr[3]),
+	.B(brqrv_top_iccm_controller_n_24),
+	.COUT(brqrv_top_iccm_controller_n_29),
+	.SUM(brqrv_top_iccm_controller_n_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_iccm_controller_g2574 (
+	.A(brqrv_top_iccm_controller_n_0),
+	.B(brqrv_top_iccm_controller_n_27),
+	.Y(brqrv_top_iccm_controller_n_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a311oi_1 brqrv_top_iccm_controller_g2575 (
+	.A1(brqrv_top_iccm_controller_n_21),
+	.A2(brqrv_top_rx_byte_i[0]),
+	.A3(brqrv_top_rx_byte_i[1]),
+	.B1(brqrv_top_iccm_controller_n_6),
+	.C1(brqrv_top_iccm_controller_n_23),
+	.Y(brqrv_top_iccm_controller_n_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 brqrv_top_iccm_controller_g2576 (
+	.A(brqrv_top_iccm_instr_wdata[30]),
+	.B(brqrv_top_iccm_instr_wdata[29]),
+	.C(brqrv_top_iccm_instr_wdata[31]),
+	.D(brqrv_top_iccm_controller_n_22),
+	.X(brqrv_top_iccm_controller_n_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_iccm_controller_g2577 (
+	.A(brqrv_top_iccm_instr_addr[2]),
+	.B(brqrv_top_iccm_controller_n_18),
+	.COUT(brqrv_top_iccm_controller_n_24),
+	.SUM(brqrv_top_iccm_controller_n_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 brqrv_top_iccm_controller_g2579 (
+	.A(brqrv_top_iccm_instr_wdata[15]),
+	.B(brqrv_top_iccm_instr_wdata[14]),
+	.C(brqrv_top_iccm_controller_n_20),
+	.Y(brqrv_top_iccm_controller_n_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 brqrv_top_iccm_controller_g2580 (
+	.A(brqrv_top_iccm_instr_wdata[28]),
+	.B(brqrv_top_iccm_instr_wdata[23]),
+	.C(brqrv_top_iccm_instr_wdata[22]),
+	.D(brqrv_top_iccm_controller_n_16),
+	.X(brqrv_top_iccm_controller_n_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and4b_1 brqrv_top_iccm_controller_g2598 (
+	.A_N(brqrv_top_iccm_controller_n_9),
+	.B(brqrv_top_rx_byte_i[4]),
+	.C(brqrv_top_rx_byte_i[3]),
+	.D(brqrv_top_rx_byte_i[2]),
+	.X(brqrv_top_iccm_controller_n_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 brqrv_top_iccm_controller_g2599 (
+	.A(brqrv_top_iccm_instr_wdata[13]),
+	.B(brqrv_top_iccm_instr_wdata[12]),
+	.C(brqrv_top_iccm_controller_n_17),
+	.X(brqrv_top_iccm_controller_n_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_iccm_controller_g2616 (
+	.A(brqrv_top_iccm_instr_addr[1]),
+	.B(brqrv_top_iccm_controller_n_5),
+	.COUT(brqrv_top_iccm_controller_n_18),
+	.SUM(brqrv_top_iccm_controller_n_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 brqrv_top_iccm_controller_g2618 (
+	.A(brqrv_top_iccm_instr_wdata[10]),
+	.B(brqrv_top_iccm_instr_wdata[8]),
+	.C(brqrv_top_iccm_instr_wdata[11]),
+	.D(brqrv_top_iccm_instr_wdata[9]),
+	.Y(brqrv_top_iccm_controller_n_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 brqrv_top_iccm_controller_g2619 (
+	.A(brqrv_top_iccm_instr_wdata[21]),
+	.B(brqrv_top_iccm_instr_wdata[18]),
+	.C(brqrv_top_iccm_instr_wdata[19]),
+	.D(brqrv_top_iccm_instr_wdata[20]),
+	.X(brqrv_top_iccm_controller_n_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_iccm_controller_g2620 (
+	.A(brqrv_top_iccm_controller_n_7),
+	.B_N(brqrv_top_iccm_controller_n_6),
+	.Y(brqrv_top_iccm_controller_n_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_iccm_controller_g2621 (
+	.A(brqrv_top_iccm_controller_n_6),
+	.B(brqrv_top_iccm_controller_n_4),
+	.Y(brqrv_top_iccm_controller_n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_iccm_controller_g2622 (
+	.A(brqrv_top_iccm_controller_n_3),
+	.B(brqrv_top_iccm_controller_n_7),
+	.Y(brqrv_top_iccm_controller_n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 brqrv_top_iccm_controller_g2623 (
+	.A(brqrv_top_iccm_controller_n_5),
+	.B(brqrv_top_iccm_controller_n_3),
+	.X(brqrv_top_iccm_controller_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 brqrv_top_iccm_controller_g2624 (
+	.A(brqrv_top_rx_byte_i[5]),
+	.B(brqrv_top_rx_byte_i[7]),
+	.C(brqrv_top_rx_byte_i[6]),
+	.Y(brqrv_top_iccm_controller_n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_iccm_controller_g2625 (
+	.A1(brqrv_top_iccm_controller_ctrl_fsm_cs[0]),
+	.A2(brqrv_top_iccm_controller_ctrl_fsm_cs[1]),
+	.B1(brqrv_top_core_rst),
+	.Y(brqrv_top_iccm_controller_n_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 brqrv_top_iccm_controller_g2626 (
+	.A(brqrv_top_iccm_controller_n_3),
+	.B(brqrv_top_iccm_controller_n_2),
+	.C(brqrv_top_iccm_controller_byte_count[1]),
+	.Y(brqrv_top_iccm_controller_n_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 brqrv_top_iccm_controller_g2627 (
+	.A(brqrv_top_iccm_controller_byte_count[1]),
+	.B(brqrv_top_iccm_controller_n_2),
+	.C(brqrv_top_iccm_controller_n_4),
+	.Y(brqrv_top_iccm_controller_n_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_iccm_controller_g2628 (
+	.A(brqrv_top_iccm_controller_byte_count[0]),
+	.B(brqrv_top_iccm_controller_byte_count[1]),
+	.Y(brqrv_top_iccm_controller_n_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_iccm_controller_g2629 (
+	.A(brqrv_top_iccm_controller_byte_count[1]),
+	.B(brqrv_top_iccm_controller_byte_count[0]),
+	.Y(brqrv_top_iccm_controller_n_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 brqrv_top_iccm_controller_g2630 (
+	.A(brqrv_top_iccm_controller_n_4),
+	.Y(brqrv_top_iccm_controller_n_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_iccm_controller_g2631 (
+	.A(brqrv_top_iccm_controller_ctrl_fsm_cs[0]),
+	.B(brqrv_top_iccm_controller_n_1),
+	.Y(brqrv_top_iccm_controller_n_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_iccm_controller_g2632 (
+	.A(brqrv_top_iccm_controller_n_1),
+	.B(brqrv_top_iccm_controller_ctrl_fsm_cs[0]),
+	.Y(brqrv_top_iccm_controller_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_iccm_controller_g2640 (
+	.A(brqrv_top_iccm_controller_byte_count[0]),
+	.Y(brqrv_top_iccm_controller_n_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \brqrv_top_iccm_controller_ctrl_fsm_cs_reg[1]  (
+	.CLK(clk),
+	.D(brqrv_top_iccm_controller_n_52),
+	.Q(brqrv_top_iccm_controller_ctrl_fsm_cs[1]),
+	.Q_N(brqrv_top_iccm_controller_n_1),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \brqrv_top_iccm_controller_ctrl_fsm_cs_reg[0]  (
+	.CLK(clk),
+	.D(brqrv_top_iccm_controller_n_33),
+	.Q(brqrv_top_iccm_controller_ctrl_fsm_cs[0]),
+	.Q_N(brqrv_top_iccm_controller_n_0),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_mem_Gen_dccm_enable.dccm_rd_addr_hi_ff_dout_reg[0]  (
+	.CLK(brqrv_top_mem_active_clk),
+	.D(brqrv_top_dccm_rd_addr_hi[2]),
+	.Q(\brqrv_top_mem_Gen_dccm_enable.dccm_n_266 ),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_mem_Gen_dccm_enable.dccm_rd_addr_hi_ff_dout_reg[1]  (
+	.CLK(brqrv_top_mem_active_clk),
+	.D(brqrv_top_dccm_rd_addr_hi[3]),
+	.Q(\brqrv_top_mem_Gen_dccm_enable.dccm_n_267 ),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_mem_Gen_dccm_enable.dccm_rd_addr_lo_ff_dout_reg[0]  (
+	.CLK(brqrv_top_mem_active_clk),
+	.D(brqrv_top_dccm_rd_addr_lo[2]),
+	.Q(\brqrv_top_mem_Gen_dccm_enable.dccm_n_268 ),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_mem_Gen_dccm_enable.dccm_rd_addr_lo_ff_dout_reg[1]  (
+	.CLK(brqrv_top_mem_active_clk),
+	.D(brqrv_top_dccm_rd_addr_lo[3]),
+	.Q(\brqrv_top_mem_Gen_dccm_enable.dccm_n_269 ),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dlclkp_1 brqrv_top_mem_active_cg_clkhdr (
+	.CLK(brqrv_top_active_l2clk),
+	.GATE(brqrv_top_mem_active_cg_logic_1_1_net),
+	.GCLK(brqrv_top_mem_active_clk), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__conb_1 brqrv_top_mem_active_cg_tie_1_cell (
+	.HI(brqrv_top_mem_active_cg_logic_1_1_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_mem_iccm.iccm_rd_addr_hi_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_mem_active_clk),
+	.D(\brqrv_top_mem_iccm.iccm_addr_bank_inc [2]),
+	.Q(\brqrv_top_mem_iccm.iccm_n_370 ),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_mem_iccm.iccm_rd_addr_hi_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_mem_active_clk),
+	.D(\brqrv_top_mem_iccm.iccm_addr_bank_inc [3]),
+	.Q(\brqrv_top_mem_iccm.iccm_n_371 ),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_mem_iccm.iccm_rd_addr_lo_ff_genblock.dffs_dout_reg[0]  (
+	.CLK(brqrv_top_mem_active_clk),
+	.D(brqrv_top_n_973),
+	.Q(\brqrv_top_mem_iccm.iccm_n_1379 ),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_mem_iccm.iccm_rd_addr_lo_ff_genblock.dffs_dout_reg[1]  (
+	.CLK(brqrv_top_mem_active_clk),
+	.D(brqrv_top_n_974),
+	.Q(\brqrv_top_mem_iccm.iccm_iccm_rd_addr_lo_q [2]),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_mem_iccm.iccm_rd_addr_lo_ff_genblock.dffs_dout_reg[2]  (
+	.CLK(brqrv_top_mem_active_clk),
+	.D(brqrv_top_n_975),
+	.Q(\brqrv_top_mem_iccm.iccm_iccm_rd_addr_lo_q [3]),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_uart_rx_m_r_Bit_Index_reg[0]  (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_n_128),
+	.Q(brqrv_top_uart_rx_m_r_Bit_Index[0]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_uart_rx_m_n_135),
+	.SCE(brqrv_top_uart_rx_m_r_Bit_Index[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_uart_rx_m_r_Clock_Count_reg[0]  (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_n_165),
+	.Q(brqrv_top_uart_rx_m_r_Clock_Count[0]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_uart_rx_m_n_167),
+	.SCE(brqrv_top_uart_rx_m_r_Clock_Count[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_uart_rx_m_r_Clock_Count_reg[1]  (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_n_180),
+	.Q(brqrv_top_uart_rx_m_r_Clock_Count[1]),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_uart_rx_m_r_Clock_Count_reg[2]  (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_n_179),
+	.Q(brqrv_top_uart_rx_m_r_Clock_Count[2]),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_uart_rx_m_r_Clock_Count_reg[6]  (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_n_178),
+	.Q(brqrv_top_uart_rx_m_r_Clock_Count[6]),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_uart_rx_m_r_Clock_Count_reg[10]  (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_n_175),
+	.Q(brqrv_top_uart_rx_m_r_Clock_Count[10]),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_uart_rx_m_r_Clock_Count_reg[11]  (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_n_176),
+	.Q(brqrv_top_uart_rx_m_r_Clock_Count[11]),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_uart_rx_m_r_Clock_Count_reg[13]  (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_n_169),
+	.Q(brqrv_top_uart_rx_m_r_Clock_Count[13]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_uart_rx_m_n_181),
+	.SCE(brqrv_top_uart_rx_m_r_Clock_Count[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_uart_rx_m_r_Clock_Count_reg[15]  (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_n_190),
+	.Q(brqrv_top_uart_rx_m_r_Clock_Count[15]),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_uart_rx_m_r_Rx_Byte_reg[0]  (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_n_153),
+	.Q(brqrv_top_rx_byte_i[0]),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_uart_rx_m_r_Rx_Byte_reg[1]  (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_n_154),
+	.Q(brqrv_top_rx_byte_i[1]),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_uart_rx_m_r_Rx_Byte_reg[2]  (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_n_156),
+	.Q(brqrv_top_rx_byte_i[2]),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_uart_rx_m_r_Rx_Byte_reg[3]  (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_n_152),
+	.Q(brqrv_top_rx_byte_i[3]),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_uart_rx_m_r_Rx_Byte_reg[4]  (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_n_155),
+	.Q(brqrv_top_rx_byte_i[4]),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_uart_rx_m_r_Rx_Byte_reg[5]  (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_n_158),
+	.Q(brqrv_top_rx_byte_i[5]),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_uart_rx_m_r_Rx_Byte_reg[6]  (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_n_159),
+	.Q(brqrv_top_rx_byte_i[6]),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \brqrv_top_uart_rx_m_r_Rx_Byte_reg[7]  (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_r_Rx_Data),
+	.Q(brqrv_top_rx_byte_i[7]),
+	.RESET_B(rst),
+	.SCD(brqrv_top_rx_byte_i[7]),
+	.SCE(brqrv_top_uart_rx_m_n_140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 brqrv_top_uart_rx_m_r_Rx_DV_reg (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_n_132),
+	.Q(brqrv_top_rx_dv_i),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 brqrv_top_uart_rx_m_r_Rx_Data_R_reg (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_n_12),
+	.Q(brqrv_top_uart_rx_m_r_Rx_Data_R), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfxtp_1 brqrv_top_uart_rx_m_r_Rx_Data_reg (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_n_31),
+	.Q(brqrv_top_uart_rx_m_r_Rx_Data), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_uart_rx_m_r_SM_Main_reg[1]  (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_n_163),
+	.Q(brqrv_top_uart_rx_m_r_SM_Main[1]),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \brqrv_top_uart_rx_m_r_SM_Main_reg[2]  (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_n_127),
+	.Q(brqrv_top_uart_rx_m_r_SM_Main[2]),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32o_1 brqrv_top_uart_rx_m_g7542 (
+	.A1(brqrv_top_uart_rx_m_n_172),
+	.A2(brqrv_top_uart_rx_m_r_Clock_Count[14]),
+	.A3(brqrv_top_uart_rx_m_r_Clock_Count[13]),
+	.B1(brqrv_top_uart_rx_m_n_188),
+	.B2(brqrv_top_uart_rx_m_r_Clock_Count[15]),
+	.X(brqrv_top_uart_rx_m_n_190), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a32o_1 brqrv_top_uart_rx_m_g7544 (
+	.A1(brqrv_top_uart_rx_m_n_169),
+	.A2(brqrv_top_uart_rx_m_n_2),
+	.A3(brqrv_top_uart_rx_m_r_Clock_Count[13]),
+	.B1(brqrv_top_uart_rx_m_n_187),
+	.B2(brqrv_top_uart_rx_m_r_Clock_Count[14]),
+	.X(brqrv_top_uart_rx_m_n_189), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21o_1 brqrv_top_uart_rx_m_g7548 (
+	.A1(brqrv_top_uart_rx_m_n_165),
+	.A2(brqrv_top_uart_rx_m_n_2),
+	.B1(brqrv_top_uart_rx_m_n_187),
+	.X(brqrv_top_uart_rx_m_n_188), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_uart_rx_m_g7558 (
+	.A1(brqrv_top_uart_rx_m_n_9),
+	.A2(brqrv_top_uart_rx_m_n_171),
+	.B1(brqrv_top_uart_rx_m_n_105),
+	.B2(brqrv_top_uart_rx_m_n_164),
+	.Y(brqrv_top_uart_rx_m_n_186), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_uart_rx_m_g7559 (
+	.A1(brqrv_top_uart_rx_m_n_1),
+	.A2(brqrv_top_uart_rx_m_n_173),
+	.B1(brqrv_top_uart_rx_m_n_65),
+	.B2(brqrv_top_uart_rx_m_n_164),
+	.Y(brqrv_top_uart_rx_m_n_185), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 brqrv_top_uart_rx_m_g7560 (
+	.A1(brqrv_top_uart_rx_m_r_Clock_Count[12]),
+	.A2(brqrv_top_uart_rx_m_n_121),
+	.A3(brqrv_top_uart_rx_m_n_164),
+	.B1(brqrv_top_uart_rx_m_n_0),
+	.B2(brqrv_top_uart_rx_m_n_170),
+	.Y(brqrv_top_uart_rx_m_n_184), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 brqrv_top_uart_rx_m_g7561 (
+	.A1(brqrv_top_uart_rx_m_r_Clock_Count[7]),
+	.A2(brqrv_top_uart_rx_m_n_87),
+	.A3(brqrv_top_uart_rx_m_n_164),
+	.B1(brqrv_top_uart_rx_m_n_7),
+	.B2(brqrv_top_uart_rx_m_n_171),
+	.Y(brqrv_top_uart_rx_m_n_183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32ai_1 brqrv_top_uart_rx_m_g7562 (
+	.A1(brqrv_top_uart_rx_m_r_Clock_Count[3]),
+	.A2(brqrv_top_uart_rx_m_n_36),
+	.A3(brqrv_top_uart_rx_m_n_164),
+	.B1(brqrv_top_uart_rx_m_n_6),
+	.B2(brqrv_top_uart_rx_m_n_173),
+	.Y(brqrv_top_uart_rx_m_n_182), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 brqrv_top_uart_rx_m_g7563 (
+	.A1(brqrv_top_uart_rx_m_r_Clock_Count[13]),
+	.A2(brqrv_top_uart_rx_m_n_164),
+	.B1_N(brqrv_top_uart_rx_m_n_181),
+	.Y(brqrv_top_uart_rx_m_n_187), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_uart_rx_m_g7565 (
+	.A1(brqrv_top_uart_rx_m_n_165),
+	.A2(brqrv_top_uart_rx_m_n_24),
+	.B1(brqrv_top_uart_rx_m_n_167),
+	.B2(brqrv_top_uart_rx_m_r_Clock_Count[1]),
+	.X(brqrv_top_uart_rx_m_n_180), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_uart_rx_m_g7566 (
+	.A1(brqrv_top_uart_rx_m_n_165),
+	.A2(brqrv_top_uart_rx_m_n_38),
+	.B1(brqrv_top_uart_rx_m_n_167),
+	.B2(brqrv_top_uart_rx_m_r_Clock_Count[2]),
+	.X(brqrv_top_uart_rx_m_n_179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_uart_rx_m_g7567 (
+	.A1(brqrv_top_uart_rx_m_n_165),
+	.A2(brqrv_top_uart_rx_m_n_91),
+	.B1(brqrv_top_uart_rx_m_n_167),
+	.B2(brqrv_top_uart_rx_m_r_Clock_Count[6]),
+	.X(brqrv_top_uart_rx_m_n_178), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_uart_rx_m_g7568 (
+	.A1(brqrv_top_uart_rx_m_r_Clock_Count[12]),
+	.A2(brqrv_top_uart_rx_m_n_164),
+	.B1(brqrv_top_uart_rx_m_n_170),
+	.Y(brqrv_top_uart_rx_m_n_181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_uart_rx_m_g7569 (
+	.A1(brqrv_top_uart_rx_m_n_74),
+	.A2(brqrv_top_uart_rx_m_n_164),
+	.B1(brqrv_top_uart_rx_m_n_3),
+	.B2(brqrv_top_uart_rx_m_n_168),
+	.Y(brqrv_top_uart_rx_m_n_177), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_uart_rx_m_g7570 (
+	.A1(brqrv_top_uart_rx_m_n_165),
+	.A2(brqrv_top_uart_rx_m_n_122),
+	.B1(brqrv_top_uart_rx_m_n_167),
+	.B2(brqrv_top_uart_rx_m_r_Clock_Count[11]),
+	.X(brqrv_top_uart_rx_m_n_176), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 brqrv_top_uart_rx_m_g7571 (
+	.A1(brqrv_top_uart_rx_m_n_165),
+	.A2(brqrv_top_uart_rx_m_n_118),
+	.B1(brqrv_top_uart_rx_m_n_167),
+	.B2(brqrv_top_uart_rx_m_r_Clock_Count[10]),
+	.X(brqrv_top_uart_rx_m_n_175), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_1 brqrv_top_uart_rx_m_g7572 (
+	.A1(brqrv_top_uart_rx_m_n_108),
+	.A2(brqrv_top_uart_rx_m_n_164),
+	.B1(brqrv_top_uart_rx_m_n_8),
+	.B2(brqrv_top_uart_rx_m_n_168),
+	.Y(brqrv_top_uart_rx_m_n_174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_uart_rx_m_g7573 (
+	.A(brqrv_top_uart_rx_m_r_Clock_Count[15]),
+	.B_N(brqrv_top_uart_rx_m_n_169),
+	.Y(brqrv_top_uart_rx_m_n_172), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_uart_rx_m_g7574 (
+	.A1(brqrv_top_uart_rx_m_n_165),
+	.A2(brqrv_top_uart_rx_m_n_36),
+	.B1(brqrv_top_uart_rx_m_n_167),
+	.Y(brqrv_top_uart_rx_m_n_173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_uart_rx_m_g7575 (
+	.A1(brqrv_top_uart_rx_m_n_165),
+	.A2(brqrv_top_uart_rx_m_n_87),
+	.B1(brqrv_top_uart_rx_m_n_167),
+	.Y(brqrv_top_uart_rx_m_n_171), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_uart_rx_m_g7576 (
+	.A1(brqrv_top_uart_rx_m_n_165),
+	.A2(brqrv_top_uart_rx_m_n_121),
+	.B1(brqrv_top_uart_rx_m_n_167),
+	.Y(brqrv_top_uart_rx_m_n_170), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_uart_rx_m_g7579 (
+	.A(brqrv_top_uart_rx_m_n_168),
+	.Y(brqrv_top_uart_rx_m_n_167), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 brqrv_top_uart_rx_m_g7580 (
+	.A(brqrv_top_uart_rx_m_n_0),
+	.B(brqrv_top_uart_rx_m_n_121),
+	.C(brqrv_top_uart_rx_m_n_164),
+	.Y(brqrv_top_uart_rx_m_n_169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 brqrv_top_uart_rx_m_g7581 (
+	.A(brqrv_top_uart_rx_m_n_161),
+	.B(brqrv_top_uart_rx_m_n_44),
+	.C(brqrv_top_uart_rx_m_n_29),
+	.D(brqrv_top_uart_rx_m_n_30),
+	.Y(brqrv_top_uart_rx_m_n_168), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 brqrv_top_uart_rx_m_g7582 (
+	.A1(brqrv_top_uart_rx_m_r_SM_Main[0]),
+	.A2(brqrv_top_uart_rx_m_n_44),
+	.B1(brqrv_top_uart_rx_m_n_140),
+	.C1(brqrv_top_uart_rx_m_n_162),
+	.Y(brqrv_top_uart_rx_m_n_166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_uart_rx_m_g7583 (
+	.A(brqrv_top_uart_rx_m_n_165),
+	.Y(brqrv_top_uart_rx_m_n_164), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 brqrv_top_uart_rx_m_g7584 (
+	.A1(brqrv_top_uart_rx_m_n_44),
+	.A2(brqrv_top_uart_rx_m_n_160),
+	.B1(brqrv_top_uart_rx_m_n_29),
+	.C1(brqrv_top_uart_rx_m_n_131),
+	.Y(brqrv_top_uart_rx_m_n_163), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_uart_rx_m_g7585 (
+	.A1(brqrv_top_uart_rx_m_n_29),
+	.A2(brqrv_top_uart_rx_m_n_125),
+	.B1(brqrv_top_uart_rx_m_n_162),
+	.Y(brqrv_top_uart_rx_m_n_165), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 brqrv_top_uart_rx_m_g7586 (
+	.A1(brqrv_top_uart_rx_m_n_148),
+	.A2(brqrv_top_uart_rx_m_n_20),
+	.A3(brqrv_top_uart_rx_m_r_SM_Main[0]),
+	.B1(brqrv_top_uart_rx_m_n_130),
+	.Y(brqrv_top_uart_rx_m_n_162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_uart_rx_m_g7592 (
+	.A(brqrv_top_uart_rx_m_n_160),
+	.B(brqrv_top_uart_rx_m_n_20),
+	.Y(brqrv_top_uart_rx_m_n_161), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_uart_rx_m_g7597 (
+	.A1(brqrv_top_uart_rx_m_n_13),
+	.A2(brqrv_top_uart_rx_m_n_144),
+	.B1(brqrv_top_uart_rx_m_n_147),
+	.Y(brqrv_top_uart_rx_m_n_159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_uart_rx_m_g7598 (
+	.A1(brqrv_top_uart_rx_m_n_14),
+	.A2(brqrv_top_uart_rx_m_n_144),
+	.B1(brqrv_top_uart_rx_m_n_146),
+	.Y(brqrv_top_uart_rx_m_n_158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_uart_rx_m_g7599 (
+	.A_N(brqrv_top_uart_rx_m_n_148),
+	.B(brqrv_top_uart_rx_m_r_SM_Main[0]),
+	.Y(brqrv_top_uart_rx_m_n_160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_uart_rx_m_g7602 (
+	.A1(brqrv_top_uart_rx_m_n_5),
+	.A2(brqrv_top_uart_rx_m_n_138),
+	.B1(brqrv_top_uart_rx_m_n_141),
+	.Y(brqrv_top_uart_rx_m_n_157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_uart_rx_m_g7603 (
+	.A1(brqrv_top_uart_rx_m_n_13),
+	.A2(brqrv_top_uart_rx_m_n_143),
+	.B1(brqrv_top_uart_rx_m_n_151),
+	.Y(brqrv_top_uart_rx_m_n_156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_uart_rx_m_g7604 (
+	.A1(brqrv_top_uart_rx_m_n_16),
+	.A2(brqrv_top_uart_rx_m_n_144),
+	.B1(brqrv_top_uart_rx_m_n_149),
+	.Y(brqrv_top_uart_rx_m_n_155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_uart_rx_m_g7605 (
+	.A1(brqrv_top_uart_rx_m_n_14),
+	.A2(brqrv_top_uart_rx_m_n_143),
+	.B1(brqrv_top_uart_rx_m_n_150),
+	.Y(brqrv_top_uart_rx_m_n_154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_uart_rx_m_g7606 (
+	.A1_N(brqrv_top_rx_byte_i[0]),
+	.A2_N(brqrv_top_uart_rx_m_n_142),
+	.B1(brqrv_top_uart_rx_m_n_16),
+	.B2(brqrv_top_uart_rx_m_n_143),
+	.Y(brqrv_top_uart_rx_m_n_153), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_uart_rx_m_g7607 (
+	.A1_N(brqrv_top_rx_byte_i[3]),
+	.A2_N(brqrv_top_uart_rx_m_n_141),
+	.B1(brqrv_top_uart_rx_m_n_19),
+	.B2(brqrv_top_uart_rx_m_n_143),
+	.Y(brqrv_top_uart_rx_m_n_152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_uart_rx_m_g7608 (
+	.A1(brqrv_top_uart_rx_m_n_13),
+	.A2(brqrv_top_uart_rx_m_n_134),
+	.B1(brqrv_top_rx_byte_i[2]),
+	.Y(brqrv_top_uart_rx_m_n_151), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_uart_rx_m_g7609 (
+	.A1(brqrv_top_uart_rx_m_n_14),
+	.A2(brqrv_top_uart_rx_m_n_134),
+	.B1(brqrv_top_rx_byte_i[1]),
+	.Y(brqrv_top_uart_rx_m_n_150), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_uart_rx_m_g7610 (
+	.A1(brqrv_top_uart_rx_m_n_16),
+	.A2(brqrv_top_uart_rx_m_n_136),
+	.B1(brqrv_top_rx_byte_i[4]),
+	.Y(brqrv_top_uart_rx_m_n_149), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_uart_rx_m_g7611 (
+	.A1(brqrv_top_uart_rx_m_n_13),
+	.A2(brqrv_top_uart_rx_m_n_136),
+	.B1(brqrv_top_rx_byte_i[6]),
+	.Y(brqrv_top_uart_rx_m_n_147), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_uart_rx_m_g7612 (
+	.A1(brqrv_top_uart_rx_m_n_14),
+	.A2(brqrv_top_uart_rx_m_n_136),
+	.B1(brqrv_top_rx_byte_i[5]),
+	.Y(brqrv_top_uart_rx_m_n_146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 brqrv_top_uart_rx_m_g7613 (
+	.A1(brqrv_top_uart_rx_m_n_14),
+	.A2(brqrv_top_uart_rx_m_n_129),
+	.B1(brqrv_top_uart_rx_m_n_13),
+	.B2(brqrv_top_uart_rx_m_n_29),
+	.C1(brqrv_top_uart_rx_m_n_139),
+	.Y(brqrv_top_uart_rx_m_n_145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a2111o_1 brqrv_top_uart_rx_m_g7614 (
+	.A1(brqrv_top_uart_rx_m_n_53),
+	.A2(brqrv_top_uart_rx_m_r_Clock_Count[3]),
+	.B1(brqrv_top_uart_rx_m_n_75),
+	.C1(brqrv_top_uart_rx_m_n_72),
+	.D1(brqrv_top_uart_rx_m_n_126),
+	.X(brqrv_top_uart_rx_m_n_148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_uart_rx_m_g7615 (
+	.A(brqrv_top_uart_rx_m_n_133),
+	.B(brqrv_top_uart_rx_m_n_15),
+	.Y(brqrv_top_uart_rx_m_n_142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_uart_rx_m_g7617 (
+	.A(brqrv_top_uart_rx_m_n_137),
+	.B(brqrv_top_uart_rx_m_r_Rx_Data),
+	.Y(brqrv_top_uart_rx_m_n_144), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_uart_rx_m_g7618 (
+	.A(brqrv_top_uart_rx_m_n_133),
+	.B(brqrv_top_uart_rx_m_r_Rx_Data),
+	.Y(brqrv_top_uart_rx_m_n_143), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_uart_rx_m_g7619 (
+	.A(brqrv_top_uart_rx_m_n_135),
+	.B(brqrv_top_uart_rx_m_r_Bit_Index[1]),
+	.Y(brqrv_top_uart_rx_m_n_139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_uart_rx_m_g7620 (
+	.A1(brqrv_top_uart_rx_m_n_28),
+	.A2(brqrv_top_uart_rx_m_n_19),
+	.B1(brqrv_top_uart_rx_m_n_135),
+	.Y(brqrv_top_uart_rx_m_n_138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_uart_rx_m_g7621 (
+	.A_N(brqrv_top_uart_rx_m_n_19),
+	.B(brqrv_top_uart_rx_m_n_133),
+	.Y(brqrv_top_uart_rx_m_n_141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_uart_rx_m_g7622 (
+	.A_N(brqrv_top_uart_rx_m_n_19),
+	.B(brqrv_top_uart_rx_m_n_137),
+	.Y(brqrv_top_uart_rx_m_n_140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 brqrv_top_uart_rx_m_g7623 (
+	.A(brqrv_top_uart_rx_m_n_137),
+	.Y(brqrv_top_uart_rx_m_n_136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_uart_rx_m_g7625 (
+	.A(brqrv_top_uart_rx_m_n_5),
+	.B(brqrv_top_uart_rx_m_n_129),
+	.Y(brqrv_top_uart_rx_m_n_137), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 brqrv_top_uart_rx_m_g7626 (
+	.A(brqrv_top_uart_rx_m_n_134),
+	.Y(brqrv_top_uart_rx_m_n_133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_uart_rx_m_g7627 (
+	.A_N(brqrv_top_uart_rx_m_n_127),
+	.B(brqrv_top_uart_rx_m_n_25),
+	.Y(brqrv_top_uart_rx_m_n_132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_uart_rx_m_g7628 (
+	.A1(brqrv_top_uart_rx_m_n_20),
+	.A2(brqrv_top_uart_rx_m_n_10),
+	.B1(brqrv_top_uart_rx_m_n_128),
+	.Y(brqrv_top_uart_rx_m_n_135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_uart_rx_m_g7629 (
+	.A(brqrv_top_uart_rx_m_n_128),
+	.B(brqrv_top_uart_rx_m_n_5),
+	.Y(brqrv_top_uart_rx_m_n_134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_uart_rx_m_g7630 (
+	.A(brqrv_top_uart_rx_m_n_130),
+	.Y(brqrv_top_uart_rx_m_n_131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_uart_rx_m_g7631 (
+	.A(brqrv_top_uart_rx_m_n_129),
+	.Y(brqrv_top_uart_rx_m_n_128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_uart_rx_m_g7632 (
+	.A(brqrv_top_uart_rx_m_n_30),
+	.B(brqrv_top_uart_rx_m_n_125),
+	.Y(brqrv_top_uart_rx_m_n_130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_uart_rx_m_g7633 (
+	.A(brqrv_top_uart_rx_m_n_125),
+	.B(brqrv_top_uart_rx_m_n_28),
+	.Y(brqrv_top_uart_rx_m_n_129), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 brqrv_top_uart_rx_m_g7634 (
+	.A1(brqrv_top_uart_rx_m_r_Clock_Count[8]),
+	.A2(brqrv_top_uart_rx_m_n_51),
+	.B1(brqrv_top_uart_rx_m_r_Clock_Count[2]),
+	.B2(brqrv_top_uart_rx_m_n_35),
+	.C1(brqrv_top_uart_rx_m_n_124),
+	.Y(brqrv_top_uart_rx_m_n_126), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_uart_rx_m_g7635 (
+	.A(brqrv_top_uart_rx_m_n_30),
+	.B_N(brqrv_top_uart_rx_m_n_125),
+	.Y(brqrv_top_uart_rx_m_n_127), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a31oi_1 brqrv_top_uart_rx_m_g7636 (
+	.A1(brqrv_top_uart_rx_m_n_115),
+	.A2(brqrv_top_uart_rx_m_n_120),
+	.A3(brqrv_top_uart_rx_m_n_98),
+	.B1(brqrv_top_uart_rx_m_n_72),
+	.Y(brqrv_top_uart_rx_m_n_125), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_uart_rx_m_g7637 (
+	.A1(brqrv_top_uart_rx_m_n_51),
+	.A2(brqrv_top_uart_rx_m_r_Clock_Count[8]),
+	.B1(brqrv_top_uart_rx_m_n_123),
+	.Y(brqrv_top_uart_rx_m_n_124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 brqrv_top_uart_rx_m_g7638 (
+	.A1(brqrv_top_uart_rx_m_r_Clock_Count[11]),
+	.A2(brqrv_top_uart_rx_m_n_83),
+	.B1(brqrv_top_uart_rx_m_n_84),
+	.C1(brqrv_top_uart_rx_m_n_96),
+	.D1(brqrv_top_uart_rx_m_n_117),
+	.Y(brqrv_top_uart_rx_m_n_123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_uart_rx_m_g7639 (
+	.A(brqrv_top_uart_rx_m_r_Clock_Count[11]),
+	.B(brqrv_top_uart_rx_m_n_119),
+	.X(brqrv_top_uart_rx_m_n_122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_uart_rx_m_g7640 (
+	.A(brqrv_top_uart_rx_m_n_119),
+	.B(brqrv_top_uart_rx_m_r_Clock_Count[11]),
+	.Y(brqrv_top_uart_rx_m_n_121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 brqrv_top_uart_rx_m_g7641 (
+	.A1(brqrv_top_uart_rx_m_r_Clock_Count[8]),
+	.A2(brqrv_top_uart_rx_m_n_68),
+	.B1(brqrv_top_uart_rx_m_n_94),
+	.C1(brqrv_top_uart_rx_m_n_114),
+	.D1(brqrv_top_uart_rx_m_n_104),
+	.Y(brqrv_top_uart_rx_m_n_120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__ha_1 brqrv_top_uart_rx_m_g7642 (
+	.A(brqrv_top_uart_rx_m_r_Clock_Count[10]),
+	.B(brqrv_top_uart_rx_m_n_107),
+	.COUT(brqrv_top_uart_rx_m_n_119),
+	.SUM(brqrv_top_uart_rx_m_n_118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_uart_rx_m_g7643 (
+	.A1(brqrv_top_uart_rx_m_n_63),
+	.A2(brqrv_top_uart_rx_m_r_Clock_Count[10]),
+	.B1(brqrv_top_uart_rx_m_n_116),
+	.Y(brqrv_top_uart_rx_m_n_117), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_uart_rx_m_g7644 (
+	.A1(brqrv_top_uart_rx_m_r_Clock_Count[10]),
+	.A2(brqrv_top_uart_rx_m_n_63),
+	.B1(brqrv_top_uart_rx_m_n_113),
+	.Y(brqrv_top_uart_rx_m_n_116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_uart_rx_m_g7645 (
+	.A1(brqrv_top_uart_rx_m_n_69),
+	.A2(brqrv_top_uart_rx_m_r_Clock_Count[15]),
+	.B1(brqrv_top_uart_rx_m_n_112),
+	.Y(brqrv_top_uart_rx_m_n_115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_uart_rx_m_g7646 (
+	.A(brqrv_top_uart_rx_m_n_47),
+	.B(brqrv_top_uart_rx_m_n_111),
+	.C(brqrv_top_uart_rx_m_r_Clock_Count[7]),
+	.X(brqrv_top_uart_rx_m_n_114), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 brqrv_top_uart_rx_m_g7647 (
+	.A1(brqrv_top_uart_rx_m_n_83),
+	.A2(brqrv_top_uart_rx_m_r_Clock_Count[11]),
+	.B1(brqrv_top_uart_rx_m_r_Clock_Count[15]),
+	.C1(brqrv_top_uart_rx_m_n_110),
+	.Y(brqrv_top_uart_rx_m_n_113), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_uart_rx_m_g7648 (
+	.A1_N(brqrv_top_uart_rx_m_n_104),
+	.A2_N(brqrv_top_uart_rx_m_n_109),
+	.B1(brqrv_top_uart_rx_m_n_92),
+	.B2(brqrv_top_uart_rx_m_n_100),
+	.Y(brqrv_top_uart_rx_m_n_112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_uart_rx_m_g7649 (
+	.A(brqrv_top_uart_rx_m_n_60),
+	.B(brqrv_top_uart_rx_m_n_101),
+	.C(brqrv_top_uart_rx_m_r_Clock_Count[6]),
+	.X(brqrv_top_uart_rx_m_n_111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_uart_rx_m_g7650 (
+	.A1(brqrv_top_uart_rx_m_r_Clock_Count[9]),
+	.A2(brqrv_top_uart_rx_m_n_76),
+	.B1(brqrv_top_uart_rx_m_n_106),
+	.Y(brqrv_top_uart_rx_m_n_110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 brqrv_top_uart_rx_m_g7651 (
+	.A(brqrv_top_uart_rx_m_n_99),
+	.B(brqrv_top_uart_rx_m_n_97),
+	.C(brqrv_top_uart_rx_m_n_78),
+	.D(brqrv_top_uart_rx_m_n_86),
+	.Y(brqrv_top_uart_rx_m_n_109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_uart_rx_m_g7652 (
+	.A(brqrv_top_uart_rx_m_n_8),
+	.B(brqrv_top_uart_rx_m_n_103),
+	.Y(brqrv_top_uart_rx_m_n_108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_uart_rx_m_g7653 (
+	.A(brqrv_top_uart_rx_m_n_8),
+	.B(brqrv_top_uart_rx_m_n_103),
+	.Y(brqrv_top_uart_rx_m_n_107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a211oi_1 brqrv_top_uart_rx_m_g7654 (
+	.A1(brqrv_top_uart_rx_m_n_70),
+	.A2(brqrv_top_uart_rx_m_r_Clock_Count[12]),
+	.B1(brqrv_top_uart_rx_m_n_77),
+	.C1(brqrv_top_uart_rx_m_n_102),
+	.Y(brqrv_top_uart_rx_m_n_106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32a_1 brqrv_top_uart_rx_m_g7655 (
+	.A1(brqrv_top_uart_rx_m_r_Clock_Count[8]),
+	.A2(brqrv_top_uart_rx_m_n_7),
+	.A3(brqrv_top_uart_rx_m_n_87),
+	.B1(brqrv_top_uart_rx_m_n_9),
+	.B2(brqrv_top_uart_rx_m_r_Clock_Count[7]),
+	.X(brqrv_top_uart_rx_m_n_105), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_uart_rx_m_g7656 (
+	.A(brqrv_top_uart_rx_m_n_89),
+	.B(brqrv_top_uart_rx_m_n_100),
+	.Y(brqrv_top_uart_rx_m_n_104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 brqrv_top_uart_rx_m_g7657 (
+	.A1(brqrv_top_uart_rx_m_r_Clock_Count[13]),
+	.A2(brqrv_top_uart_rx_m_n_88),
+	.B1(brqrv_top_uart_rx_m_r_Clock_Count[12]),
+	.B2(brqrv_top_uart_rx_m_n_70),
+	.C1(brqrv_top_uart_rx_m_n_95),
+	.Y(brqrv_top_uart_rx_m_n_102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 brqrv_top_uart_rx_m_g7658 (
+	.A(brqrv_top_uart_rx_m_n_9),
+	.B(brqrv_top_uart_rx_m_n_7),
+	.C(brqrv_top_uart_rx_m_n_87),
+	.X(brqrv_top_uart_rx_m_n_103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_uart_rx_m_g7659 (
+	.A(brqrv_top_uart_rx_m_n_41),
+	.B(brqrv_top_uart_rx_m_n_93),
+	.C(brqrv_top_uart_rx_m_r_Clock_Count[5]),
+	.X(brqrv_top_uart_rx_m_n_101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 brqrv_top_uart_rx_m_g7660 (
+	.A(brqrv_top_uart_rx_m_n_94),
+	.B(brqrv_top_uart_rx_m_n_68),
+	.C(brqrv_top_uart_rx_m_r_Clock_Count[8]),
+	.Y(brqrv_top_uart_rx_m_n_99), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 brqrv_top_uart_rx_m_g7661 (
+	.A1(brqrv_top_uart_rx_m_r_Clock_Count[13]),
+	.A2(brqrv_top_uart_rx_m_n_70),
+	.B1(brqrv_top_uart_rx_m_r_Clock_Count[14]),
+	.B2(brqrv_top_uart_rx_m_n_88),
+	.C1(brqrv_top_uart_rx_m_n_79),
+	.Y(brqrv_top_uart_rx_m_n_100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 brqrv_top_uart_rx_m_g7662 (
+	.A(brqrv_top_uart_rx_m_n_79),
+	.B(brqrv_top_uart_rx_m_n_88),
+	.C(brqrv_top_uart_rx_m_r_Clock_Count[14]),
+	.Y(brqrv_top_uart_rx_m_n_98), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 brqrv_top_uart_rx_m_g7663 (
+	.A(brqrv_top_uart_rx_m_n_90),
+	.B(brqrv_top_uart_rx_m_n_51),
+	.C(brqrv_top_uart_rx_m_r_Clock_Count[9]),
+	.Y(brqrv_top_uart_rx_m_n_97), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 brqrv_top_uart_rx_m_g7664 (
+	.A(brqrv_top_uart_rx_m_n_56),
+	.B(brqrv_top_uart_rx_m_n_67),
+	.C(brqrv_top_uart_rx_m_n_81),
+	.D(brqrv_top_uart_rx_m_n_82),
+	.Y(brqrv_top_uart_rx_m_n_96), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_uart_rx_m_g7665 (
+	.A1(brqrv_top_uart_rx_m_n_88),
+	.A2(brqrv_top_uart_rx_m_r_Clock_Count[13]),
+	.B1(brqrv_top_uart_rx_m_n_69),
+	.B2(brqrv_top_uart_rx_m_r_Clock_Count[14]),
+	.Y(brqrv_top_uart_rx_m_n_95), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_uart_rx_m_g7666 (
+	.A(brqrv_top_uart_rx_m_n_53),
+	.B(brqrv_top_uart_rx_m_n_73),
+	.C(brqrv_top_uart_rx_m_r_Clock_Count[4]),
+	.X(brqrv_top_uart_rx_m_n_93), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21a_1 brqrv_top_uart_rx_m_g7667 (
+	.A1(brqrv_top_uart_rx_m_r_Clock_Count[9]),
+	.A2(brqrv_top_uart_rx_m_n_51),
+	.B1(brqrv_top_uart_rx_m_n_90),
+	.X(brqrv_top_uart_rx_m_n_94), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22oi_1 brqrv_top_uart_rx_m_g7668 (
+	.A1(brqrv_top_uart_rx_m_n_70),
+	.A2(brqrv_top_uart_rx_m_r_Clock_Count[13]),
+	.B1(brqrv_top_uart_rx_m_n_83),
+	.B2(brqrv_top_uart_rx_m_r_Clock_Count[12]),
+	.Y(brqrv_top_uart_rx_m_n_92), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_uart_rx_m_g7669 (
+	.A(brqrv_top_uart_rx_m_r_Clock_Count[6]),
+	.B(brqrv_top_uart_rx_m_n_71),
+	.X(brqrv_top_uart_rx_m_n_91), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_uart_rx_m_g7670 (
+	.A(brqrv_top_uart_rx_m_r_Clock_Count[12]),
+	.B(brqrv_top_uart_rx_m_n_83),
+	.Y(brqrv_top_uart_rx_m_n_89), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_uart_rx_m_g7671 (
+	.A(brqrv_top_uart_rx_m_n_85),
+	.B(brqrv_top_uart_rx_m_n_80),
+	.Y(brqrv_top_uart_rx_m_n_90), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 brqrv_top_uart_rx_m_g7672 (
+	.A_N(brqrv_top_uart_rx_m_n_80),
+	.B(brqrv_top_uart_rx_m_n_76),
+	.C(brqrv_top_uart_rx_m_r_Clock_Count[10]),
+	.Y(brqrv_top_uart_rx_m_n_86), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_uart_rx_m_g7673 (
+	.A1(brqrv_top_uart_rx_m_n_61),
+	.A2(la_data_in[46]),
+	.B1(brqrv_top_uart_rx_m_n_64),
+	.Y(brqrv_top_uart_rx_m_n_88), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_uart_rx_m_g7674 (
+	.A(brqrv_top_uart_rx_m_n_71),
+	.B(brqrv_top_uart_rx_m_r_Clock_Count[6]),
+	.Y(brqrv_top_uart_rx_m_n_87), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_uart_rx_m_g7675 (
+	.A(brqrv_top_uart_rx_m_r_Clock_Count[10]),
+	.B(brqrv_top_uart_rx_m_n_76),
+	.Y(brqrv_top_uart_rx_m_n_85), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_uart_rx_m_g7676 (
+	.A(brqrv_top_uart_rx_m_n_76),
+	.B(brqrv_top_uart_rx_m_r_Clock_Count[9]),
+	.Y(brqrv_top_uart_rx_m_n_84), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_uart_rx_m_g7677 (
+	.A1_N(brqrv_top_uart_rx_m_r_Clock_Count[7]),
+	.A2_N(brqrv_top_uart_rx_m_n_68),
+	.B1(brqrv_top_uart_rx_m_r_Clock_Count[5]),
+	.B2(brqrv_top_uart_rx_m_n_60),
+	.Y(brqrv_top_uart_rx_m_n_82), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_uart_rx_m_g7678 (
+	.A1_N(brqrv_top_uart_rx_m_r_Clock_Count[5]),
+	.A2_N(brqrv_top_uart_rx_m_n_60),
+	.B1(brqrv_top_uart_rx_m_r_Clock_Count[7]),
+	.B2(brqrv_top_uart_rx_m_n_68),
+	.Y(brqrv_top_uart_rx_m_n_81), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_uart_rx_m_g7679 (
+	.A1(brqrv_top_uart_rx_m_n_54),
+	.A2(la_data_in[44]),
+	.B1(brqrv_top_uart_rx_m_n_52),
+	.Y(brqrv_top_uart_rx_m_n_83), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_uart_rx_m_g7680 (
+	.A(brqrv_top_uart_rx_m_n_63),
+	.B(brqrv_top_uart_rx_m_r_Clock_Count[11]),
+	.Y(brqrv_top_uart_rx_m_n_78), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_uart_rx_m_g7681 (
+	.A(brqrv_top_uart_rx_m_r_Clock_Count[14]),
+	.B(brqrv_top_uart_rx_m_n_69),
+	.Y(brqrv_top_uart_rx_m_n_77), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_uart_rx_m_g7682 (
+	.A(brqrv_top_uart_rx_m_r_Clock_Count[11]),
+	.B(brqrv_top_uart_rx_m_n_63),
+	.Y(brqrv_top_uart_rx_m_n_80), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_2 brqrv_top_uart_rx_m_g7683 (
+	.A(brqrv_top_uart_rx_m_r_Clock_Count[15]),
+	.B(brqrv_top_uart_rx_m_n_69),
+	.X(brqrv_top_uart_rx_m_n_79), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 brqrv_top_uart_rx_m_g7684 (
+	.A1(brqrv_top_uart_rx_m_r_Clock_Count[3]),
+	.A2(brqrv_top_uart_rx_m_n_53),
+	.B1(brqrv_top_uart_rx_m_n_49),
+	.C1(brqrv_top_uart_rx_m_n_66),
+	.Y(brqrv_top_uart_rx_m_n_75), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_uart_rx_m_g7685 (
+	.A(brqrv_top_uart_rx_m_n_3),
+	.B(brqrv_top_uart_rx_m_n_59),
+	.Y(brqrv_top_uart_rx_m_n_74), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o211ai_1 brqrv_top_uart_rx_m_g7686 (
+	.A1(brqrv_top_uart_rx_m_n_6),
+	.A2(brqrv_top_uart_rx_m_n_50),
+	.B1(brqrv_top_uart_rx_m_n_62),
+	.C1(brqrv_top_uart_rx_m_n_58),
+	.Y(brqrv_top_uart_rx_m_n_73), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_uart_rx_m_g7687 (
+	.A1(brqrv_top_uart_rx_m_n_48),
+	.A2(la_data_in[42]),
+	.B1(brqrv_top_uart_rx_m_n_46),
+	.Y(brqrv_top_uart_rx_m_n_76), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_uart_rx_m_g7688 (
+	.A(la_data_in[47]),
+	.B_N(brqrv_top_uart_rx_m_n_64),
+	.Y(brqrv_top_uart_rx_m_n_72), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_uart_rx_m_g7689 (
+	.A(brqrv_top_uart_rx_m_n_3),
+	.B(brqrv_top_uart_rx_m_n_59),
+	.Y(brqrv_top_uart_rx_m_n_71), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_uart_rx_m_g7690 (
+	.A(la_data_in[45]),
+	.B(brqrv_top_uart_rx_m_n_52),
+	.Y(brqrv_top_uart_rx_m_n_70), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_uart_rx_m_g7691 (
+	.A_N(brqrv_top_uart_rx_m_n_64),
+	.B(la_data_in[47]),
+	.Y(brqrv_top_uart_rx_m_n_69), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_uart_rx_m_g7692 (
+	.A1_N(brqrv_top_uart_rx_m_r_Clock_Count[6]),
+	.A2_N(brqrv_top_uart_rx_m_n_47),
+	.B1(brqrv_top_uart_rx_m_r_Clock_Count[6]),
+	.B2(brqrv_top_uart_rx_m_n_47),
+	.Y(brqrv_top_uart_rx_m_n_67), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_uart_rx_m_g7693 (
+	.A1(brqrv_top_uart_rx_m_n_27),
+	.A2(brqrv_top_uart_rx_m_r_Clock_Count[0]),
+	.B1(brqrv_top_uart_rx_m_n_57),
+	.Y(brqrv_top_uart_rx_m_n_66), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o32a_1 brqrv_top_uart_rx_m_g7694 (
+	.A1(brqrv_top_uart_rx_m_r_Clock_Count[4]),
+	.A2(brqrv_top_uart_rx_m_n_6),
+	.A3(brqrv_top_uart_rx_m_n_36),
+	.B1(brqrv_top_uart_rx_m_r_Clock_Count[3]),
+	.B2(brqrv_top_uart_rx_m_n_1),
+	.X(brqrv_top_uart_rx_m_n_65), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_uart_rx_m_g7695 (
+	.A1(brqrv_top_uart_rx_m_n_42),
+	.A2(la_data_in[40]),
+	.B1(brqrv_top_uart_rx_m_n_40),
+	.Y(brqrv_top_uart_rx_m_n_68), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_uart_rx_m_g7696 (
+	.A(brqrv_top_uart_rx_m_n_55),
+	.B(brqrv_top_uart_rx_m_n_35),
+	.Y(brqrv_top_uart_rx_m_n_62), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_uart_rx_m_g7697 (
+	.A_N(la_data_in[45]),
+	.B(brqrv_top_uart_rx_m_n_52),
+	.Y(brqrv_top_uart_rx_m_n_61), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 brqrv_top_uart_rx_m_g7698 (
+	.A(la_data_in[45]),
+	.B(la_data_in[46]),
+	.C_N(brqrv_top_uart_rx_m_n_52),
+	.Y(brqrv_top_uart_rx_m_n_64), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_uart_rx_m_g7699 (
+	.A(la_data_in[43]),
+	.B(brqrv_top_uart_rx_m_n_46),
+	.Y(brqrv_top_uart_rx_m_n_63), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 brqrv_top_uart_rx_m_g7700 (
+	.A1(brqrv_top_uart_rx_m_r_Clock_Count[3]),
+	.A2(brqrv_top_uart_rx_m_n_35),
+	.B1(brqrv_top_uart_rx_m_r_Clock_Count[2]),
+	.B2(brqrv_top_uart_rx_m_n_43),
+	.C1(brqrv_top_uart_rx_m_n_39),
+	.Y(brqrv_top_uart_rx_m_n_58), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221ai_1 brqrv_top_uart_rx_m_g7701 (
+	.A1(brqrv_top_uart_rx_m_r_Clock_Count[0]),
+	.A2(brqrv_top_uart_rx_m_n_27),
+	.B1(brqrv_top_uart_rx_m_r_Clock_Count[1]),
+	.B2(brqrv_top_uart_rx_m_n_43),
+	.C1(brqrv_top_uart_rx_m_n_45),
+	.Y(brqrv_top_uart_rx_m_n_57), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 brqrv_top_uart_rx_m_g7702 (
+	.A1_N(brqrv_top_uart_rx_m_r_Clock_Count[4]),
+	.A2_N(brqrv_top_uart_rx_m_n_41),
+	.B1(brqrv_top_uart_rx_m_r_Clock_Count[4]),
+	.B2(brqrv_top_uart_rx_m_n_41),
+	.Y(brqrv_top_uart_rx_m_n_56), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_uart_rx_m_g7703 (
+	.A1(brqrv_top_uart_rx_m_n_37),
+	.A2(la_data_in[38]),
+	.B1(brqrv_top_uart_rx_m_n_34),
+	.Y(brqrv_top_uart_rx_m_n_60), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or3_1 brqrv_top_uart_rx_m_g7704 (
+	.A(brqrv_top_uart_rx_m_n_1),
+	.B(brqrv_top_uart_rx_m_n_6),
+	.C(brqrv_top_uart_rx_m_n_36),
+	.X(brqrv_top_uart_rx_m_n_59), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_uart_rx_m_g7705 (
+	.A(brqrv_top_uart_rx_m_n_50),
+	.B(brqrv_top_uart_rx_m_n_6),
+	.Y(brqrv_top_uart_rx_m_n_55), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_uart_rx_m_g7706 (
+	.A_N(la_data_in[43]),
+	.B(brqrv_top_uart_rx_m_n_46),
+	.Y(brqrv_top_uart_rx_m_n_54), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_uart_rx_m_g7707 (
+	.A1(brqrv_top_uart_rx_m_n_33),
+	.A2(la_data_in[36]),
+	.B1(brqrv_top_uart_rx_m_n_32),
+	.Y(brqrv_top_uart_rx_m_n_53), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 brqrv_top_uart_rx_m_g7708 (
+	.A(la_data_in[43]),
+	.B(la_data_in[44]),
+	.C_N(brqrv_top_uart_rx_m_n_46),
+	.Y(brqrv_top_uart_rx_m_n_52), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_uart_rx_m_g7709 (
+	.A(la_data_in[41]),
+	.B(brqrv_top_uart_rx_m_n_40),
+	.Y(brqrv_top_uart_rx_m_n_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_uart_rx_m_g7710 (
+	.A(brqrv_top_uart_rx_m_n_35),
+	.B(brqrv_top_uart_rx_m_r_Clock_Count[2]),
+	.Y(brqrv_top_uart_rx_m_n_49), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_uart_rx_m_g7711 (
+	.A_N(la_data_in[41]),
+	.B(brqrv_top_uart_rx_m_n_40),
+	.Y(brqrv_top_uart_rx_m_n_48), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_uart_rx_m_g7712 (
+	.A(brqrv_top_uart_rx_m_n_43),
+	.B(brqrv_top_uart_rx_m_r_Clock_Count[2]),
+	.Y(brqrv_top_uart_rx_m_n_50), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_uart_rx_m_g7713 (
+	.A(brqrv_top_uart_rx_m_n_43),
+	.B(brqrv_top_uart_rx_m_r_Clock_Count[1]),
+	.Y(brqrv_top_uart_rx_m_n_45), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_uart_rx_m_g7714 (
+	.A(la_data_in[39]),
+	.B(brqrv_top_uart_rx_m_n_34),
+	.Y(brqrv_top_uart_rx_m_n_47), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 brqrv_top_uart_rx_m_g7715 (
+	.A(la_data_in[41]),
+	.B(la_data_in[42]),
+	.C_N(brqrv_top_uart_rx_m_n_40),
+	.Y(brqrv_top_uart_rx_m_n_46), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_uart_rx_m_g7716 (
+	.A_N(la_data_in[39]),
+	.B(brqrv_top_uart_rx_m_n_34),
+	.Y(brqrv_top_uart_rx_m_n_42), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_uart_rx_m_g7717 (
+	.A_N(brqrv_top_uart_rx_m_r_Rx_Data),
+	.B(brqrv_top_uart_rx_m_n_20),
+	.Y(brqrv_top_uart_rx_m_n_44), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_uart_rx_m_g7718 (
+	.A1(brqrv_top_uart_rx_m_n_23),
+	.A2(la_data_in[34]),
+	.B1(brqrv_top_uart_rx_m_n_26),
+	.Y(brqrv_top_uart_rx_m_n_43), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__maj3_1 brqrv_top_uart_rx_m_g7719 (
+	.A(brqrv_top_uart_rx_m_n_18),
+	.B(brqrv_top_uart_rx_m_n_27),
+	.C(brqrv_top_uart_rx_m_r_Clock_Count[1]),
+	.X(brqrv_top_uart_rx_m_n_39), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_uart_rx_m_g7720 (
+	.A(brqrv_top_uart_rx_m_r_Clock_Count[2]),
+	.B(brqrv_top_uart_rx_m_n_17),
+	.Y(brqrv_top_uart_rx_m_n_38), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_uart_rx_m_g7721 (
+	.A(la_data_in[37]),
+	.B(brqrv_top_uart_rx_m_n_32),
+	.Y(brqrv_top_uart_rx_m_n_41), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 brqrv_top_uart_rx_m_g7722 (
+	.A(la_data_in[39]),
+	.B(la_data_in[40]),
+	.C_N(brqrv_top_uart_rx_m_n_34),
+	.Y(brqrv_top_uart_rx_m_n_40), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_uart_rx_m_g7723 (
+	.A_N(la_data_in[37]),
+	.B(brqrv_top_uart_rx_m_n_32),
+	.Y(brqrv_top_uart_rx_m_n_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_uart_rx_m_g7725 (
+	.A_N(brqrv_top_uart_rx_m_n_17),
+	.B(brqrv_top_uart_rx_m_r_Clock_Count[2]),
+	.Y(brqrv_top_uart_rx_m_n_36), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xnor2_1 brqrv_top_uart_rx_m_g7726 (
+	.A(la_data_in[35]),
+	.B(brqrv_top_uart_rx_m_n_26),
+	.Y(brqrv_top_uart_rx_m_n_35), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 brqrv_top_uart_rx_m_g7727 (
+	.A(la_data_in[37]),
+	.B(la_data_in[38]),
+	.C_N(brqrv_top_uart_rx_m_n_32),
+	.Y(brqrv_top_uart_rx_m_n_34), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_uart_rx_m_g7728 (
+	.A_N(la_data_in[35]),
+	.B(brqrv_top_uart_rx_m_n_26),
+	.Y(brqrv_top_uart_rx_m_n_33), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_uart_rx_m_g7729 (
+	.A_N(brqrv_top_uart_rx_m_r_Rx_Data_R),
+	.B(rst),
+	.Y(brqrv_top_uart_rx_m_n_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 brqrv_top_uart_rx_m_g7730 (
+	.A(la_data_in[35]),
+	.B(la_data_in[36]),
+	.C_N(brqrv_top_uart_rx_m_n_26),
+	.Y(brqrv_top_uart_rx_m_n_32), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_uart_rx_m_g7731 (
+	.A(brqrv_top_uart_rx_m_n_29),
+	.Y(brqrv_top_uart_rx_m_n_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_uart_rx_m_g7733 (
+	.A(brqrv_top_uart_rx_m_n_21),
+	.B(brqrv_top_uart_rx_m_r_SM_Main[0]),
+	.Y(brqrv_top_uart_rx_m_n_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_uart_rx_m_g7734 (
+	.A(brqrv_top_uart_rx_m_n_21),
+	.B(brqrv_top_uart_rx_m_n_10),
+	.Y(brqrv_top_uart_rx_m_n_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 brqrv_top_uart_rx_m_g7735 (
+	.A1(brqrv_top_uart_rx_m_r_SM_Main[0]),
+	.A2(brqrv_top_uart_rx_m_r_SM_Main[1]),
+	.B1(brqrv_top_rx_dv_i),
+	.Y(brqrv_top_uart_rx_m_n_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__xor2_1 brqrv_top_uart_rx_m_g7736 (
+	.A(brqrv_top_uart_rx_m_r_Clock_Count[0]),
+	.B(brqrv_top_uart_rx_m_r_Clock_Count[1]),
+	.X(brqrv_top_uart_rx_m_n_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 brqrv_top_uart_rx_m_g7737 (
+	.A1(la_data_in[33]),
+	.A2(la_data_in[32]),
+	.B1(brqrv_top_uart_rx_m_n_22),
+	.Y(brqrv_top_uart_rx_m_n_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_1 brqrv_top_uart_rx_m_g7738 (
+	.A(la_data_in[33]),
+	.B(la_data_in[34]),
+	.C(la_data_in[32]),
+	.Y(brqrv_top_uart_rx_m_n_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_uart_rx_m_g7739 (
+	.A(brqrv_top_uart_rx_m_n_22),
+	.Y(brqrv_top_uart_rx_m_n_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_uart_rx_m_g7740 (
+	.A(la_data_in[32]),
+	.B(la_data_in[33]),
+	.Y(brqrv_top_uart_rx_m_n_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or2_0 brqrv_top_uart_rx_m_g7741 (
+	.A(brqrv_top_uart_rx_m_r_Clock_Count[0]),
+	.B(la_data_in[32]),
+	.X(brqrv_top_uart_rx_m_n_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_1 brqrv_top_uart_rx_m_g7742 (
+	.A(brqrv_top_uart_rx_m_r_SM_Main[2]),
+	.B_N(brqrv_top_uart_rx_m_r_SM_Main[1]),
+	.Y(brqrv_top_uart_rx_m_n_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_uart_rx_m_g7743 (
+	.A(brqrv_top_uart_rx_m_r_SM_Main[2]),
+	.B(brqrv_top_uart_rx_m_r_SM_Main[1]),
+	.Y(brqrv_top_uart_rx_m_n_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_uart_rx_m_g7744 (
+	.A(brqrv_top_uart_rx_m_r_Bit_Index[1]),
+	.B(brqrv_top_uart_rx_m_r_Bit_Index[0]),
+	.Y(brqrv_top_uart_rx_m_n_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 brqrv_top_uart_rx_m_g7745 (
+	.A(brqrv_top_uart_rx_m_n_15),
+	.Y(brqrv_top_uart_rx_m_n_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_1 brqrv_top_uart_rx_m_g7746 (
+	.A_N(rx_i),
+	.B(rst),
+	.Y(brqrv_top_uart_rx_m_n_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_uart_rx_m_g7747 (
+	.A(brqrv_top_uart_rx_m_r_Clock_Count[1]),
+	.B(brqrv_top_uart_rx_m_r_Clock_Count[0]),
+	.Y(brqrv_top_uart_rx_m_n_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 brqrv_top_uart_rx_m_g7748 (
+	.A(brqrv_top_uart_rx_m_r_Bit_Index[0]),
+	.B(brqrv_top_uart_rx_m_r_Bit_Index[1]),
+	.Y(brqrv_top_uart_rx_m_n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_uart_rx_m_g7749 (
+	.A(brqrv_top_uart_rx_m_n_11),
+	.B(brqrv_top_uart_rx_m_r_Bit_Index[0]),
+	.Y(brqrv_top_uart_rx_m_n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 brqrv_top_uart_rx_m_g7750 (
+	.A(brqrv_top_uart_rx_m_r_Bit_Index[1]),
+	.B(brqrv_top_uart_rx_m_n_4),
+	.Y(brqrv_top_uart_rx_m_n_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 brqrv_top_uart_rx_m_g7758 (
+	.A(brqrv_top_uart_rx_m_r_Bit_Index[0]),
+	.Y(brqrv_top_uart_rx_m_n_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \brqrv_top_uart_rx_m_r_Bit_Index_reg[1]  (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_n_145),
+	.Q(brqrv_top_uart_rx_m_r_Bit_Index[1]),
+	.Q_N(brqrv_top_uart_rx_m_n_11),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \brqrv_top_uart_rx_m_r_SM_Main_reg[0]  (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_n_166),
+	.Q(brqrv_top_uart_rx_m_r_SM_Main[0]),
+	.Q_N(brqrv_top_uart_rx_m_n_10),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \brqrv_top_uart_rx_m_r_Clock_Count_reg[8]  (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_n_186),
+	.Q(brqrv_top_uart_rx_m_r_Clock_Count[8]),
+	.Q_N(brqrv_top_uart_rx_m_n_9),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \brqrv_top_uart_rx_m_r_Clock_Count_reg[9]  (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_n_174),
+	.Q(brqrv_top_uart_rx_m_r_Clock_Count[9]),
+	.Q_N(brqrv_top_uart_rx_m_n_8),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \brqrv_top_uart_rx_m_r_Clock_Count_reg[7]  (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_n_183),
+	.Q(brqrv_top_uart_rx_m_r_Clock_Count[7]),
+	.Q_N(brqrv_top_uart_rx_m_n_7),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \brqrv_top_uart_rx_m_r_Clock_Count_reg[3]  (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_n_182),
+	.Q(brqrv_top_uart_rx_m_r_Clock_Count[3]),
+	.Q_N(brqrv_top_uart_rx_m_n_6),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \brqrv_top_uart_rx_m_r_Bit_Index_reg[2]  (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_n_157),
+	.Q_N(brqrv_top_uart_rx_m_n_5),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \brqrv_top_uart_rx_m_r_Clock_Count_reg[5]  (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_n_177),
+	.Q(brqrv_top_uart_rx_m_r_Clock_Count[5]),
+	.Q_N(brqrv_top_uart_rx_m_n_3),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \brqrv_top_uart_rx_m_r_Clock_Count_reg[14]  (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_n_189),
+	.Q(brqrv_top_uart_rx_m_r_Clock_Count[14]),
+	.Q_N(brqrv_top_uart_rx_m_n_2),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \brqrv_top_uart_rx_m_r_Clock_Count_reg[4]  (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_n_185),
+	.Q(brqrv_top_uart_rx_m_r_Clock_Count[4]),
+	.Q_N(brqrv_top_uart_rx_m_n_1),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrbp_1 \brqrv_top_uart_rx_m_r_Clock_Count_reg[12]  (
+	.CLK(clk),
+	.D(brqrv_top_uart_rx_m_n_184),
+	.Q(brqrv_top_uart_rx_m_r_Clock_Count[12]),
+	.Q_N(brqrv_top_uart_rx_m_n_0),
+	.RESET_B(rst), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+endmodule
+